diff --git a/flow/Makefile b/flow/Makefile index 0c7dcd2c19..5506956eb8 100644 --- a/flow/Makefile +++ b/flow/Makefile @@ -418,6 +418,7 @@ ifeq ($(KLAYOUT_ENV_VAR_IN_PATH),valid) else sed 's,.*,$(foreach file, $(OBJECTS_DIR)/klayout_tech.lef $(SC_LEF) $(ADDITIONAL_LEFS),$(shell realpath --relative-to=$(RESULTS_DIR) $(file))),g' $(KLAYOUT_TECH_FILE) > $(OBJECTS_DIR)/klayout.lyt endif + sed -i 's,.*,$(foreach file, $(FLOW_HOME)/platforms/$(PLATFORM)/*map,$(shell realpath $(file))),g' $(OBJECTS_DIR)/klayout.lyt $(OBJECTS_DIR)/klayout_wrap.lyt: $(KLAYOUT_TECH_FILE) $(OBJECTS_DIR)/klayout_tech.lef $(UNSET_AND_MAKE) do-klayout_wrap diff --git a/flow/platforms/ihp-sg13g2/cdl/sg13g2_iocell.cdl b/flow/platforms/ihp-sg13g2/cdl/sg13g2_iocell.cdl new file mode 100644 index 0000000000..b01deb7244 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/cdl/sg13g2_iocell.cdl @@ -0,0 +1,757 @@ +************************************************************************ +* +* Copyright 2024 IHP PDK Authors +* +* Licensed under the Apache License, Version 2.0 (the "License"); +* you may not use this file except in compliance with the License. +* You may obtain a copy of the License at +* +* https://www.apache.org/licenses/LICENSE-2.0 +* +* Unless required by applicable law or agreed to in writing, software +* distributed under the License is distributed on an "AS IS" BASIS, +* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +* See the License for the specific language governing permissions and +* limitations under the License. +* +************************************************************************ + +*.BIPOLAR +*.RESI = 2000 +*.RESVAL +*.CAPVAL +*.DIOPERI +*.DIOAREA +*.EQUATION +*.SCALE METER +*.MEGA +.PARAM + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: DCNDiode +* View Name: schematic +************************************************************************ + +.SUBCKT DCNDiode anode cathode guard +*.PININFO anode:B cathode:B guard:B +DD0 anode cathode dantenna m=1 w=1.26u l=27.78u a=35.003p p=58.08u +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: DCPDiode +* View Name: schematic +************************************************************************ + +.SUBCKT DCPDiode anode cathode guard +*.PININFO anode:B cathode:B guard:B +DD0 anode cathode dpantenna m=1 w=1.26u l=27.78u a=35.003p p=58.08u +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: inv_x1 +* View Name: schematic +************************************************************************ + +.SUBCKT inv_x1 i nq vdd vss +*.PININFO i:I nq:O vdd:B vss:B +MN0 nq i vss vss sg13_lv_nmos m=1 w=3.93u l=130.00n ng=1 +MP0 nq i vdd vdd sg13_lv_pmos m=1 w=4.41u l=130.00n ng=1 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: LevelUp +* View Name: schematic +************************************************************************ + +.SUBCKT LevelUp i iovdd o vdd vss +*.PININFO i:I o:O iovdd:B vdd:B vss:B +MN0 net2 i vss vss sg13_lv_nmos m=1 w=2.75u l=130.00n ng=1 +MP0 net2 i vdd vdd sg13_lv_pmos m=1 w=4.75u l=130.00n ng=1 +MN3 o net4 vss vss sg13_hv_nmos m=1 w=1.9u l=450.00n ng=1 +MN2 net4 i vss vss sg13_hv_nmos m=1 w=1.9u l=450.00n ng=1 +MN1 net3 net2 vss vss sg13_hv_nmos m=1 w=1.9u l=450.00n ng=1 +MP3 o net4 iovdd iovdd sg13_hv_pmos m=1 w=3.9u l=450.00n ng=1 +MP2 net3 net4 iovdd iovdd sg13_hv_pmos m=1 w=300.0n l=450.00n ng=1 +MP1 net4 net3 iovdd iovdd sg13_hv_pmos m=1 w=300.0n l=450.00n ng=1 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: nor2_x1 +* View Name: schematic +************************************************************************ + +.SUBCKT nor2_x1 i0 i1 nq vdd vss +*.PININFO i0:I i1:I nq:O vdd:B vss:B +MN0 nq i0 vss vss sg13_lv_nmos m=1 w=3.93u l=130.00n ng=1 +MN1 nq i1 vss vss sg13_lv_nmos m=1 w=3.93u l=130.00n ng=1 +MP1 net1 i0 vdd vdd sg13_lv_pmos m=1 w=4.41u l=130.00n ng=1 +MP0 nq i1 net1 vdd sg13_lv_pmos m=1 w=4.41u l=130.00n ng=1 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: nand2_x1 +* View Name: schematic +************************************************************************ + +.SUBCKT nand2_x1 i0 i1 nq vdd vss +*.PININFO i0:I i1:I nq:O vdd:B vss:B +MP1 nq i1 vdd vdd sg13_lv_pmos m=1 w=4.41u l=130.00n ng=1 +MP0 nq i0 vdd vdd sg13_lv_pmos m=1 w=4.41u l=130.00n ng=1 +MN1 net1 i0 vss vss sg13_lv_nmos m=1 w=3.93u l=130.00n ng=1 +MN0 nq i1 net1 vss sg13_lv_nmos m=1 w=3.93u l=130.00n ng=1 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: GateDecode +* View Name: schematic +************************************************************************ + +.SUBCKT GateDecode core en iovdd ngate pgate vdd vss +*.PININFO core:I en:I ngate:O pgate:O iovdd:B vdd:B vss:B +XI2 en net3 vdd vss / inv_x1 +XI4 net4 iovdd ngate vdd vss / LevelUp +XI3 net2 iovdd pgate vdd vss / LevelUp +XI0 core net3 net4 vdd vss / nor2_x1 +XI1 core en net2 vdd vss / nand2_x1 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: SecondaryProtection +* View Name: schematic +************************************************************************ + +.SUBCKT SecondaryProtection core minus pad plus +*.PININFO core:B minus:B pad:B plus:B +RR0 pad core rppd 586.899 m=1 l=2u w=1u ps=180n trise=0.0 b=0 +DD0 minus core dantenna m=1 w=780n l=3.1u a=2.418p p=7.76u +DD1 core plus dpantenna m=1 w=780.00n l=4.98u a=3.884p p=11.52u +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: LevelDown +* View Name: schematic +************************************************************************ + +.SUBCKT LevelDown core iovdd iovss pad vdd vss +*.PININFO core:O iovdd:B iovss:B pad:B vdd:B vss:B +XI0 net4 iovss pad iovdd / SecondaryProtection +MP0 net2 net4 vdd vdd sg13_hv_pmos m=1 w=4.65u l=450.00n ng=1 +MN0 net2 net4 vss vss sg13_hv_nmos m=1 w=2.65u l=450.00n ng=1 +MN1 core net2 vss vss sg13_lv_nmos m=1 w=2.75u l=130.00n ng=1 +MP1 core net2 vdd vdd sg13_lv_pmos m=1 w=4.75u l=130.00n ng=1 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadInOut30mA +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadInOut30mA c2p c2p_en iovdd iovss p2c pad vdd vss +*.PININFO c2p:I c2p_en:I p2c:O iovdd:B iovss:B pad:B vdd:B vss:B +XI3 iovss pad iovdd / DCNDiode +XI2 pad iovdd iovss / DCPDiode +MN0 pad net2 iovss iovss sg13_hv_nmos m=1 w=66.000u l=600.0n ng=15 +MP0 pad net1 iovdd iovdd sg13_hv_pmos m=1 w=199.8u l=600.0n ng=30 +XI0 c2p c2p_en iovdd net2 net1 vdd vss / GateDecode +XI1 p2c iovdd iovss pad vdd vss / LevelDown +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: LevelUpInv +* View Name: schematic +************************************************************************ + +.SUBCKT LevelUpInv i iovdd o vdd vss +*.PININFO i:I o:O iovdd:B vdd:B vss:B +MN0 net2 i vss vss sg13_lv_nmos m=1 w=2.75u l=130.00n ng=1 +MP0 net2 i vdd vdd sg13_lv_pmos m=1 w=4.75u l=130.00n ng=1 +MN3 o net4 vss vss sg13_hv_nmos m=1 w=1.9u l=450.00n ng=1 +MN2 net4 net2 vss vss sg13_hv_nmos m=1 w=1.9u l=450.00n ng=1 +MN1 net3 i vss vss sg13_hv_nmos m=1 w=1.9u l=450.00n ng=1 +MP3 o net4 iovdd iovdd sg13_hv_pmos m=1 w=3.9u l=450.00n ng=1 +MP2 net3 net4 iovdd iovdd sg13_hv_pmos m=1 w=300.0n l=450.00n ng=1 +MP1 net4 net3 iovdd iovdd sg13_hv_pmos m=1 w=300.0n l=450.00n ng=1 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: GateLevelUpInv +* View Name: schematic +************************************************************************ + +.SUBCKT GateLevelUpInv core iovdd ngate pgate vdd vss +*.PININFO core:I ngate:O pgate:O iovdd:B vdd:B vss:B +XI1 core iovdd pgate vdd vss / LevelUpInv +XI0 core iovdd ngate vdd vss / LevelUpInv +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadOut4mA +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadOut4mA c2p iovdd iovss pad vdd vss +*.PININFO c2p:I iovdd:B iovss:B pad:B vdd:B vss:B +XI6 c2p iovdd net2 net1 vdd vss / GateLevelUpInv +XI2 pad iovdd iovss / DCPDiode +MN0 pad net2 iovss iovss sg13_hv_nmos m=1 w=8.8u l=600.0n ng=2 +MP0 pad net1 iovdd iovdd sg13_hv_pmos m=1 w=26.64u l=600.0n ng=4 +XI3 iovss pad iovdd / DCNDiode +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_Filler10000 +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_Filler10000 iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadVss +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadVss iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +XI2 vss iovdd iovss / DCPDiode +XI1 iovss vss iovss / DCNDiode +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadIOVss +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadIOVss iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +DD2 iovss iovss dantenna m=1 w=1.26u l=27.78u a=35.003p p=58.08u +DD1 iovss iovdd dpantenna m=1 w=1.26u l=27.78u a=35.003p p=58.08u +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadOut16mA +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadOut16mA c2p iovdd iovss pad vdd vss +*.PININFO c2p:I iovdd:B iovss:B pad:B vdd:B vss:B +XI6 c2p iovdd net2 net1 vdd vss / GateLevelUpInv +XI2 pad iovdd iovss / DCPDiode +MN0 pad net2 iovss iovss sg13_hv_nmos m=1 w=35.2u l=600.0n ng=8 +MP0 pad net1 iovdd iovdd sg13_hv_pmos m=1 w=106.56u l=600.0n ng=16 +XI3 iovss pad iovdd / DCNDiode +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: RCClampResistor +* View Name: schematic +************************************************************************ + +.SUBCKT RCClampResistor pin1 pin2 +*.PININFO pin1:B pin2:B +RR29 net15 net16 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR28 net20 net21 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR27 net23 net24 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR26 net26 net27 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR25 net29 pin2 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR24 net17 net18 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR23 net16 net17 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR22 net28 net29 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR21 net25 net26 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR20 net22 net23 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR19 net19 net20 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR18 net27 net28 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR17 net24 net25 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR16 net21 net22 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR15 net18 net19 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR14 net5 net6 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR13 net8 net9 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR12 net11 net12 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR11 net14 net15 rppd 5.239K m=1 l=20u w=1u ps=180n ++ trise=0.0 b=0 +RR10 net2 net3 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR9 net1 net2 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR8 net13 net14 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR7 net10 net11 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR6 net7 net8 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR5 net4 net5 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR4 net12 net13 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR3 net9 net10 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR2 net6 net7 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR1 net3 net4 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +RR0 pin1 net1 rppd 5.239K m=1 l=20u w=1u ps=180n trise=0.0 ++ b=0 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: Clamp_N43N43D4R +* View Name: schematic +************************************************************************ + +.SUBCKT Clamp_N43N43D4R gate pad tie +*.PININFO gate:I pad:B tie:B +MN0<1> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<2> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<3> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<4> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<5> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<6> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<7> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<8> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<9> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<10> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<11> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<12> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<13> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<14> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<15> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<16> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<17> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<18> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<19> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<20> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<21> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<22> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<23> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<24> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<25> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<26> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<27> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<28> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<29> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<30> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<31> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<32> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<33> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<34> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<35> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<36> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<37> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<38> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<39> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<40> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<41> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<42> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<43> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<44> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<45> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<46> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<47> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<48> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<49> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<50> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<51> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<52> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<53> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<54> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<55> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<56> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<57> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<58> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<59> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<60> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<61> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<62> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<63> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<64> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<65> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<66> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<67> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<68> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<69> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<70> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<71> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<72> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<73> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<74> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<75> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<76> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<77> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<78> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<79> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<80> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<81> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<82> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<83> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<84> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<85> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<86> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<87> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<88> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<89> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<90> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<91> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<92> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<93> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<94> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<95> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<96> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<97> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<98> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<99> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<100> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<101> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<102> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<103> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<104> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<105> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<106> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<107> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<108> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<109> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<110> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<111> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<112> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<113> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<114> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<115> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<116> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<117> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<118> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<119> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<120> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<121> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<122> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<123> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<124> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<125> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<126> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<127> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<128> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<129> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<130> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<131> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<132> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<133> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<134> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<135> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<136> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<137> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<138> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<139> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<140> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<141> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<142> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<143> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<144> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<145> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<146> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<147> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<148> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<149> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<150> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<151> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<152> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<153> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<154> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<155> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<156> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<157> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<158> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<159> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<160> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<161> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<162> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<163> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<164> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<165> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<166> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<167> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<168> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<169> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<170> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<171> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +MN0<172> pad gate tie tie sg13_hv_nmos m=1 w=4.4u l=600.0n ng=1 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: RCClampInverter +* View Name: schematic +************************************************************************ + +.SUBCKT RCClampInverter in iovss out supply +*.PININFO in:B iovss:B out:B supply:B +MN1 iovss in iovss iovss sg13_hv_nmos m=1 w=126.000u l=9.5u ng=14 +MN0 out in iovss iovss sg13_hv_nmos m=1 w=108.000u l=500.0n ng=12 +MP0 out in supply supply sg13_hv_pmos m=1 w=350.000u l=500.0n ng=50 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadIOVdd +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadIOVdd iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +XI2 iovdd net1 / RCClampResistor +XI0 net2 iovdd iovss / Clamp_N43N43D4R +XI1 net1 iovss net2 iovdd / RCClampInverter +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadTriOut30mA +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadTriOut30mA c2p c2p_en iovdd iovss pad vdd vss +*.PININFO c2p:I c2p_en:I iovdd:B iovss:B pad:B vdd:B vss:B +XI7 c2p c2p_en iovdd net2 net1 vdd vss / GateDecode +XI2 pad iovdd iovss / DCPDiode +MN0 pad net2 iovss iovss sg13_hv_nmos m=1 w=66.000u l=600.0n ng=15 +MP0 pad net1 iovdd iovdd sg13_hv_pmos m=1 w=199.8u l=600.0n ng=30 +XI3 iovss pad iovdd / DCNDiode +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadTriOut16mA +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadTriOut16mA c2p c2p_en iovdd iovss pad vdd vss +*.PININFO c2p:I c2p_en:I iovdd:B iovss:B pad:B vdd:B vss:B +XI7 c2p c2p_en iovdd net2 net1 vdd vss / GateDecode +XI2 pad iovdd iovss / DCPDiode +MN0 pad net2 iovss iovss sg13_hv_nmos m=1 w=35.2u l=600.0n ng=8 +MP0 pad net1 iovdd iovdd sg13_hv_pmos m=1 w=106.56u l=600.0n ng=16 +XI3 iovss pad iovdd / DCNDiode +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadInOut16mA +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadInOut16mA c2p c2p_en iovdd iovss p2c pad vdd vss +*.PININFO c2p:I c2p_en:I p2c:O iovdd:B iovss:B pad:B vdd:B vss:B +XI3 iovss pad iovdd / DCNDiode +XI2 pad iovdd iovss / DCPDiode +MN0 pad net2 iovss iovss sg13_hv_nmos m=1 w=35.2u l=600.0n ng=8 +MP0 pad net1 iovdd iovdd sg13_hv_pmos m=1 w=106.56u l=600.0n ng=16 +XI0 c2p c2p_en iovdd net2 net1 vdd vss / GateDecode +XI1 p2c iovdd iovss pad vdd vss / LevelDown +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_Filler200 +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_Filler200 iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_Filler2000 +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_Filler2000 iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadOut30mA +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadOut30mA c2p iovdd iovss pad vdd vss +*.PININFO c2p:I iovdd:B iovss:B pad:B vdd:B vss:B +XI6 c2p iovdd net2 net1 vdd vss / GateLevelUpInv +XI2 pad iovdd iovss / DCPDiode +MN0 pad net2 iovss iovss sg13_hv_nmos m=1 w=66.000u l=600.0n ng=15 +MP0 pad net1 iovdd iovdd sg13_hv_pmos m=1 w=199.8u l=600.0n ng=30 +XI3 iovss pad iovdd / DCNDiode +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadInOut4mA +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadInOut4mA c2p c2p_en iovdd iovss p2c pad vdd vss +*.PININFO c2p:I c2p_en:I p2c:O iovdd:B iovss:B pad:B vdd:B vss:B +XI3 iovss pad iovdd / DCNDiode +XI2 pad iovdd iovss / DCPDiode +MN0 pad net2 iovss iovss sg13_hv_nmos m=1 w=8.8u l=600.0n ng=2 +MP0 pad net1 iovdd iovdd sg13_hv_pmos m=1 w=26.64u l=600.0n ng=4 +XI0 c2p c2p_en iovdd net2 net1 vdd vss / GateDecode +XI1 p2c iovdd iovss pad vdd vss / LevelDown +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: Clamp_N20N0D +* View Name: schematic +************************************************************************ + +.SUBCKT Clamp_N20N0D iovss pad +*.PININFO iovss:B pad:B +MN0 pad net2 iovss iovss sg13_hv_nmos m=1 w=88.000u l=600.0n ng=20 +RR1 iovss net2 rppd 1.959K m=1 l=3.54u w=500n ps=180n ++ trise=0.0 b=0 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: Clamp_P20N0D +* View Name: schematic +************************************************************************ + +.SUBCKT Clamp_P20N0D iovdd iovss pad +*.PININFO iovdd:B iovss:B pad:B +MP0 pad net2 iovdd iovdd sg13_hv_pmos m=1 w=266.4u l=600.0n ng=40 +RR0 net2 iovdd rppd 6.768K m=1 l=12.9u w=500n ps=180n ++ trise=0.0 b=0 +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadAnalog +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadAnalog iovdd iovss pad padres vdd vss +*.PININFO iovdd:B iovss:B pad:B padres:B vdd:B vss:B +XI8 iovss pad / Clamp_N20N0D +XI9 iovdd iovss pad / Clamp_P20N0D +XI3 iovss pad iovdd / DCNDiode +XI2 pad iovdd iovss / DCPDiode +XI6 padres iovss pad iovdd / SecondaryProtection +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_Filler4000 +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_Filler4000 iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_Corner +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_Corner iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_Filler400 +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_Filler400 iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadTriOut4mA +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadTriOut4mA c2p c2p_en iovdd iovss pad vdd vss +*.PININFO c2p:I c2p_en:I iovdd:B iovss:B pad:B vdd:B vss:B +XI7 c2p c2p_en iovdd net2 net1 vdd vss / GateDecode +XI2 pad iovdd iovss / DCPDiode +MN0 pad net2 iovss iovss sg13_hv_nmos m=1 w=8.8u l=600.0n ng=2 +MP0 pad net1 iovdd iovdd sg13_hv_pmos m=1 w=26.64u l=600.0n ng=4 +XI3 iovss pad iovdd / DCNDiode +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadIn +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadIn iovdd iovss p2c pad vdd vss +*.PININFO p2c:O iovdd:B iovss:B pad:B vdd:B vss:B +XI1 p2c iovdd iovss pad vdd vss / LevelDown +XI2 pad iovdd iovss / DCPDiode +XI3 iovss pad iovdd / DCNDiode +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_IOPadVdd +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_IOPadVdd iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +DD1 vdd iovdd dpantenna m=1 w=1.26u l=27.78u a=35.003p p=58.08u +DD0 iovss vdd dantenna m=1 w=1.26u l=27.78u a=35.003p p=58.08u +.ENDS + +************************************************************************ +* Library Name: sg13g2_iocell +* Cell Name: sg13g2_Filler1000 +* View Name: schematic +************************************************************************ + +.SUBCKT sg13g2_Filler1000 iovdd iovss vdd vss +*.PININFO iovdd:B iovss:B vdd:B vss:B +.ENDS diff --git a/flow/platforms/ihp-sg13g2/config.mk b/flow/platforms/ihp-sg13g2/config.mk index 8e4de8255f..fb0c78d738 100644 --- a/flow/platforms/ihp-sg13g2/config.mk +++ b/flow/platforms/ihp-sg13g2/config.mk @@ -19,11 +19,10 @@ export GDS_FILES = $(PLATFORM_DIR)/gds/sg13g2_stdcell.gds \ # on all layers. # *lpflow* cells are for multi-power domains export DONT_USE_CELLS += \ -sg13g2_antennanp \ sg13g2_lgcp_1 \ sg13g2_sighold \ sg13g2_slgcp_1 \ -sg13g2_dfrbp_2 +sg13g2_dfrbp_2 # Define fill cells diff --git a/flow/platforms/ihp-sg13g2/gds/bondpad_70x70.gds b/flow/platforms/ihp-sg13g2/gds/bondpad_70x70.gds new file mode 100644 index 0000000000..467499a3d6 Binary files /dev/null and b/flow/platforms/ihp-sg13g2/gds/bondpad_70x70.gds differ diff --git a/flow/platforms/ihp-sg13g2/gds/sg13g2_io.gds b/flow/platforms/ihp-sg13g2/gds/sg13g2_io.gds new file mode 100644 index 0000000000..efc29676a4 Binary files /dev/null and b/flow/platforms/ihp-sg13g2/gds/sg13g2_io.gds differ diff --git a/flow/platforms/ihp-sg13g2/lef/bondpad_70x70.lef b/flow/platforms/ihp-sg13g2/lef/bondpad_70x70.lef new file mode 100644 index 0000000000..3d494088f5 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lef/bondpad_70x70.lef @@ -0,0 +1,44 @@ +VERSION 5.8 ; + +MACRO bondpad_70x70 + CLASS COVER ; + ORIGIN 0 0 ; + FOREIGN bondpad_70x70 0 0 ; + SIZE 70.0 BY 70.0 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN pad + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 0 0 70.0 70.0 ; + LAYER Metal3 ; + RECT 0 0 70.0 70.0 ; + LAYER Metal4 ; + RECT 0 0 70.0 70.0 ; + LAYER Metal5 ; + RECT 0 0 70.0 70.0 ; + LAYER TopMetal1 ; + RECT 0 0 70.0 70.0 ; + LAYER TopMetal2 ; + RECT 0 0 70.0 70.0 ; + END + END pad + + OBS + LAYER Metal1 ; + RECT 0 0 70.0 70.0 ; + LAYER Metal2 ; + RECT 0 0 70.0 70.0 ; + LAYER Metal3 ; + RECT 0 0 70.0 70.0 ; + LAYER Metal4 ; + RECT 0 0 70.0 70.0 ; + LAYER Metal5 ; + RECT 0 0 70.0 70.0 ; + LAYER TopMetal1 ; + RECT 0 0 70.0 70.0 ; + LAYER TopMetal2 ; + RECT 0 0 70.0 70.0 ; + END +END bondpad_70x70 diff --git a/flow/platforms/ihp-sg13g2/lef/sg13g2_io.lef b/flow/platforms/ihp-sg13g2/lef/sg13g2_io.lef new file mode 100644 index 0000000000..7e2cb5c776 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lef/sg13g2_io.lef @@ -0,0 +1,4546 @@ +# Autogenerated file; please don't edit +# date: 2024-05-13 13:33:05.137460 + +######################################################################## +# +# Copyright 2024 IHP PDK Authors +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# https://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# +######################################################################## + +VERSION 5.7 ; + +SITE sg13g2_ioSite + CLASS PAD ; + SYMMETRY R90 ; + SIZE 1.00 BY 180.00 ; +END sg13g2_ioSite + +MACRO sg13g2_Corner + CLASS PAD SPACER ; + ORIGIN 180.000 0.000 ; + FOREIGN sg13g2_Corner -180.000 0.000 ; + SIZE 180.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT -2.000 66.000 0.000 91.500 ; + END + PORT + LAYER Metal3 ; + RECT -86.500 178.000 -61.000 180.000 ; + END + PORT + LAYER Metal3 ; + RECT -114.000 178.000 -88.500 180.000 ; + END + PORT + LAYER Metal3 ; + RECT -2.000 93.500 0.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT -2.000 66.000 0.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT -86.500 178.000 -61.000 180.000 ; + END + PORT + LAYER Metal4 ; + RECT -114.000 178.000 -88.500 180.000 ; + END + PORT + LAYER Metal4 ; + RECT -2.000 93.500 0.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT -2.000 66.000 0.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT -86.500 178.000 -61.000 180.000 ; + END + PORT + LAYER Metal5 ; + RECT -114.000 178.000 -88.500 180.000 ; + END + PORT + LAYER Metal5 ; + RECT -2.000 93.500 0.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -2.000 66.000 0.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT -86.500 178.000 -61.000 180.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -114.000 178.000 -88.500 180.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -2.000 93.500 0.000 119.000 ; + END + PORT + LAYER TopMetal2 ; + RECT -112.500 178.000 -90.000 180.000 ; + END + PORT + LAYER TopMetal2 ; + RECT -2.000 67.500 0.000 90.000 ; + END + PORT + LAYER TopMetal2 ; + RECT -85.000 178.000 -62.500 180.000 ; + END + PORT + LAYER TopMetal2 ; + RECT -2.000 95.000 0.000 117.500 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT -2.000 34.500 0.000 60.000 ; + END + PORT + LAYER Metal3 ; + RECT -173.000 178.000 -147.500 180.000 ; + END + PORT + LAYER Metal3 ; + RECT -2.000 126.000 0.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT -54.000 178.000 -46.000 180.000 ; + END + PORT + LAYER Metal3 ; + RECT -145.500 178.000 -120.000 180.000 ; + END + PORT + LAYER Metal3 ; + RECT -2.000 7.000 0.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT -2.000 34.500 0.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT -173.000 178.000 -147.500 180.000 ; + END + PORT + LAYER Metal4 ; + RECT -2.000 126.000 0.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT -54.000 178.000 -46.000 180.000 ; + END + PORT + LAYER Metal4 ; + RECT -145.500 178.000 -120.000 180.000 ; + END + PORT + LAYER Metal4 ; + RECT -2.000 7.000 0.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT -2.000 34.500 0.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT -173.000 178.000 -147.500 180.000 ; + END + PORT + LAYER Metal5 ; + RECT -2.000 126.000 0.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT -54.000 178.000 -46.000 180.000 ; + END + PORT + LAYER Metal5 ; + RECT -145.500 178.000 -120.000 180.000 ; + END + PORT + LAYER Metal5 ; + RECT -2.000 7.000 0.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT -2.000 34.500 0.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -173.000 178.000 -147.500 180.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -2.000 126.000 0.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -54.000 178.000 -46.000 180.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -145.500 178.000 -120.000 180.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -2.000 7.000 0.000 32.500 ; + END + PORT + LAYER TopMetal2 ; + RECT -2.000 127.500 0.000 132.500 ; + END + PORT + LAYER TopMetal2 ; + RECT -2.000 36.000 0.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT -171.500 178.000 -149.000 180.000 ; + END + PORT + LAYER TopMetal2 ; + RECT -2.000 8.500 0.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT -144.000 178.000 -121.500 180.000 ; + END + PORT + LAYER TopMetal2 ; + RECT -52.500 178.000 -47.500 180.000 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT -20.000 178.590 -2.000 180.000 ; + END + PORT + LAYER Metal3 ; + RECT -1.410 160.000 0.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT -2.000 140.000 0.000 155.800 ; + END + PORT + LAYER Metal4 ; + RECT -40.000 178.000 -24.200 180.000 ; + END + PORT + LAYER Metal5 ; + RECT -2.000 140.000 0.000 158.000 ; + END + PORT + LAYER Metal5 ; + RECT -40.000 178.000 -22.000 180.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -2.000 140.000 0.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -40.000 178.000 -22.000 180.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT -2.000 140.000 0.000 158.000 ; + END + PORT + LAYER Metal3 ; + RECT -40.000 178.000 -22.000 180.000 ; + END + PORT + LAYER Metal4 ; + RECT -1.410 162.200 0.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT -17.800 178.590 -2.000 180.000 ; + END + PORT + LAYER Metal5 ; + RECT -20.000 178.590 -2.000 180.000 ; + END + PORT + LAYER Metal5 ; + RECT -1.410 160.000 0.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -20.000 178.590 -2.000 180.000 ; + END + PORT + LAYER TopMetal1 ; + RECT -1.410 160.000 0.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT -180.000 0.000 0.000 180.000 ; + LAYER Metal2 ; + RECT -180.000 0.000 0.000 180.000 ; + LAYER Metal3 ; + RECT -180.000 0.000 0.000 180.000 ; + LAYER Metal4 ; + RECT -180.000 0.000 0.000 180.000 ; + LAYER Metal5 ; + RECT -180.000 0.000 0.000 180.000 ; + LAYER TopMetal1 ; + RECT -180.000 0.000 0.000 180.000 ; + LAYER TopMetal2 ; + RECT -180.000 0.000 0.000 180.000 ; + LAYER Via1 ; + RECT -180.000 0.000 0.000 180.000 ; + LAYER Via2 ; + RECT -180.000 0.000 0.000 180.000 ; + END +END sg13g2_Corner + +MACRO sg13g2_Filler200 + CLASS PAD SPACER ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_Filler200 0.000 0.000 ; + SIZE 1.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 66.000 1.000 91.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 93.500 1.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 1.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 1.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 1.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 1.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 1.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 1.000 119.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 1.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 1.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 1.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 1.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 1.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 1.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 1.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 1.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 1.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 1.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 1.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 1.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 1.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 1.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 1.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 1.000 132.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 1.000 58.500 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 1.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 1.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 1.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 1.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 1.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 1.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 1.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 1.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 1.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 1.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 8.500 1.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 1.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 1.000 180.000 ; + END +END sg13g2_Filler200 + +MACRO sg13g2_Filler400 + CLASS PAD SPACER ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_Filler400 0.000 0.000 ; + SIZE 2.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 2.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 2.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 2.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 2.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 2.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 2.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 2.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 2.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 2.000 90.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 2.000 117.500 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 126.000 2.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 7.000 2.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 2.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 2.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 2.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 2.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 2.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 2.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 2.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 2.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 2.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 2.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 2.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 2.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 2.000 132.500 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 2.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 2.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 2.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 2.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 2.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 2.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 2.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 2.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 2.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 2.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 8.500 2.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 2.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 2.000 180.000 ; + END +END sg13g2_Filler400 + +MACRO sg13g2_Filler1000 + CLASS PAD SPACER ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_Filler1000 0.000 0.000 ; + SIZE 5.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 66.000 5.000 91.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 93.500 5.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 5.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 5.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 5.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 5.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 5.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 5.000 119.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 5.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 5.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 34.500 5.000 60.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 7.000 5.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 5.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 5.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 5.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 5.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 5.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 5.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 5.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 5.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 5.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 5.000 134.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 5.000 132.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 5.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 5.000 31.000 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 5.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 5.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 5.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 5.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 5.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 5.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 5.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 5.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 5.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 5.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 8.500 5.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 5.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 5.000 180.000 ; + END +END sg13g2_Filler1000 + +MACRO sg13g2_Filler2000 + CLASS PAD SPACER ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_Filler2000 0.000 0.000 ; + SIZE 10.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 66.000 10.000 91.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 93.500 10.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 10.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 10.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 10.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 10.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 10.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 10.000 119.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 10.000 90.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 10.000 117.500 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 126.000 10.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 7.000 10.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 10.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 10.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 10.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 10.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 10.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 10.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 10.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 10.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 10.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 10.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 10.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 10.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 10.000 132.500 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 10.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 10.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 10.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 10.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 10.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 10.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 10.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 10.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 10.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 10.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 8.500 10.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 10.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 10.000 180.000 ; + END +END sg13g2_Filler2000 + +MACRO sg13g2_Filler4000 + CLASS PAD SPACER ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_Filler4000 0.000 0.000 ; + SIZE 20.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 66.000 20.000 91.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 93.500 20.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 20.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 20.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 20.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 20.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 20.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 20.000 119.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 20.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 20.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 20.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 20.000 60.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 20.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 20.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 20.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 20.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 20.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 20.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 20.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 20.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 20.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 20.000 134.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 20.000 132.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 20.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 20.000 31.000 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 20.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 20.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 20.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 20.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 20.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 20.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 20.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 20.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 20.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 20.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 8.500 20.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 20.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 20.000 180.000 ; + END +END sg13g2_Filler4000 + +MACRO sg13g2_Filler10000 + CLASS PAD SPACER ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_Filler10000 0.000 0.000 ; + SIZE 50.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 66.000 50.000 91.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 93.500 50.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 50.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 50.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 50.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 50.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 50.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 50.000 119.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 50.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 50.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 50.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 50.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 50.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 50.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 50.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 50.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 50.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 50.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 50.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 50.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 50.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 50.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 50.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 50.000 132.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 50.000 58.500 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 50.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 50.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 50.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 50.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 50.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 50.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 50.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 50.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 50.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 50.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 8.500 50.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 50.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 50.000 180.000 ; + END +END sg13g2_Filler10000 + +MACRO sg13g2_IOPadIn + CLASS PAD INPUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadIn 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN p2c + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 39.830 157.810 40.120 180.000 ; + LAYER Metal3 ; + RECT 39.725 179.710 40.225 180.000 ; + END + END p2c + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadIn + +MACRO sg13g2_IOPadOut4mA + CLASS PAD OUTPUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadOut4mA 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN c2p + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 38.145 167.000 41.855 180.000 ; + LAYER Metal3 ; + RECT 38.145 179.710 41.855 180.000 ; + END + END c2p + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadOut4mA + +MACRO sg13g2_IOPadOut16mA + CLASS PAD OUTPUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadOut16mA 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN c2p + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 38.145 167.000 41.855 180.000 ; + LAYER Metal3 ; + RECT 38.145 179.710 41.855 180.000 ; + END + END c2p + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadOut16mA + +MACRO sg13g2_IOPadOut30mA + CLASS PAD OUTPUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadOut30mA 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN c2p + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 38.145 167.000 41.855 180.000 ; + LAYER Metal3 ; + RECT 38.145 179.710 41.855 180.000 ; + END + END c2p + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadOut30mA + +MACRO sg13g2_IOPadTriOut4mA + CLASS PAD OUTPUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadTriOut4mA 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN c2p + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 38.330 178.090 38.620 180.000 ; + LAYER Metal3 ; + RECT 38.225 179.710 38.725 180.000 ; + END + END c2p + PIN c2p_en + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 41.380 174.045 41.670 180.000 ; + LAYER Metal3 ; + RECT 41.275 179.710 41.775 180.000 ; + END + END c2p_en + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadTriOut4mA + +MACRO sg13g2_IOPadTriOut16mA + CLASS PAD OUTPUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadTriOut16mA 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN c2p + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 38.330 178.090 38.620 180.000 ; + LAYER Metal3 ; + RECT 38.225 179.710 38.725 180.000 ; + END + END c2p + PIN c2p_en + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 41.380 174.045 41.670 180.000 ; + LAYER Metal3 ; + RECT 41.275 179.710 41.775 180.000 ; + END + END c2p_en + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadTriOut16mA + +MACRO sg13g2_IOPadTriOut30mA + CLASS PAD OUTPUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadTriOut30mA 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN c2p + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 38.330 178.090 38.620 180.000 ; + LAYER Metal3 ; + RECT 38.225 179.710 38.725 180.000 ; + END + END c2p + PIN c2p_en + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 41.380 174.045 41.670 180.000 ; + LAYER Metal3 ; + RECT 41.275 179.710 41.775 180.000 ; + END + END c2p_en + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadTriOut30mA + +MACRO sg13g2_IOPadInOut4mA + CLASS PAD INOUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadInOut4mA 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN c2p + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 18.330 178.090 18.620 180.000 ; + LAYER Metal3 ; + RECT 18.225 179.710 18.725 180.000 ; + END + END c2p + PIN c2p_en + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 21.380 174.045 21.670 180.000 ; + LAYER Metal3 ; + RECT 21.275 179.710 21.775 180.000 ; + END + END c2p_en + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN p2c + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 59.830 157.810 60.120 180.000 ; + LAYER Metal3 ; + RECT 59.725 179.710 60.225 180.000 ; + END + END p2c + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadInOut4mA + +MACRO sg13g2_IOPadInOut16mA + CLASS PAD INOUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadInOut16mA 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN c2p + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 18.330 178.090 18.620 180.000 ; + LAYER Metal3 ; + RECT 18.225 179.710 18.725 180.000 ; + END + END c2p + PIN c2p_en + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 21.380 174.045 21.670 180.000 ; + LAYER Metal3 ; + RECT 21.275 179.710 21.775 180.000 ; + END + END c2p_en + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN p2c + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 59.830 157.810 60.120 180.000 ; + LAYER Metal3 ; + RECT 59.725 179.710 60.225 180.000 ; + END + END p2c + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadInOut16mA + +MACRO sg13g2_IOPadInOut30mA + CLASS PAD INOUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadInOut30mA 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN c2p + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 18.330 178.090 18.620 180.000 ; + LAYER Metal3 ; + RECT 18.225 179.710 18.725 180.000 ; + END + END c2p + PIN c2p_en + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 21.380 174.045 21.670 180.000 ; + LAYER Metal3 ; + RECT 21.275 179.710 21.775 180.000 ; + END + END c2p_en + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN p2c + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 59.830 157.810 60.120 180.000 ; + LAYER Metal3 ; + RECT 59.725 179.710 60.225 180.000 ; + END + END p2c + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadInOut30mA + +MACRO sg13g2_IOPadAnalog + CLASS PAD INOUT ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadAnalog 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN pad + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 26.105 179.000 50.875 180.000 ; + LAYER Metal3 ; + RECT 26.105 179.710 50.875 180.000 ; + END + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + END pad + PIN padres + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER Metal2 ; + RECT 57.460 147.180 57.750 180.000 ; + LAYER Metal3 ; + RECT 57.355 179.710 57.855 180.000 ; + END + END padres + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadAnalog + +MACRO sg13g2_IOPadIOVss + CLASS PAD POWER ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadIOVss 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadIOVss + +MACRO sg13g2_IOPadIOVdd + CLASS PAD POWER ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadIOVdd 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 3.900 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 3.900 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 76.100 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 76.100 8.500 80.000 31.000 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadIOVdd + +MACRO sg13g2_IOPadVss + CLASS PAD POWER ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadVss 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 80.000 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 80.000 132.500 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 132.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadVss + +MACRO sg13g2_IOPadVdd + CLASS PAD POWER ; + ORIGIN 0.000 0.000 ; + FOREIGN sg13g2_IOPadVdd 0.000 0.000 ; + SIZE 80.000 BY 180.000 ; + SYMMETRY X Y R90 ; + SITE sg13g2_ioSite ; + PIN iovdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal3 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 93.500 80.000 119.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 66.000 80.000 91.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 76.100 67.500 80.000 90.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 76.100 95.000 80.000 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 95.000 3.900 117.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 67.500 3.900 90.000 ; + END + END iovdd + PIN iovss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 7.000 80.000 32.500 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 126.000 80.000 134.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 34.500 80.000 60.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 36.000 3.900 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 8.500 3.900 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 76.100 127.500 80.000 132.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 76.100 36.000 80.000 58.500 ; + END + PORT + LAYER TopMetal2 ; + RECT 76.100 8.500 80.000 31.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 0.000 127.500 3.900 132.500 ; + END + END iovss + PIN vdd + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER Metal2 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal3 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal4 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER Metal5 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal1 ; + RECT 5.000 0.000 75.000 3.000 ; + LAYER TopMetal2 ; + RECT 5.000 0.000 75.000 3.000 ; + END + PORT + LAYER Metal3 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 140.000 80.000 155.800 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER TopMetal2 ; + RECT 7.500 141.500 72.500 156.500 ; + END + END vdd + PIN vss + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER Metal3 ; + RECT 0.000 140.000 80.000 158.000 ; + END + PORT + LAYER Metal4 ; + RECT 0.000 162.200 80.000 178.000 ; + END + PORT + LAYER Metal5 ; + RECT 0.000 160.000 80.000 178.000 ; + END + PORT + LAYER TopMetal1 ; + RECT 0.000 160.000 80.000 178.000 ; + END + END vss + OBS + LAYER Metal1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal2 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Metal3 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal4 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER Metal5 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal1 ; + RECT 0.000 0.000 80.000 178.000 ; + LAYER TopMetal2 ; + RECT 0.000 0.000 80.000 156.500 ; + LAYER Via1 ; + RECT 0.000 0.000 80.000 180.000 ; + LAYER Via2 ; + RECT 0.000 0.000 80.000 180.000 ; + END +END sg13g2_IOPadVdd diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_1024x64_c2_bm_bist_fast_1p32V_m55C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_1024x64_c2_bm_bist_fast_1p32V_m55C.lib new file mode 100644 index 0000000000..4db1b3ed6e --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_1024x64_c2_bm_bist_fast_1p32V_m55C.lib @@ -0,0 +1,1524 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_1024x64_c2_bm_bist_fast_1p32V_m55C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.32); + voltage_map ( VDDARRAY, 1.32); + voltage_map ( VSS, 0.000000 ); + + date : "Wed Feb 22 14:29:06 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : -55 ; + nom_voltage : 1.32 ; + + operating_conditions("fast_1p32V_m55C"){ + process : 1 ; + temperature : -55 ; + voltage : 1.32 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : fast_1p32V_m55C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_63_0) { + base_type : array; + data_type : bit; + bit_width : 64; + bit_from : 63; + bit_to : 0; + downto : true; + } + + type (A_9_0) { + base_type : array; + data_type : bit; + bit_width : 10; + bit_from : 9; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_1024x64_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 10; + word_width : 64; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 263946.1408 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_9_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00481603 ; + } + pin(A_ADDR[5]) { + capacitance : 0.00868123 ; + } + pin(A_ADDR[6]) { + capacitance : 0.010187 ; + } + pin(A_ADDR[7]) { + capacitance : 0.00734489 ; + } + pin(A_ADDR[8]) { + capacitance : 0.00904175 ; + } + max_transition : "0.38" ; + pin(A_ADDR[9:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.9984); + } + fall_power("scalar"){ + values (1.3371); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0395); + } + fall_power("scalar"){ + values (0.0017); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (2.0034); + } + fall_power("scalar"){ + values (0.0280); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0081); + } + fall_power("scalar"){ + values (0.0011); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0213); + } + fall_power("scalar"){ + values (0.0125); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0300); + } + fall_power("scalar"){ + values (0.0283); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.5690); + } + fall_power("scalar"){ + values (1.0076); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00310985 ; + max_transition : "0.38" ; + pin(A_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.2971); + } + fall_power("scalar"){ + values (0.3356); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.3685); + } + fall_power("scalar"){ + values (0.3134); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3118,-0.3001,-0.2864,-0.2610,-0.2249,-0.1497,-0.0120",\ +"-0.3150,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0152",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3449,-0.3332,-0.3195,-0.2942,-0.2580,-0.1828,-0.0451",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2611,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2688,-0.2581,-0.2444,-0.2171,-0.1790,-0.1106,0.0173",\ +"-0.2721,-0.2613,-0.2477,-0.2203,-0.1822,-0.1139,0.0141",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3020,-0.2912,-0.2776,-0.2502,-0.2121,-0.1438,-0.0158",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3206,0.2464,0.1078",\ +"0.4144,0.4026,0.3880,0.3626,0.3245,0.2503,0.1116",\ +"0.4182,0.4064,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4221,0.4075,0.3821,0.3440,0.2698,0.1311",\ +"0.4513,0.4396,0.4250,0.3996,0.3615,0.2873,0.1486",\ +"0.4790,0.4673,0.4526,0.4272,0.3891,0.3149,0.1762"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3626,0.3519,0.3382,0.3109,0.2728,0.2044,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0784",\ +"0.3703,0.3596,0.3459,0.3186,0.2805,0.2121,0.0822",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3342,0.2962,0.2278,0.0979",\ +"0.4035,0.3927,0.3791,0.3517,0.3136,0.2453,0.1154",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1430"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.7606); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (139.5902); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (145.7402); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (118.3291); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.4622); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (2.4389); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00387207 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.38" ; + pin(A_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.2971); + } + fall_power("scalar"){ + values (0.3356); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.3685); + } + fall_power("scalar"){ + values (0.3134); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3118,-0.3001,-0.2864,-0.2610,-0.2249,-0.1497,-0.0120",\ +"-0.3150,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0152",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3449,-0.3332,-0.3195,-0.2942,-0.2580,-0.1828,-0.0451",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2611,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2688,-0.2581,-0.2444,-0.2171,-0.1790,-0.1106,0.0173",\ +"-0.2721,-0.2613,-0.2477,-0.2203,-0.1822,-0.1139,0.0141",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3020,-0.2912,-0.2776,-0.2502,-0.2121,-0.1438,-0.0158",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3206,0.2464,0.1078",\ +"0.4144,0.4026,0.3880,0.3626,0.3245,0.2503,0.1116",\ +"0.4182,0.4064,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4221,0.4075,0.3821,0.3440,0.2698,0.1311",\ +"0.4513,0.4396,0.4250,0.3996,0.3615,0.2873,0.1486",\ +"0.4790,0.4673,0.4526,0.4272,0.3891,0.3149,0.1762"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3626,0.3519,0.3382,0.3109,0.2728,0.2044,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0784",\ +"0.3703,0.3596,0.3459,0.3186,0.2805,0.2121,0.0822",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3342,0.2962,0.2278,0.0979",\ +"0.4035,0.3927,0.3791,0.3517,0.3136,0.2453,0.1154",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1430"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_9_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00481603 ; + } + pin(A_BIST_ADDR[5]) { + capacitance : 0.00868123 ; + } + pin(A_BIST_ADDR[6]) { + capacitance : 0.010187 ; + } + pin(A_BIST_ADDR[7]) { + capacitance : 0.00734489 ; + } + pin(A_BIST_ADDR[8]) { + capacitance : 0.00904175 ; + } + max_transition : "0.38" ; + pin(A_BIST_ADDR[9:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.9984); + } + fall_power("scalar"){ + values (1.3371); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0395); + } + fall_power("scalar"){ + values (0.0017); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (2.0034); + } + fall_power("scalar"){ + values (0.0280); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0081); + } + fall_power("scalar"){ + values (0.0011); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0213); + } + fall_power("scalar"){ + values (0.0125); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0300); + } + fall_power("scalar"){ + values (0.0283); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.5690); + } + fall_power("scalar"){ + values (1.0076); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00253457 ; + max_transition : "0.38" ; + pin(A_BIST_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.2971); + } + fall_power("scalar"){ + values (0.3356); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.3685); + } + fall_power("scalar"){ + values (0.3134); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3118,-0.3001,-0.2864,-0.2610,-0.2249,-0.1497,-0.0120",\ +"-0.3150,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0152",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3449,-0.3332,-0.3195,-0.2942,-0.2580,-0.1828,-0.0451",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2611,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2688,-0.2581,-0.2444,-0.2171,-0.1790,-0.1106,0.0173",\ +"-0.2721,-0.2613,-0.2477,-0.2203,-0.1822,-0.1139,0.0141",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3020,-0.2912,-0.2776,-0.2502,-0.2121,-0.1438,-0.0158",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3206,0.2464,0.1078",\ +"0.4144,0.4026,0.3880,0.3626,0.3245,0.2503,0.1116",\ +"0.4182,0.4064,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4221,0.4075,0.3821,0.3440,0.2698,0.1311",\ +"0.4513,0.4396,0.4250,0.3996,0.3615,0.2873,0.1486",\ +"0.4790,0.4673,0.4526,0.4272,0.3891,0.3149,0.1762"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3626,0.3519,0.3382,0.3109,0.2728,0.2044,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0784",\ +"0.3703,0.3596,0.3459,0.3186,0.2805,0.2121,0.0822",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3342,0.2962,0.2278,0.0979",\ +"0.4035,0.3927,0.3791,0.3517,0.3136,0.2453,0.1154",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1430"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.7606); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (139.5902); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (145.7402); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (118.3291); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.4622); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (2.4389); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00252927 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.38" ; + pin(A_BIST_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.2971); + } + fall_power("scalar"){ + values (0.3356); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.3685); + } + fall_power("scalar"){ + values (0.3134); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3118,-0.3001,-0.2864,-0.2610,-0.2249,-0.1497,-0.0120",\ +"-0.3150,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0152",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3449,-0.3332,-0.3195,-0.2942,-0.2580,-0.1828,-0.0451",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2611,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2688,-0.2581,-0.2444,-0.2171,-0.1790,-0.1106,0.0173",\ +"-0.2721,-0.2613,-0.2477,-0.2203,-0.1822,-0.1139,0.0141",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3020,-0.2912,-0.2776,-0.2502,-0.2121,-0.1438,-0.0158",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3206,0.2464,0.1078",\ +"0.4144,0.4026,0.3880,0.3626,0.3245,0.2503,0.1116",\ +"0.4182,0.4064,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4221,0.4075,0.3821,0.3440,0.2698,0.1311",\ +"0.4513,0.4396,0.4250,0.3996,0.3615,0.2873,0.1486",\ +"0.4790,0.4673,0.4526,0.4272,0.3891,0.3149,0.1762"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3626,0.3519,0.3382,0.3109,0.2728,0.2044,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0784",\ +"0.3703,0.3596,0.3459,0.3186,0.2805,0.2121,0.0822",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3342,0.2962,0.2278,0.0979",\ +"0.4035,0.3927,0.3791,0.3517,0.3136,0.2453,0.1154",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1430"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_63_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("2.6722,2.6732,2.6780,2.6835,2.7044,2.7279",\ +"2.6794,2.6804,2.6852,2.6907,2.7116,2.7351",\ +"2.6808,2.6818,2.6867,2.6922,2.7131,2.7366",\ +"2.6842,2.6852,2.6900,2.6955,2.7164,2.7399",\ +"2.6970,2.6980,2.7028,2.7083,2.7292,2.7527",\ +"2.7148,2.7158,2.7206,2.7261,2.7470,2.7705",\ +"2.7402,2.7412,2.7460,2.7515,2.7724,2.7959"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("2.6379,2.6387,2.6428,2.6473,2.6644,2.6811",\ +"2.6451,2.6459,2.6500,2.6545,2.6716,2.6883",\ +"2.6466,2.6474,2.6514,2.6560,2.6731,2.6898",\ +"2.6499,2.6507,2.6548,2.6593,2.6764,2.6931",\ +"2.6627,2.6636,2.6676,2.6722,2.6892,2.7060",\ +"2.6805,2.6813,2.6854,2.6899,2.7070,2.7237",\ +"2.7059,2.7067,2.7108,2.7153,2.7324,2.7491"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0206,0.0210,0.0265,0.0323,0.0606,0.0955"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0170,0.0177,0.0222,0.0270,0.0451,0.0644"); + } + } +} +cell_leakage_power : 1878.3764; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_1024x64_c2_bm_bist_slow_1p08V_125C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_1024x64_c2_bm_bist_slow_1p08V_125C.lib new file mode 100644 index 0000000000..e1476bf229 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_1024x64_c2_bm_bist_slow_1p08V_125C.lib @@ -0,0 +1,1524 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_1024x64_c2_bm_bist_slow_1p08V_125C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.08); + voltage_map ( VDDARRAY, 1.08); + voltage_map ( VSS, 0.000000 ); + + date : "Wed Feb 22 14:29:06 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : 125 ; + nom_voltage : 1.08 ; + + operating_conditions("slow_1p08V_125C"){ + process : 1 ; + temperature : 125 ; + voltage : 1.08 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : slow_1p08V_125C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_63_0) { + base_type : array; + data_type : bit; + bit_width : 64; + bit_from : 63; + bit_to : 0; + downto : true; + } + + type (A_9_0) { + base_type : array; + data_type : bit; + bit_width : 10; + bit_from : 9; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_1024x64_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 10; + word_width : 64; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 263946.1408 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_9_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00507219 ; + } + pin(A_ADDR[5]) { + capacitance : 0.00964344 ; + } + pin(A_ADDR[6]) { + capacitance : 0.0119653 ; + } + pin(A_ADDR[7]) { + capacitance : 0.00827606 ; + } + pin(A_ADDR[8]) { + capacitance : 0.0110771 ; + } + max_transition : "0.5952" ; + pin(A_ADDR[9:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0606); + } + fall_power("scalar"){ + values (0.0789); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0505); + } + fall_power("scalar"){ + values (0.0501); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3098",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0674); + } + fall_power("scalar"){ + values (0.0506); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1196); + } + fall_power("scalar"){ + values (0.2070); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0668); + } + fall_power("scalar"){ + values (0.0280); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0151); + } + fall_power("scalar"){ + values (0.0073); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.0134); + } + fall_power("scalar"){ + values (0.0705); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00263802 ; + max_transition : "0.5952" ; + pin(A_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1159); + } + fall_power("scalar"){ + values (0.0946); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1782); + } + fall_power("scalar"){ + values (0.0583); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6387,-0.4004",\ +"-0.9436,-0.9240,-0.8977,-0.8527,-0.7766,-0.6467,-0.4084",\ +"-0.9526,-0.9330,-0.9067,-0.8617,-0.7856,-0.6557,-0.4174",\ +"-0.9657,-0.9462,-0.9198,-0.8749,-0.7987,-0.6688,-0.4306",\ +"-0.9900,-0.9705,-0.9441,-0.8992,-0.8230,-0.6931,-0.4548",\ +"-1.0205,-1.0010,-0.9746,-0.9297,-0.8535,-0.7236,-0.4854",\ +"-1.1020,-1.0824,-1.0561,-1.0111,-0.9350,-0.8051,-0.5668"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8223,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8303,-0.8117,-0.7834,-0.7443,-0.6613,-0.5246,-0.3156",\ +"-0.8393,-0.8207,-0.7924,-0.7533,-0.6703,-0.5336,-0.3246",\ +"-0.8524,-0.8339,-0.8056,-0.7665,-0.6835,-0.5468,-0.3378",\ +"-0.8767,-0.8582,-0.8298,-0.7908,-0.7078,-0.5711,-0.3621",\ +"-0.9072,-0.8887,-0.8604,-0.8213,-0.7383,-0.6016,-0.3926",\ +"-0.9887,-0.9701,-0.9418,-0.9027,-0.8197,-0.6830,-0.4740"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9996,0.9595,0.8843,0.7486,0.5152",\ +"1.0594,1.0388,1.0076,0.9676,0.8924,0.7566,0.5232",\ +"1.0684,1.0478,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0815,1.0610,1.0298,0.9897,0.9145,0.7788,0.5454",\ +"1.1058,1.0853,1.0540,1.0140,0.9388,0.8031,0.5697",\ +"1.1363,1.1158,1.0845,1.0445,0.9693,0.8336,0.6002",\ +"1.2178,1.1973,1.1660,1.1260,1.0508,0.9150,0.6816"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8414,0.7584,0.6207,0.4117",\ +"0.9343,0.9148,0.8875,0.8494,0.7664,0.6287,0.4197",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9565,0.9370,0.9096,0.8716,0.7885,0.6508,0.4419",\ +"0.9808,0.9613,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0113,0.9918,0.9644,0.9263,0.8433,0.7056,0.4966",\ +"1.0928,1.0732,1.0459,1.0078,0.9248,0.7871,0.5781"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.8383); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (86.2251); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (94.0001); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (68.0395); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.6081); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (2.0222); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00358485 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.5952" ; + pin(A_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1159); + } + fall_power("scalar"){ + values (0.0946); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1782); + } + fall_power("scalar"){ + values (0.0583); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6387,-0.4004",\ +"-0.9436,-0.9240,-0.8977,-0.8527,-0.7766,-0.6467,-0.4084",\ +"-0.9526,-0.9330,-0.9067,-0.8617,-0.7856,-0.6557,-0.4174",\ +"-0.9657,-0.9462,-0.9198,-0.8749,-0.7987,-0.6688,-0.4306",\ +"-0.9900,-0.9705,-0.9441,-0.8992,-0.8230,-0.6931,-0.4548",\ +"-1.0205,-1.0010,-0.9746,-0.9297,-0.8535,-0.7236,-0.4854",\ +"-1.1020,-1.0824,-1.0561,-1.0111,-0.9350,-0.8051,-0.5668"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8223,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8303,-0.8117,-0.7834,-0.7443,-0.6613,-0.5246,-0.3156",\ +"-0.8393,-0.8207,-0.7924,-0.7533,-0.6703,-0.5336,-0.3246",\ +"-0.8524,-0.8339,-0.8056,-0.7665,-0.6835,-0.5468,-0.3378",\ +"-0.8767,-0.8582,-0.8298,-0.7908,-0.7078,-0.5711,-0.3621",\ +"-0.9072,-0.8887,-0.8604,-0.8213,-0.7383,-0.6016,-0.3926",\ +"-0.9887,-0.9701,-0.9418,-0.9027,-0.8197,-0.6830,-0.4740"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9996,0.9595,0.8843,0.7486,0.5152",\ +"1.0594,1.0388,1.0076,0.9676,0.8924,0.7566,0.5232",\ +"1.0684,1.0478,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0815,1.0610,1.0298,0.9897,0.9145,0.7788,0.5454",\ +"1.1058,1.0853,1.0540,1.0140,0.9388,0.8031,0.5697",\ +"1.1363,1.1158,1.0845,1.0445,0.9693,0.8336,0.6002",\ +"1.2178,1.1973,1.1660,1.1260,1.0508,0.9150,0.6816"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8414,0.7584,0.6207,0.4117",\ +"0.9343,0.9148,0.8875,0.8494,0.7664,0.6287,0.4197",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9565,0.9370,0.9096,0.8716,0.7885,0.6508,0.4419",\ +"0.9808,0.9613,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0113,0.9918,0.9644,0.9263,0.8433,0.7056,0.4966",\ +"1.0928,1.0732,1.0459,1.0078,0.9248,0.7871,0.5781"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_9_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00507219 ; + } + pin(A_BIST_ADDR[5]) { + capacitance : 0.00964344 ; + } + pin(A_BIST_ADDR[6]) { + capacitance : 0.0119653 ; + } + pin(A_BIST_ADDR[7]) { + capacitance : 0.00827606 ; + } + pin(A_BIST_ADDR[8]) { + capacitance : 0.0110771 ; + } + max_transition : "0.5952" ; + pin(A_BIST_ADDR[9:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0606); + } + fall_power("scalar"){ + values (0.0789); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0505); + } + fall_power("scalar"){ + values (0.0501); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3098",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0674); + } + fall_power("scalar"){ + values (0.0506); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1196); + } + fall_power("scalar"){ + values (0.2070); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0668); + } + fall_power("scalar"){ + values (0.0280); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0151); + } + fall_power("scalar"){ + values (0.0073); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.0134); + } + fall_power("scalar"){ + values (0.0705); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00225269 ; + max_transition : "0.5952" ; + pin(A_BIST_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1159); + } + fall_power("scalar"){ + values (0.0946); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1782); + } + fall_power("scalar"){ + values (0.0583); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6387,-0.4004",\ +"-0.9436,-0.9240,-0.8977,-0.8527,-0.7766,-0.6467,-0.4084",\ +"-0.9526,-0.9330,-0.9067,-0.8617,-0.7856,-0.6557,-0.4174",\ +"-0.9657,-0.9462,-0.9198,-0.8749,-0.7987,-0.6688,-0.4306",\ +"-0.9900,-0.9705,-0.9441,-0.8992,-0.8230,-0.6931,-0.4548",\ +"-1.0205,-1.0010,-0.9746,-0.9297,-0.8535,-0.7236,-0.4854",\ +"-1.1020,-1.0824,-1.0561,-1.0111,-0.9350,-0.8051,-0.5668"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8223,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8303,-0.8117,-0.7834,-0.7443,-0.6613,-0.5246,-0.3156",\ +"-0.8393,-0.8207,-0.7924,-0.7533,-0.6703,-0.5336,-0.3246",\ +"-0.8524,-0.8339,-0.8056,-0.7665,-0.6835,-0.5468,-0.3378",\ +"-0.8767,-0.8582,-0.8298,-0.7908,-0.7078,-0.5711,-0.3621",\ +"-0.9072,-0.8887,-0.8604,-0.8213,-0.7383,-0.6016,-0.3926",\ +"-0.9887,-0.9701,-0.9418,-0.9027,-0.8197,-0.6830,-0.4740"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9996,0.9595,0.8843,0.7486,0.5152",\ +"1.0594,1.0388,1.0076,0.9676,0.8924,0.7566,0.5232",\ +"1.0684,1.0478,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0815,1.0610,1.0298,0.9897,0.9145,0.7788,0.5454",\ +"1.1058,1.0853,1.0540,1.0140,0.9388,0.8031,0.5697",\ +"1.1363,1.1158,1.0845,1.0445,0.9693,0.8336,0.6002",\ +"1.2178,1.1973,1.1660,1.1260,1.0508,0.9150,0.6816"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8414,0.7584,0.6207,0.4117",\ +"0.9343,0.9148,0.8875,0.8494,0.7664,0.6287,0.4197",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9565,0.9370,0.9096,0.8716,0.7885,0.6508,0.4419",\ +"0.9808,0.9613,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0113,0.9918,0.9644,0.9263,0.8433,0.7056,0.4966",\ +"1.0928,1.0732,1.0459,1.0078,0.9248,0.7871,0.5781"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.8383); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (86.2251); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (94.0001); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (68.0395); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.6081); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (2.0222); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00226348 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.5952" ; + pin(A_BIST_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1159); + } + fall_power("scalar"){ + values (0.0946); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1782); + } + fall_power("scalar"){ + values (0.0583); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6387,-0.4004",\ +"-0.9436,-0.9240,-0.8977,-0.8527,-0.7766,-0.6467,-0.4084",\ +"-0.9526,-0.9330,-0.9067,-0.8617,-0.7856,-0.6557,-0.4174",\ +"-0.9657,-0.9462,-0.9198,-0.8749,-0.7987,-0.6688,-0.4306",\ +"-0.9900,-0.9705,-0.9441,-0.8992,-0.8230,-0.6931,-0.4548",\ +"-1.0205,-1.0010,-0.9746,-0.9297,-0.8535,-0.7236,-0.4854",\ +"-1.1020,-1.0824,-1.0561,-1.0111,-0.9350,-0.8051,-0.5668"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8223,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8303,-0.8117,-0.7834,-0.7443,-0.6613,-0.5246,-0.3156",\ +"-0.8393,-0.8207,-0.7924,-0.7533,-0.6703,-0.5336,-0.3246",\ +"-0.8524,-0.8339,-0.8056,-0.7665,-0.6835,-0.5468,-0.3378",\ +"-0.8767,-0.8582,-0.8298,-0.7908,-0.7078,-0.5711,-0.3621",\ +"-0.9072,-0.8887,-0.8604,-0.8213,-0.7383,-0.6016,-0.3926",\ +"-0.9887,-0.9701,-0.9418,-0.9027,-0.8197,-0.6830,-0.4740"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9996,0.9595,0.8843,0.7486,0.5152",\ +"1.0594,1.0388,1.0076,0.9676,0.8924,0.7566,0.5232",\ +"1.0684,1.0478,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0815,1.0610,1.0298,0.9897,0.9145,0.7788,0.5454",\ +"1.1058,1.0853,1.0540,1.0140,0.9388,0.8031,0.5697",\ +"1.1363,1.1158,1.0845,1.0445,0.9693,0.8336,0.6002",\ +"1.2178,1.1973,1.1660,1.1260,1.0508,0.9150,0.6816"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8414,0.7584,0.6207,0.4117",\ +"0.9343,0.9148,0.8875,0.8494,0.7664,0.6287,0.4197",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9565,0.9370,0.9096,0.8716,0.7885,0.6508,0.4419",\ +"0.9808,0.9613,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0113,0.9918,0.9644,0.9263,0.8433,0.7056,0.4966",\ +"1.0928,1.0732,1.0459,1.0078,0.9248,0.7871,0.5781"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_63_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("7.2744,7.2777,7.2899,7.3038,7.3564,7.4142",\ +"7.2898,7.2931,7.3053,7.3193,7.3718,7.4297",\ +"7.2961,7.2995,7.3117,7.3256,7.3782,7.4360",\ +"7.3168,7.3202,7.3323,7.3463,7.3988,7.4567",\ +"7.3342,7.3376,7.3498,7.3637,7.4163,7.4741",\ +"7.3700,7.3734,7.3855,7.3995,7.4521,7.5099",\ +"7.4404,7.4437,7.4559,7.4699,7.5224,7.5803"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("7.1646,7.1668,7.1768,7.1885,7.2319,7.2757",\ +"7.1801,7.1822,7.1923,7.2040,7.2473,7.2911",\ +"7.1864,7.1886,7.1986,7.2103,7.2536,7.2975",\ +"7.2071,7.2092,7.2193,7.2310,7.2743,7.3181",\ +"7.2245,7.2267,7.2367,7.2484,7.2918,7.3356",\ +"7.2603,7.2625,7.2725,7.2842,7.3275,7.3714",\ +"7.3307,7.3328,7.3429,7.3546,7.3979,7.4417"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0560,0.0583,0.0696,0.0816,0.1519,0.2335"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0428,0.0445,0.0538,0.0658,0.1181,0.1751"); + } + } +} +cell_leakage_power : 5226.3526; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_2048x64_c2_bm_bist_fast_1p32V_m55C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_2048x64_c2_bm_bist_fast_1p32V_m55C.lib new file mode 100644 index 0000000000..5024686ad0 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_2048x64_c2_bm_bist_fast_1p32V_m55C.lib @@ -0,0 +1,1530 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_2048x64_c2_bm_bist_fast_1p32V_m55C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.32); + voltage_map ( VDDARRAY, 1.32); + voltage_map ( VSS, 0.000000 ); + + date : "Fri Nov 17 08:18:58 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : -55 ; + nom_voltage : 1.32 ; + + operating_conditions("fast_1p32V_m55C"){ + process : 1 ; + temperature : -55 ; + voltage : 1.32 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : fast_1p32V_m55C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_63_0) { + base_type : array; + data_type : bit; + bit_width : 64; + bit_from : 63; + bit_to : 0; + downto : true; + } + + type (A_10_0) { + base_type : array; + data_type : bit; + bit_width : 11; + bit_from : 10; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_2048x64_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 11; + word_width : 64; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 491633.616 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_10_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00481603 ; + } + pin(A_ADDR[5]) { + capacitance : 0.00868123 ; + } + pin(A_ADDR[6]) { + capacitance : 0.010187 ; + } + pin(A_ADDR[7]) { + capacitance : 0.00734489 ; + } + pin(A_ADDR[8]) { + capacitance : 0.00904175 ; + } + pin(A_ADDR[9]) { + capacitance : 0.0074973 ; + } + max_transition : "0.38" ; + pin(A_ADDR[10:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.4556); + } + fall_power("scalar"){ + values (0.8645); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0781); + } + fall_power("scalar"){ + values (0.0117); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.3152); + } + fall_power("scalar"){ + values (0.0042); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0114); + } + fall_power("scalar"){ + values (0.0400); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0049); + } + fall_power("scalar"){ + values (0.0192); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0341); + } + fall_power("scalar"){ + values (0.0406); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.5829); + } + fall_power("scalar"){ + values (0.4540); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00310985 ; + max_transition : "0.38" ; + pin(A_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.3550); + } + fall_power("scalar"){ + values (0.3289); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.3159); + } + fall_power("scalar"){ + values (0.3049); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3119,-0.3002,-0.2865,-0.2611,-0.2250,-0.1498,-0.0121",\ +"-0.3151,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0153",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3450,-0.3332,-0.3196,-0.2942,-0.2580,-0.1829,-0.0452",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2504,-0.2368,-0.2094,-0.1713,-0.1030,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2690,-0.2582,-0.2445,-0.2172,-0.1791,-0.1107,0.0172",\ +"-0.2721,-0.2614,-0.2477,-0.2203,-0.1822,-0.1139,0.0140",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3020,-0.2913,-0.2776,-0.2502,-0.2122,-0.1438,-0.0159",\ +"-0.3297,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4183,0.4066,0.3919,0.3665,0.3285,0.2542,0.1156",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1312",\ +"0.4513,0.4396,0.4250,0.3996,0.3615,0.2873,0.1486",\ +"0.4790,0.4673,0.4526,0.4272,0.3892,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3519,0.3382,0.3109,0.2728,0.2045,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0785",\ +"0.3705,0.3597,0.3460,0.3187,0.2806,0.2122,0.0824",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0980",\ +"0.4035,0.3928,0.3791,0.3517,0.3137,0.2453,0.1154",\ +"0.4312,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.7162); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (185.1805); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (197.2069); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (154.8838); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.5657); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (2.7188); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00387207 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.38" ; + pin(A_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.3550); + } + fall_power("scalar"){ + values (0.3289); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.3159); + } + fall_power("scalar"){ + values (0.3049); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3119,-0.3002,-0.2865,-0.2611,-0.2250,-0.1498,-0.0121",\ +"-0.3151,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0153",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3450,-0.3332,-0.3196,-0.2942,-0.2580,-0.1829,-0.0452",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2504,-0.2368,-0.2094,-0.1713,-0.1030,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2690,-0.2582,-0.2445,-0.2172,-0.1791,-0.1107,0.0172",\ +"-0.2721,-0.2614,-0.2477,-0.2203,-0.1822,-0.1139,0.0140",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3020,-0.2913,-0.2776,-0.2502,-0.2122,-0.1438,-0.0159",\ +"-0.3297,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4183,0.4066,0.3919,0.3665,0.3285,0.2542,0.1156",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1312",\ +"0.4513,0.4396,0.4250,0.3996,0.3615,0.2873,0.1486",\ +"0.4790,0.4673,0.4526,0.4272,0.3892,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3519,0.3382,0.3109,0.2728,0.2045,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0785",\ +"0.3705,0.3597,0.3460,0.3187,0.2806,0.2122,0.0824",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0980",\ +"0.4035,0.3928,0.3791,0.3517,0.3137,0.2453,0.1154",\ +"0.4312,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_10_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00481603 ; + } + pin(A_BIST_ADDR[5]) { + capacitance : 0.00868123 ; + } + pin(A_BIST_ADDR[6]) { + capacitance : 0.010187 ; + } + pin(A_BIST_ADDR[7]) { + capacitance : 0.00734489 ; + } + pin(A_BIST_ADDR[8]) { + capacitance : 0.00904175 ; + } + pin(A_BIST_ADDR[9]) { + capacitance : 0.0074973 ; + } + max_transition : "0.38" ; + pin(A_BIST_ADDR[10:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.4556); + } + fall_power("scalar"){ + values (0.8645); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0781); + } + fall_power("scalar"){ + values (0.0117); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.3152); + } + fall_power("scalar"){ + values (0.0042); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0114); + } + fall_power("scalar"){ + values (0.0400); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0049); + } + fall_power("scalar"){ + values (0.0192); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0341); + } + fall_power("scalar"){ + values (0.0406); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.5829); + } + fall_power("scalar"){ + values (0.4540); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00253457 ; + max_transition : "0.38" ; + pin(A_BIST_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.3550); + } + fall_power("scalar"){ + values (0.3289); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.3159); + } + fall_power("scalar"){ + values (0.3049); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3119,-0.3002,-0.2865,-0.2611,-0.2250,-0.1498,-0.0121",\ +"-0.3151,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0153",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3450,-0.3332,-0.3196,-0.2942,-0.2580,-0.1829,-0.0452",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2504,-0.2368,-0.2094,-0.1713,-0.1030,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2690,-0.2582,-0.2445,-0.2172,-0.1791,-0.1107,0.0172",\ +"-0.2721,-0.2614,-0.2477,-0.2203,-0.1822,-0.1139,0.0140",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3020,-0.2913,-0.2776,-0.2502,-0.2122,-0.1438,-0.0159",\ +"-0.3297,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4183,0.4066,0.3919,0.3665,0.3285,0.2542,0.1156",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1312",\ +"0.4513,0.4396,0.4250,0.3996,0.3615,0.2873,0.1486",\ +"0.4790,0.4673,0.4526,0.4272,0.3892,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3519,0.3382,0.3109,0.2728,0.2045,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0785",\ +"0.3705,0.3597,0.3460,0.3187,0.2806,0.2122,0.0824",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0980",\ +"0.4035,0.3928,0.3791,0.3517,0.3137,0.2453,0.1154",\ +"0.4312,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.7162); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (185.1805); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (197.2069); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (154.8838); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.5657); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (2.7188); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00252927 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.38" ; + pin(A_BIST_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.3550); + } + fall_power("scalar"){ + values (0.3289); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.3159); + } + fall_power("scalar"){ + values (0.3049); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3119,-0.3002,-0.2865,-0.2611,-0.2250,-0.1498,-0.0121",\ +"-0.3151,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0153",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3450,-0.3332,-0.3196,-0.2942,-0.2580,-0.1829,-0.0452",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2504,-0.2368,-0.2094,-0.1713,-0.1030,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2690,-0.2582,-0.2445,-0.2172,-0.1791,-0.1107,0.0172",\ +"-0.2721,-0.2614,-0.2477,-0.2203,-0.1822,-0.1139,0.0140",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3020,-0.2913,-0.2776,-0.2502,-0.2122,-0.1438,-0.0159",\ +"-0.3297,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4183,0.4066,0.3919,0.3665,0.3285,0.2542,0.1156",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1312",\ +"0.4513,0.4396,0.4250,0.3996,0.3615,0.2873,0.1486",\ +"0.4790,0.4673,0.4526,0.4272,0.3892,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3519,0.3382,0.3109,0.2728,0.2045,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0785",\ +"0.3705,0.3597,0.3460,0.3187,0.2806,0.2122,0.0824",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0980",\ +"0.4035,0.3928,0.3791,0.3517,0.3137,0.2453,0.1154",\ +"0.4312,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_63_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("3.3261,3.3271,3.3320,3.3375,3.3584,3.3819",\ +"3.3326,3.3336,3.3384,3.3439,3.3648,3.3883",\ +"3.3365,3.3375,3.3423,3.3478,3.3688,3.3923",\ +"3.3398,3.3408,3.3457,3.3512,3.3721,3.3956",\ +"3.3485,3.3495,3.3543,3.3598,3.3807,3.4042",\ +"3.3706,3.3716,3.3764,3.3819,3.4028,3.4263",\ +"3.3961,3.3971,3.4019,3.4074,3.4283,3.4518"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("3.2919,3.2927,3.2968,3.3013,3.3184,3.3351",\ +"3.2983,3.2991,3.3032,3.3077,3.3248,3.3415",\ +"3.3022,3.3031,3.3071,3.3117,3.3288,3.3455",\ +"3.3056,3.3064,3.3105,3.3150,3.3321,3.3488",\ +"3.3142,3.3150,3.3191,3.3236,3.3407,3.3574",\ +"3.3363,3.3372,3.3412,3.3457,3.3628,3.3796",\ +"3.3618,3.3626,3.3667,3.3712,3.3883,3.4050"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0206,0.0210,0.0265,0.0323,0.0606,0.0955"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0170,0.0177,0.0222,0.0270,0.0451,0.0644"); + } + } +} +cell_leakage_power : 3756.7529; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_2048x64_c2_bm_bist_slow_1p08V_125C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_2048x64_c2_bm_bist_slow_1p08V_125C.lib new file mode 100644 index 0000000000..e103d31041 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_2048x64_c2_bm_bist_slow_1p08V_125C.lib @@ -0,0 +1,1530 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_2048x64_c2_bm_bist_slow_1p08V_125C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.08); + voltage_map ( VDDARRAY, 1.08); + voltage_map ( VSS, 0.000000 ); + + date : "Fri Nov 17 08:18:58 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : 125 ; + nom_voltage : 1.08 ; + + operating_conditions("slow_1p08V_125C"){ + process : 1 ; + temperature : 125 ; + voltage : 1.08 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : slow_1p08V_125C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_63_0) { + base_type : array; + data_type : bit; + bit_width : 64; + bit_from : 63; + bit_to : 0; + downto : true; + } + + type (A_10_0) { + base_type : array; + data_type : bit; + bit_width : 11; + bit_from : 10; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_2048x64_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 11; + word_width : 64; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 491633.616 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_10_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00507219 ; + } + pin(A_ADDR[5]) { + capacitance : 0.00964344 ; + } + pin(A_ADDR[6]) { + capacitance : 0.0119653 ; + } + pin(A_ADDR[7]) { + capacitance : 0.00827606 ; + } + pin(A_ADDR[8]) { + capacitance : 0.0110771 ; + } + pin(A_ADDR[9]) { + capacitance : 0.00811634 ; + } + max_transition : "0.5952" ; + pin(A_ADDR[10:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1612); + } + fall_power("scalar"){ + values (0.0090); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1763); + } + fall_power("scalar"){ + values (0.1612); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3098",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1573); + } + fall_power("scalar"){ + values (0.1351); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.4895); + } + fall_power("scalar"){ + values (0.1123); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1579); + } + fall_power("scalar"){ + values (0.1127); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0129); + } + fall_power("scalar"){ + values (0.0030); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.2117); + } + fall_power("scalar"){ + values (0.1542); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00263802 ; + max_transition : "0.5952" ; + pin(A_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.3407); + } + fall_power("scalar"){ + values (0.0102); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1813); + } + fall_power("scalar"){ + values (0.0571); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9351,-0.9156,-0.8892,-0.8443,-0.7681,-0.6382,-0.4000",\ +"-0.9431,-0.9236,-0.8972,-0.8523,-0.7761,-0.6462,-0.4080",\ +"-0.9532,-0.9337,-0.9073,-0.8624,-0.7862,-0.6563,-0.4180",\ +"-0.9661,-0.9466,-0.9202,-0.8753,-0.7991,-0.6692,-0.4310",\ +"-0.9915,-0.9719,-0.9456,-0.9006,-0.8245,-0.6946,-0.4563",\ +"-1.0337,-1.0141,-0.9878,-0.9428,-0.8667,-0.7368,-0.4985",\ +"-1.0981,-1.0786,-1.0522,-1.0073,-0.9311,-0.8012,-0.5629"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8218,-0.8033,-0.7750,-0.7359,-0.6529,-0.5162,-0.3072",\ +"-0.8298,-0.8113,-0.7830,-0.7439,-0.6609,-0.5242,-0.3152",\ +"-0.8399,-0.8214,-0.7930,-0.7540,-0.6710,-0.5343,-0.3253",\ +"-0.8528,-0.8343,-0.8060,-0.7669,-0.6839,-0.5472,-0.3382",\ +"-0.8782,-0.8596,-0.8313,-0.7922,-0.7092,-0.5725,-0.3635",\ +"-0.9204,-0.9018,-0.8735,-0.8344,-0.7514,-0.6147,-0.4057",\ +"-0.9848,-0.9663,-0.9379,-0.8989,-0.8159,-0.6791,-0.4702"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0509,1.0304,0.9992,0.9591,0.8839,0.7482,0.5148",\ +"1.0589,1.0384,1.0072,0.9671,0.8919,0.7562,0.5228",\ +"1.0690,1.0485,1.0172,0.9772,0.9020,0.7663,0.5329",\ +"1.0819,1.0614,1.0302,0.9901,0.9149,0.7792,0.5458",\ +"1.1073,1.0868,1.0555,1.0155,0.9403,0.8045,0.5711",\ +"1.1495,1.1290,1.0977,1.0577,0.9825,0.8467,0.6133",\ +"1.2139,1.1934,1.1621,1.1221,1.0469,0.9112,0.6778"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9259,0.9064,0.8790,0.8410,0.7580,0.6203,0.4113",\ +"0.9339,0.9144,0.8870,0.8490,0.7659,0.6283,0.4193",\ +"0.9440,0.9245,0.8971,0.8590,0.7760,0.6383,0.4294",\ +"0.9569,0.9374,0.9100,0.8720,0.7890,0.6513,0.4423",\ +"0.9823,0.9627,0.9354,0.8973,0.8143,0.6766,0.4676",\ +"1.0245,1.0049,0.9776,0.9395,0.8565,0.7188,0.5098",\ +"1.0889,1.0694,1.0420,1.0039,0.9209,0.7832,0.5742"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (1.1365); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (121.2607); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (129.2195); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (92.2608); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.8574); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (2.3537); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00358485 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.5952" ; + pin(A_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.3407); + } + fall_power("scalar"){ + values (0.0102); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1813); + } + fall_power("scalar"){ + values (0.0571); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9351,-0.9156,-0.8892,-0.8443,-0.7681,-0.6382,-0.4000",\ +"-0.9431,-0.9236,-0.8972,-0.8523,-0.7761,-0.6462,-0.4080",\ +"-0.9532,-0.9337,-0.9073,-0.8624,-0.7862,-0.6563,-0.4180",\ +"-0.9661,-0.9466,-0.9202,-0.8753,-0.7991,-0.6692,-0.4310",\ +"-0.9915,-0.9719,-0.9456,-0.9006,-0.8245,-0.6946,-0.4563",\ +"-1.0337,-1.0141,-0.9878,-0.9428,-0.8667,-0.7368,-0.4985",\ +"-1.0981,-1.0786,-1.0522,-1.0073,-0.9311,-0.8012,-0.5629"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8218,-0.8033,-0.7750,-0.7359,-0.6529,-0.5162,-0.3072",\ +"-0.8298,-0.8113,-0.7830,-0.7439,-0.6609,-0.5242,-0.3152",\ +"-0.8399,-0.8214,-0.7930,-0.7540,-0.6710,-0.5343,-0.3253",\ +"-0.8528,-0.8343,-0.8060,-0.7669,-0.6839,-0.5472,-0.3382",\ +"-0.8782,-0.8596,-0.8313,-0.7922,-0.7092,-0.5725,-0.3635",\ +"-0.9204,-0.9018,-0.8735,-0.8344,-0.7514,-0.6147,-0.4057",\ +"-0.9848,-0.9663,-0.9379,-0.8989,-0.8159,-0.6791,-0.4702"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0509,1.0304,0.9992,0.9591,0.8839,0.7482,0.5148",\ +"1.0589,1.0384,1.0072,0.9671,0.8919,0.7562,0.5228",\ +"1.0690,1.0485,1.0172,0.9772,0.9020,0.7663,0.5329",\ +"1.0819,1.0614,1.0302,0.9901,0.9149,0.7792,0.5458",\ +"1.1073,1.0868,1.0555,1.0155,0.9403,0.8045,0.5711",\ +"1.1495,1.1290,1.0977,1.0577,0.9825,0.8467,0.6133",\ +"1.2139,1.1934,1.1621,1.1221,1.0469,0.9112,0.6778"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9259,0.9064,0.8790,0.8410,0.7580,0.6203,0.4113",\ +"0.9339,0.9144,0.8870,0.8490,0.7659,0.6283,0.4193",\ +"0.9440,0.9245,0.8971,0.8590,0.7760,0.6383,0.4294",\ +"0.9569,0.9374,0.9100,0.8720,0.7890,0.6513,0.4423",\ +"0.9823,0.9627,0.9354,0.8973,0.8143,0.6766,0.4676",\ +"1.0245,1.0049,0.9776,0.9395,0.8565,0.7188,0.5098",\ +"1.0889,1.0694,1.0420,1.0039,0.9209,0.7832,0.5742"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_10_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00507219 ; + } + pin(A_BIST_ADDR[5]) { + capacitance : 0.00964344 ; + } + pin(A_BIST_ADDR[6]) { + capacitance : 0.0119653 ; + } + pin(A_BIST_ADDR[7]) { + capacitance : 0.00827606 ; + } + pin(A_BIST_ADDR[8]) { + capacitance : 0.0110771 ; + } + pin(A_BIST_ADDR[9]) { + capacitance : 0.00811634 ; + } + max_transition : "0.5952" ; + pin(A_BIST_ADDR[10:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1612); + } + fall_power("scalar"){ + values (0.0090); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1763); + } + fall_power("scalar"){ + values (0.1612); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3098",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1573); + } + fall_power("scalar"){ + values (0.1351); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.4895); + } + fall_power("scalar"){ + values (0.1123); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1579); + } + fall_power("scalar"){ + values (0.1127); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0129); + } + fall_power("scalar"){ + values (0.0030); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.2117); + } + fall_power("scalar"){ + values (0.1542); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00225269 ; + max_transition : "0.5952" ; + pin(A_BIST_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.3407); + } + fall_power("scalar"){ + values (0.0102); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1813); + } + fall_power("scalar"){ + values (0.0571); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9351,-0.9156,-0.8892,-0.8443,-0.7681,-0.6382,-0.4000",\ +"-0.9431,-0.9236,-0.8972,-0.8523,-0.7761,-0.6462,-0.4080",\ +"-0.9532,-0.9337,-0.9073,-0.8624,-0.7862,-0.6563,-0.4180",\ +"-0.9661,-0.9466,-0.9202,-0.8753,-0.7991,-0.6692,-0.4310",\ +"-0.9915,-0.9719,-0.9456,-0.9006,-0.8245,-0.6946,-0.4563",\ +"-1.0337,-1.0141,-0.9878,-0.9428,-0.8667,-0.7368,-0.4985",\ +"-1.0981,-1.0786,-1.0522,-1.0073,-0.9311,-0.8012,-0.5629"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8218,-0.8033,-0.7750,-0.7359,-0.6529,-0.5162,-0.3072",\ +"-0.8298,-0.8113,-0.7830,-0.7439,-0.6609,-0.5242,-0.3152",\ +"-0.8399,-0.8214,-0.7930,-0.7540,-0.6710,-0.5343,-0.3253",\ +"-0.8528,-0.8343,-0.8060,-0.7669,-0.6839,-0.5472,-0.3382",\ +"-0.8782,-0.8596,-0.8313,-0.7922,-0.7092,-0.5725,-0.3635",\ +"-0.9204,-0.9018,-0.8735,-0.8344,-0.7514,-0.6147,-0.4057",\ +"-0.9848,-0.9663,-0.9379,-0.8989,-0.8159,-0.6791,-0.4702"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0509,1.0304,0.9992,0.9591,0.8839,0.7482,0.5148",\ +"1.0589,1.0384,1.0072,0.9671,0.8919,0.7562,0.5228",\ +"1.0690,1.0485,1.0172,0.9772,0.9020,0.7663,0.5329",\ +"1.0819,1.0614,1.0302,0.9901,0.9149,0.7792,0.5458",\ +"1.1073,1.0868,1.0555,1.0155,0.9403,0.8045,0.5711",\ +"1.1495,1.1290,1.0977,1.0577,0.9825,0.8467,0.6133",\ +"1.2139,1.1934,1.1621,1.1221,1.0469,0.9112,0.6778"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9259,0.9064,0.8790,0.8410,0.7580,0.6203,0.4113",\ +"0.9339,0.9144,0.8870,0.8490,0.7659,0.6283,0.4193",\ +"0.9440,0.9245,0.8971,0.8590,0.7760,0.6383,0.4294",\ +"0.9569,0.9374,0.9100,0.8720,0.7890,0.6513,0.4423",\ +"0.9823,0.9627,0.9354,0.8973,0.8143,0.6766,0.4676",\ +"1.0245,1.0049,0.9776,0.9395,0.8565,0.7188,0.5098",\ +"1.0889,1.0694,1.0420,1.0039,0.9209,0.7832,0.5742"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (1.1365); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (121.2607); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (129.2195); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (92.2608); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.8574); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (2.3537); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00226348 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.5952" ; + pin(A_BIST_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.3407); + } + fall_power("scalar"){ + values (0.0102); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1813); + } + fall_power("scalar"){ + values (0.0571); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9351,-0.9156,-0.8892,-0.8443,-0.7681,-0.6382,-0.4000",\ +"-0.9431,-0.9236,-0.8972,-0.8523,-0.7761,-0.6462,-0.4080",\ +"-0.9532,-0.9337,-0.9073,-0.8624,-0.7862,-0.6563,-0.4180",\ +"-0.9661,-0.9466,-0.9202,-0.8753,-0.7991,-0.6692,-0.4310",\ +"-0.9915,-0.9719,-0.9456,-0.9006,-0.8245,-0.6946,-0.4563",\ +"-1.0337,-1.0141,-0.9878,-0.9428,-0.8667,-0.7368,-0.4985",\ +"-1.0981,-1.0786,-1.0522,-1.0073,-0.9311,-0.8012,-0.5629"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8218,-0.8033,-0.7750,-0.7359,-0.6529,-0.5162,-0.3072",\ +"-0.8298,-0.8113,-0.7830,-0.7439,-0.6609,-0.5242,-0.3152",\ +"-0.8399,-0.8214,-0.7930,-0.7540,-0.6710,-0.5343,-0.3253",\ +"-0.8528,-0.8343,-0.8060,-0.7669,-0.6839,-0.5472,-0.3382",\ +"-0.8782,-0.8596,-0.8313,-0.7922,-0.7092,-0.5725,-0.3635",\ +"-0.9204,-0.9018,-0.8735,-0.8344,-0.7514,-0.6147,-0.4057",\ +"-0.9848,-0.9663,-0.9379,-0.8989,-0.8159,-0.6791,-0.4702"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0509,1.0304,0.9992,0.9591,0.8839,0.7482,0.5148",\ +"1.0589,1.0384,1.0072,0.9671,0.8919,0.7562,0.5228",\ +"1.0690,1.0485,1.0172,0.9772,0.9020,0.7663,0.5329",\ +"1.0819,1.0614,1.0302,0.9901,0.9149,0.7792,0.5458",\ +"1.1073,1.0868,1.0555,1.0155,0.9403,0.8045,0.5711",\ +"1.1495,1.1290,1.0977,1.0577,0.9825,0.8467,0.6133",\ +"1.2139,1.1934,1.1621,1.1221,1.0469,0.9112,0.6778"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9259,0.9064,0.8790,0.8410,0.7580,0.6203,0.4113",\ +"0.9339,0.9144,0.8870,0.8490,0.7659,0.6283,0.4193",\ +"0.9440,0.9245,0.8971,0.8590,0.7760,0.6383,0.4294",\ +"0.9569,0.9374,0.9100,0.8720,0.7890,0.6513,0.4423",\ +"0.9823,0.9627,0.9354,0.8973,0.8143,0.6766,0.4676",\ +"1.0245,1.0049,0.9776,0.9395,0.8565,0.7188,0.5098",\ +"1.0889,1.0694,1.0420,1.0039,0.9209,0.7832,0.5742"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_63_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("8.9940,8.9974,9.0095,9.0235,9.0760,9.1339",\ +"9.0061,9.0095,9.0216,9.0356,9.0882,9.1460",\ +"9.0180,9.0214,9.0336,9.0475,9.1001,9.1579",\ +"9.0202,9.0235,9.0357,9.0497,9.1022,9.1601",\ +"9.0460,9.0493,9.0615,9.0755,9.1280,9.1859",\ +"9.0974,9.1007,9.1129,9.1269,9.1794,9.2373",\ +"9.1542,9.1576,9.1697,9.1837,9.2363,9.2941"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("8.8843,8.8864,8.8965,8.9082,8.9515,8.9953",\ +"8.8964,8.8986,8.9086,8.9203,8.9636,9.0075",\ +"8.9083,8.9105,8.9205,8.9322,8.9755,9.0194",\ +"8.9104,8.9126,8.9227,8.9343,8.9777,9.0215",\ +"8.9363,8.9384,8.9485,8.9602,9.0035,9.0473",\ +"8.9876,8.9898,8.9999,9.0115,9.0549,9.0987",\ +"9.0445,9.0467,9.0567,9.0684,9.1117,9.1556"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0560,0.0583,0.0696,0.0816,0.1519,0.2335"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0428,0.0445,0.0538,0.0658,0.1181,0.1751"); + } + } +} +cell_leakage_power : 10452.7053; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x48_c2_bm_bist_fast_1p32V_m55C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x48_c2_bm_bist_fast_1p32V_m55C.lib new file mode 100644 index 0000000000..040bb957eb --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x48_c2_bm_bist_fast_1p32V_m55C.lib @@ -0,0 +1,1512 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_256x48_c2_bm_bist_fast_1p32V_m55C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.32); + voltage_map ( VDDARRAY, 1.32); + voltage_map ( VSS, 0.000000 ); + + date : "Fri Nov 17 08:18:04 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : -55 ; + nom_voltage : 1.32 ; + + operating_conditions("fast_1p32V_m55C"){ + process : 1 ; + temperature : -55 ; + voltage : 1.32 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : fast_1p32V_m55C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_47_0) { + base_type : array; + data_type : bit; + bit_width : 48; + bit_from : 47; + bit_to : 0; + downto : true; + } + + type (A_7_0) { + base_type : array; + data_type : bit; + bit_width : 8; + bit_from : 7; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_256x48_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 8; + word_width : 48; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 70849.8944 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_7_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00481603 ; + } + pin(A_ADDR[5]) { + capacitance : 0.00868123 ; + } + pin(A_ADDR[6]) { + capacitance : 0.010187 ; + } + max_transition : "0.38" ; + pin(A_ADDR[7:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0097); + } + fall_power("scalar"){ + values (0.0043); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0264); + } + fall_power("scalar"){ + values (0.0044); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0018); + } + fall_power("scalar"){ + values (0.0184); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0046); + } + fall_power("scalar"){ + values (0.0226); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0136); + } + fall_power("scalar"){ + values (0.0106); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0141); + } + fall_power("scalar"){ + values (0.0208); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.4005); + } + fall_power("scalar"){ + values (0.0109); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BM) { + bus_type : D_47_0; + direction : input ; + capacitance : 0.00310985 ; + max_transition : "0.38" ; + pin(A_BM[47:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.2703); + } + fall_power("scalar"){ + values (0.2350); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.2147); + } + fall_power("scalar"){ + values (0.2221); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3149,-0.3042,-0.2886,-0.2622,-0.2222,-0.1518,-0.0171",\ +"-0.3188,-0.3081,-0.2924,-0.2661,-0.2260,-0.1557,-0.0210",\ +"-0.3225,-0.3118,-0.2961,-0.2698,-0.2297,-0.1594,-0.0246",\ +"-0.3257,-0.3150,-0.2993,-0.2730,-0.2329,-0.1626,-0.0278",\ +"-0.3383,-0.3276,-0.3119,-0.2856,-0.2455,-0.1752,-0.0405",\ +"-0.3559,-0.3452,-0.3296,-0.3032,-0.2631,-0.1928,-0.0581",\ +"-0.3834,-0.3727,-0.3571,-0.3307,-0.2907,-0.2203,-0.0856"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2925,-0.2817,-0.2681,-0.2407,-0.2036,-0.1313,-0.0015",\ +"-0.2964,-0.2856,-0.2719,-0.2446,-0.2075,-0.1352,-0.0053",\ +"-0.3000,-0.2893,-0.2756,-0.2483,-0.2112,-0.1389,-0.0090",\ +"-0.3032,-0.2925,-0.2788,-0.2515,-0.2144,-0.1421,-0.0122",\ +"-0.3159,-0.3051,-0.2914,-0.2641,-0.2270,-0.1547,-0.0248",\ +"-0.3335,-0.3227,-0.3090,-0.2817,-0.2446,-0.1723,-0.0424",\ +"-0.3610,-0.3502,-0.3366,-0.3092,-0.2721,-0.1998,-0.0700"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4211,0.4094,0.3948,0.3684,0.3283,0.2571,0.1203",\ +"0.4250,0.4133,0.3986,0.3723,0.3322,0.2609,0.1242",\ +"0.4287,0.4170,0.4023,0.3759,0.3359,0.2646,0.1279",\ +"0.4319,0.4202,0.4055,0.3791,0.3391,0.2678,0.1311",\ +"0.4445,0.4328,0.4181,0.3918,0.3517,0.2804,0.1437",\ +"0.4621,0.4504,0.4357,0.4094,0.3693,0.2980,0.1613",\ +"0.4896,0.4779,0.4632,0.4369,0.3968,0.3256,0.1888"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3928,0.3830,0.3684,0.3420,0.3049,0.2317,0.1037",\ +"0.3967,0.3869,0.3723,0.3459,0.3088,0.2356,0.1076",\ +"0.4004,0.3906,0.3759,0.3496,0.3125,0.2392,0.1113",\ +"0.4036,0.3938,0.3791,0.3528,0.3157,0.2424,0.1145",\ +"0.4162,0.4064,0.3918,0.3654,0.3283,0.2550,0.1271",\ +"0.4338,0.4240,0.4094,0.3830,0.3459,0.2727,0.1447",\ +"0.4613,0.4515,0.4369,0.4105,0.3734,0.3002,0.1722"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.7161); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (59.8163); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (68.0753); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (49.7386); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.4270); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (1.7963); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_47_0; + direction : input ; + capacitance : 0.00387207 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.38" ; + pin(A_DIN[47:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.2703); + } + fall_power("scalar"){ + values (0.2350); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.2147); + } + fall_power("scalar"){ + values (0.2221); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3149,-0.3042,-0.2886,-0.2622,-0.2222,-0.1518,-0.0171",\ +"-0.3188,-0.3081,-0.2924,-0.2661,-0.2260,-0.1557,-0.0210",\ +"-0.3225,-0.3118,-0.2961,-0.2698,-0.2297,-0.1594,-0.0246",\ +"-0.3257,-0.3150,-0.2993,-0.2730,-0.2329,-0.1626,-0.0278",\ +"-0.3383,-0.3276,-0.3119,-0.2856,-0.2455,-0.1752,-0.0405",\ +"-0.3559,-0.3452,-0.3296,-0.3032,-0.2631,-0.1928,-0.0581",\ +"-0.3834,-0.3727,-0.3571,-0.3307,-0.2907,-0.2203,-0.0856"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2925,-0.2817,-0.2681,-0.2407,-0.2036,-0.1313,-0.0015",\ +"-0.2964,-0.2856,-0.2719,-0.2446,-0.2075,-0.1352,-0.0053",\ +"-0.3000,-0.2893,-0.2756,-0.2483,-0.2112,-0.1389,-0.0090",\ +"-0.3032,-0.2925,-0.2788,-0.2515,-0.2144,-0.1421,-0.0122",\ +"-0.3159,-0.3051,-0.2914,-0.2641,-0.2270,-0.1547,-0.0248",\ +"-0.3335,-0.3227,-0.3090,-0.2817,-0.2446,-0.1723,-0.0424",\ +"-0.3610,-0.3502,-0.3366,-0.3092,-0.2721,-0.1998,-0.0700"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4211,0.4094,0.3948,0.3684,0.3283,0.2571,0.1203",\ +"0.4250,0.4133,0.3986,0.3723,0.3322,0.2609,0.1242",\ +"0.4287,0.4170,0.4023,0.3759,0.3359,0.2646,0.1279",\ +"0.4319,0.4202,0.4055,0.3791,0.3391,0.2678,0.1311",\ +"0.4445,0.4328,0.4181,0.3918,0.3517,0.2804,0.1437",\ +"0.4621,0.4504,0.4357,0.4094,0.3693,0.2980,0.1613",\ +"0.4896,0.4779,0.4632,0.4369,0.3968,0.3256,0.1888"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3928,0.3830,0.3684,0.3420,0.3049,0.2317,0.1037",\ +"0.3967,0.3869,0.3723,0.3459,0.3088,0.2356,0.1076",\ +"0.4004,0.3906,0.3759,0.3496,0.3125,0.2392,0.1113",\ +"0.4036,0.3938,0.3791,0.3528,0.3157,0.2424,0.1145",\ +"0.4162,0.4064,0.3918,0.3654,0.3283,0.2550,0.1271",\ +"0.4338,0.4240,0.4094,0.3830,0.3459,0.2727,0.1447",\ +"0.4613,0.4515,0.4369,0.4105,0.3734,0.3002,0.1722"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_7_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00481603 ; + } + pin(A_BIST_ADDR[5]) { + capacitance : 0.00868123 ; + } + pin(A_BIST_ADDR[6]) { + capacitance : 0.010187 ; + } + max_transition : "0.38" ; + pin(A_BIST_ADDR[7:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0097); + } + fall_power("scalar"){ + values (0.0043); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0264); + } + fall_power("scalar"){ + values (0.0044); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0018); + } + fall_power("scalar"){ + values (0.0184); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0046); + } + fall_power("scalar"){ + values (0.0226); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0136); + } + fall_power("scalar"){ + values (0.0106); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0141); + } + fall_power("scalar"){ + values (0.0208); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.4005); + } + fall_power("scalar"){ + values (0.0109); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_47_0; + direction : input ; + capacitance : 0.00253457 ; + max_transition : "0.38" ; + pin(A_BIST_BM[47:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.2703); + } + fall_power("scalar"){ + values (0.2350); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.2147); + } + fall_power("scalar"){ + values (0.2221); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3149,-0.3042,-0.2886,-0.2622,-0.2222,-0.1518,-0.0171",\ +"-0.3188,-0.3081,-0.2924,-0.2661,-0.2260,-0.1557,-0.0210",\ +"-0.3225,-0.3118,-0.2961,-0.2698,-0.2297,-0.1594,-0.0246",\ +"-0.3257,-0.3150,-0.2993,-0.2730,-0.2329,-0.1626,-0.0278",\ +"-0.3383,-0.3276,-0.3119,-0.2856,-0.2455,-0.1752,-0.0405",\ +"-0.3559,-0.3452,-0.3296,-0.3032,-0.2631,-0.1928,-0.0581",\ +"-0.3834,-0.3727,-0.3571,-0.3307,-0.2907,-0.2203,-0.0856"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2925,-0.2817,-0.2681,-0.2407,-0.2036,-0.1313,-0.0015",\ +"-0.2964,-0.2856,-0.2719,-0.2446,-0.2075,-0.1352,-0.0053",\ +"-0.3000,-0.2893,-0.2756,-0.2483,-0.2112,-0.1389,-0.0090",\ +"-0.3032,-0.2925,-0.2788,-0.2515,-0.2144,-0.1421,-0.0122",\ +"-0.3159,-0.3051,-0.2914,-0.2641,-0.2270,-0.1547,-0.0248",\ +"-0.3335,-0.3227,-0.3090,-0.2817,-0.2446,-0.1723,-0.0424",\ +"-0.3610,-0.3502,-0.3366,-0.3092,-0.2721,-0.1998,-0.0700"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4211,0.4094,0.3948,0.3684,0.3283,0.2571,0.1203",\ +"0.4250,0.4133,0.3986,0.3723,0.3322,0.2609,0.1242",\ +"0.4287,0.4170,0.4023,0.3759,0.3359,0.2646,0.1279",\ +"0.4319,0.4202,0.4055,0.3791,0.3391,0.2678,0.1311",\ +"0.4445,0.4328,0.4181,0.3918,0.3517,0.2804,0.1437",\ +"0.4621,0.4504,0.4357,0.4094,0.3693,0.2980,0.1613",\ +"0.4896,0.4779,0.4632,0.4369,0.3968,0.3256,0.1888"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3928,0.3830,0.3684,0.3420,0.3049,0.2317,0.1037",\ +"0.3967,0.3869,0.3723,0.3459,0.3088,0.2356,0.1076",\ +"0.4004,0.3906,0.3759,0.3496,0.3125,0.2392,0.1113",\ +"0.4036,0.3938,0.3791,0.3528,0.3157,0.2424,0.1145",\ +"0.4162,0.4064,0.3918,0.3654,0.3283,0.2550,0.1271",\ +"0.4338,0.4240,0.4094,0.3830,0.3459,0.2727,0.1447",\ +"0.4613,0.4515,0.4369,0.4105,0.3734,0.3002,0.1722"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.7161); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (59.8163); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (68.0753); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (49.7386); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.4270); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (1.7963); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_47_0; + direction : input ; + capacitance : 0.00252927 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.38" ; + pin(A_BIST_DIN[47:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.2703); + } + fall_power("scalar"){ + values (0.2350); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.2147); + } + fall_power("scalar"){ + values (0.2221); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3149,-0.3042,-0.2886,-0.2622,-0.2222,-0.1518,-0.0171",\ +"-0.3188,-0.3081,-0.2924,-0.2661,-0.2260,-0.1557,-0.0210",\ +"-0.3225,-0.3118,-0.2961,-0.2698,-0.2297,-0.1594,-0.0246",\ +"-0.3257,-0.3150,-0.2993,-0.2730,-0.2329,-0.1626,-0.0278",\ +"-0.3383,-0.3276,-0.3119,-0.2856,-0.2455,-0.1752,-0.0405",\ +"-0.3559,-0.3452,-0.3296,-0.3032,-0.2631,-0.1928,-0.0581",\ +"-0.3834,-0.3727,-0.3571,-0.3307,-0.2907,-0.2203,-0.0856"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2925,-0.2817,-0.2681,-0.2407,-0.2036,-0.1313,-0.0015",\ +"-0.2964,-0.2856,-0.2719,-0.2446,-0.2075,-0.1352,-0.0053",\ +"-0.3000,-0.2893,-0.2756,-0.2483,-0.2112,-0.1389,-0.0090",\ +"-0.3032,-0.2925,-0.2788,-0.2515,-0.2144,-0.1421,-0.0122",\ +"-0.3159,-0.3051,-0.2914,-0.2641,-0.2270,-0.1547,-0.0248",\ +"-0.3335,-0.3227,-0.3090,-0.2817,-0.2446,-0.1723,-0.0424",\ +"-0.3610,-0.3502,-0.3366,-0.3092,-0.2721,-0.1998,-0.0700"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4211,0.4094,0.3948,0.3684,0.3283,0.2571,0.1203",\ +"0.4250,0.4133,0.3986,0.3723,0.3322,0.2609,0.1242",\ +"0.4287,0.4170,0.4023,0.3759,0.3359,0.2646,0.1279",\ +"0.4319,0.4202,0.4055,0.3791,0.3391,0.2678,0.1311",\ +"0.4445,0.4328,0.4181,0.3918,0.3517,0.2804,0.1437",\ +"0.4621,0.4504,0.4357,0.4094,0.3693,0.2980,0.1613",\ +"0.4896,0.4779,0.4632,0.4369,0.3968,0.3256,0.1888"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3928,0.3830,0.3684,0.3420,0.3049,0.2317,0.1037",\ +"0.3967,0.3869,0.3723,0.3459,0.3088,0.2356,0.1076",\ +"0.4004,0.3906,0.3759,0.3496,0.3125,0.2392,0.1113",\ +"0.4036,0.3938,0.3791,0.3528,0.3157,0.2424,0.1145",\ +"0.4162,0.4064,0.3918,0.3654,0.3283,0.2550,0.1271",\ +"0.4338,0.4240,0.4094,0.3830,0.3459,0.2727,0.1447",\ +"0.4613,0.4515,0.4369,0.4105,0.3734,0.3002,0.1722"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_47_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[47:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("1.9203,1.9213,1.9261,1.9317,1.9526,1.9761",\ +"1.9263,1.9273,1.9321,1.9376,1.9585,1.9820",\ +"1.9290,1.9300,1.9348,1.9404,1.9613,1.9848",\ +"1.9332,1.9342,1.9391,1.9446,1.9655,1.9890",\ +"1.9448,1.9458,1.9507,1.9562,1.9771,2.0006",\ +"1.9640,1.9650,1.9698,1.9753,1.9962,2.0197",\ +"1.9903,1.9913,1.9962,2.0017,2.0226,2.0461"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("1.8860,1.8869,1.8909,1.8955,1.9126,1.9293",\ +"1.8920,1.8928,1.8969,1.9014,1.9185,1.9352",\ +"1.8947,1.8956,1.8996,1.9042,1.9213,1.9380",\ +"1.8989,1.8998,1.9038,1.9084,1.9255,1.9422",\ +"1.9106,1.9114,1.9155,1.9200,1.9371,1.9538",\ +"1.9297,1.9305,1.9346,1.9391,1.9562,1.9729",\ +"1.9561,1.9569,1.9610,1.9655,1.9826,1.9993"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0206,0.0210,0.0265,0.0323,0.0606,0.0955"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0170,0.0177,0.0222,0.0270,0.0451,0.0644"); + } + } +} +cell_leakage_power : 352.1956; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x48_c2_bm_bist_slow_1p08V_125C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x48_c2_bm_bist_slow_1p08V_125C.lib new file mode 100644 index 0000000000..d164716b4c --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x48_c2_bm_bist_slow_1p08V_125C.lib @@ -0,0 +1,1512 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_256x48_c2_bm_bist_slow_1p08V_125C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.08); + voltage_map ( VDDARRAY, 1.08); + voltage_map ( VSS, 0.000000 ); + + date : "Fri Nov 17 08:18:04 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : 125 ; + nom_voltage : 1.08 ; + + operating_conditions("slow_1p08V_125C"){ + process : 1 ; + temperature : 125 ; + voltage : 1.08 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : slow_1p08V_125C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_47_0) { + base_type : array; + data_type : bit; + bit_width : 48; + bit_from : 47; + bit_to : 0; + downto : true; + } + + type (A_7_0) { + base_type : array; + data_type : bit; + bit_width : 8; + bit_from : 7; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_256x48_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 8; + word_width : 48; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 70849.8944 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_7_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00507219 ; + } + pin(A_ADDR[5]) { + capacitance : 0.00964344 ; + } + pin(A_ADDR[6]) { + capacitance : 0.0119653 ; + } + max_transition : "0.5952" ; + pin(A_ADDR[7:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0091); + } + fall_power("scalar"){ + values (0.0070); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0175); + } + fall_power("scalar"){ + values (0.0012); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3059",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0037); + } + fall_power("scalar"){ + values (0.0002); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0055); + } + fall_power("scalar"){ + values (0.0005); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0042); + } + fall_power("scalar"){ + values (0.0011); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0044); + } + fall_power("scalar"){ + values (0.0008); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.0438); + } + fall_power("scalar"){ + values (0.0004); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BM) { + bus_type : D_47_0; + direction : input ; + capacitance : 0.00263802 ; + max_transition : "0.5952" ; + pin(A_BM[47:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1403); + } + fall_power("scalar"){ + values (0.0455); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1348); + } + fall_power("scalar"){ + values (0.0436); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9620,-0.9424,-0.9161,-0.8741,-0.7930,-0.6485,-0.4278",\ +"-0.9699,-0.9504,-0.9240,-0.8820,-0.8010,-0.6564,-0.4357",\ +"-0.9790,-0.9595,-0.9331,-0.8912,-0.8101,-0.6656,-0.4449",\ +"-0.9940,-0.9745,-0.9481,-0.9061,-0.8251,-0.6805,-0.4598",\ +"-1.0164,-0.9969,-0.9705,-0.9285,-0.8475,-0.7029,-0.4822",\ +"-1.0600,-1.0405,-1.0141,-0.9721,-0.8911,-0.7465,-0.5258",\ +"-1.1292,-1.1097,-1.0833,-1.0413,-0.9602,-0.8157,-0.5950"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9063,-0.8907,-0.8624,-0.8233,-0.7423,-0.6046,-0.3926",\ +"-0.9142,-0.8986,-0.8703,-0.8312,-0.7502,-0.6125,-0.4006",\ +"-0.9234,-0.9078,-0.8794,-0.8404,-0.7593,-0.6216,-0.4097",\ +"-0.9384,-0.9227,-0.8944,-0.8553,-0.7743,-0.6366,-0.4247",\ +"-0.9607,-0.9451,-0.9168,-0.8777,-0.7967,-0.6590,-0.4471",\ +"-1.0043,-0.9887,-0.9604,-0.9213,-0.8403,-0.7026,-0.4907",\ +"-1.0735,-1.0579,-1.0296,-0.9905,-0.9095,-0.7718,-0.5599"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0792,1.0577,1.0314,0.9884,0.9093,0.7638,0.5382",\ +"1.0871,1.0657,1.0393,0.9963,0.9172,0.7717,0.5461",\ +"1.0963,1.0748,1.0484,1.0055,0.9264,0.7808,0.5553",\ +"1.1113,1.0898,1.0634,1.0204,0.9413,0.7958,0.5702",\ +"1.1336,1.1122,1.0858,1.0428,0.9637,0.8182,0.5926",\ +"1.1772,1.1558,1.1294,1.0864,1.0073,0.8618,0.6362",\ +"1.2464,1.2249,1.1986,1.1556,1.0765,0.9310,0.7054"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0109,0.9943,0.9659,0.9220,0.8448,0.7072,0.4972",\ +"1.0188,1.0022,0.9739,0.9299,0.8528,0.7151,0.5051",\ +"1.0279,1.0113,0.9830,0.9390,0.8619,0.7242,0.5142",\ +"1.0429,1.0263,0.9980,0.9540,0.8769,0.7392,0.5292",\ +"1.0653,1.0487,1.0204,0.9764,0.8993,0.7616,0.5516",\ +"1.1089,1.0923,1.0640,1.0200,0.9429,0.8052,0.5952",\ +"1.1781,1.1615,1.1331,1.0892,1.0120,0.8743,0.6644"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.5463); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (40.6506); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (44.3358); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (32.0468); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.3602); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (1.4813); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_47_0; + direction : input ; + capacitance : 0.00358485 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.5952" ; + pin(A_DIN[47:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1403); + } + fall_power("scalar"){ + values (0.0455); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1348); + } + fall_power("scalar"){ + values (0.0436); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9620,-0.9424,-0.9161,-0.8741,-0.7930,-0.6485,-0.4278",\ +"-0.9699,-0.9504,-0.9240,-0.8820,-0.8010,-0.6564,-0.4357",\ +"-0.9790,-0.9595,-0.9331,-0.8912,-0.8101,-0.6656,-0.4449",\ +"-0.9940,-0.9745,-0.9481,-0.9061,-0.8251,-0.6805,-0.4598",\ +"-1.0164,-0.9969,-0.9705,-0.9285,-0.8475,-0.7029,-0.4822",\ +"-1.0600,-1.0405,-1.0141,-0.9721,-0.8911,-0.7465,-0.5258",\ +"-1.1292,-1.1097,-1.0833,-1.0413,-0.9602,-0.8157,-0.5950"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9063,-0.8907,-0.8624,-0.8233,-0.7423,-0.6046,-0.3926",\ +"-0.9142,-0.8986,-0.8703,-0.8312,-0.7502,-0.6125,-0.4006",\ +"-0.9234,-0.9078,-0.8794,-0.8404,-0.7593,-0.6216,-0.4097",\ +"-0.9384,-0.9227,-0.8944,-0.8553,-0.7743,-0.6366,-0.4247",\ +"-0.9607,-0.9451,-0.9168,-0.8777,-0.7967,-0.6590,-0.4471",\ +"-1.0043,-0.9887,-0.9604,-0.9213,-0.8403,-0.7026,-0.4907",\ +"-1.0735,-1.0579,-1.0296,-0.9905,-0.9095,-0.7718,-0.5599"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0792,1.0577,1.0314,0.9884,0.9093,0.7638,0.5382",\ +"1.0871,1.0657,1.0393,0.9963,0.9172,0.7717,0.5461",\ +"1.0963,1.0748,1.0484,1.0055,0.9264,0.7808,0.5553",\ +"1.1113,1.0898,1.0634,1.0204,0.9413,0.7958,0.5702",\ +"1.1336,1.1122,1.0858,1.0428,0.9637,0.8182,0.5926",\ +"1.1772,1.1558,1.1294,1.0864,1.0073,0.8618,0.6362",\ +"1.2464,1.2249,1.1986,1.1556,1.0765,0.9310,0.7054"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0109,0.9943,0.9659,0.9220,0.8448,0.7072,0.4972",\ +"1.0188,1.0022,0.9739,0.9299,0.8528,0.7151,0.5051",\ +"1.0279,1.0113,0.9830,0.9390,0.8619,0.7242,0.5142",\ +"1.0429,1.0263,0.9980,0.9540,0.8769,0.7392,0.5292",\ +"1.0653,1.0487,1.0204,0.9764,0.8993,0.7616,0.5516",\ +"1.1089,1.0923,1.0640,1.0200,0.9429,0.8052,0.5952",\ +"1.1781,1.1615,1.1331,1.0892,1.0120,0.8743,0.6644"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_7_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00507219 ; + } + pin(A_BIST_ADDR[5]) { + capacitance : 0.00964344 ; + } + pin(A_BIST_ADDR[6]) { + capacitance : 0.0119653 ; + } + max_transition : "0.5952" ; + pin(A_BIST_ADDR[7:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0091); + } + fall_power("scalar"){ + values (0.0070); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0175); + } + fall_power("scalar"){ + values (0.0012); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3059",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0037); + } + fall_power("scalar"){ + values (0.0002); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0055); + } + fall_power("scalar"){ + values (0.0005); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0042); + } + fall_power("scalar"){ + values (0.0011); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0044); + } + fall_power("scalar"){ + values (0.0008); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.0438); + } + fall_power("scalar"){ + values (0.0004); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_47_0; + direction : input ; + capacitance : 0.00225269 ; + max_transition : "0.5952" ; + pin(A_BIST_BM[47:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1403); + } + fall_power("scalar"){ + values (0.0455); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1348); + } + fall_power("scalar"){ + values (0.0436); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9620,-0.9424,-0.9161,-0.8741,-0.7930,-0.6485,-0.4278",\ +"-0.9699,-0.9504,-0.9240,-0.8820,-0.8010,-0.6564,-0.4357",\ +"-0.9790,-0.9595,-0.9331,-0.8912,-0.8101,-0.6656,-0.4449",\ +"-0.9940,-0.9745,-0.9481,-0.9061,-0.8251,-0.6805,-0.4598",\ +"-1.0164,-0.9969,-0.9705,-0.9285,-0.8475,-0.7029,-0.4822",\ +"-1.0600,-1.0405,-1.0141,-0.9721,-0.8911,-0.7465,-0.5258",\ +"-1.1292,-1.1097,-1.0833,-1.0413,-0.9602,-0.8157,-0.5950"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9063,-0.8907,-0.8624,-0.8233,-0.7423,-0.6046,-0.3926",\ +"-0.9142,-0.8986,-0.8703,-0.8312,-0.7502,-0.6125,-0.4006",\ +"-0.9234,-0.9078,-0.8794,-0.8404,-0.7593,-0.6216,-0.4097",\ +"-0.9384,-0.9227,-0.8944,-0.8553,-0.7743,-0.6366,-0.4247",\ +"-0.9607,-0.9451,-0.9168,-0.8777,-0.7967,-0.6590,-0.4471",\ +"-1.0043,-0.9887,-0.9604,-0.9213,-0.8403,-0.7026,-0.4907",\ +"-1.0735,-1.0579,-1.0296,-0.9905,-0.9095,-0.7718,-0.5599"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0792,1.0577,1.0314,0.9884,0.9093,0.7638,0.5382",\ +"1.0871,1.0657,1.0393,0.9963,0.9172,0.7717,0.5461",\ +"1.0963,1.0748,1.0484,1.0055,0.9264,0.7808,0.5553",\ +"1.1113,1.0898,1.0634,1.0204,0.9413,0.7958,0.5702",\ +"1.1336,1.1122,1.0858,1.0428,0.9637,0.8182,0.5926",\ +"1.1772,1.1558,1.1294,1.0864,1.0073,0.8618,0.6362",\ +"1.2464,1.2249,1.1986,1.1556,1.0765,0.9310,0.7054"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0109,0.9943,0.9659,0.9220,0.8448,0.7072,0.4972",\ +"1.0188,1.0022,0.9739,0.9299,0.8528,0.7151,0.5051",\ +"1.0279,1.0113,0.9830,0.9390,0.8619,0.7242,0.5142",\ +"1.0429,1.0263,0.9980,0.9540,0.8769,0.7392,0.5292",\ +"1.0653,1.0487,1.0204,0.9764,0.8993,0.7616,0.5516",\ +"1.1089,1.0923,1.0640,1.0200,0.9429,0.8052,0.5952",\ +"1.1781,1.1615,1.1331,1.0892,1.0120,0.8743,0.6644"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.5463); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (40.6506); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (44.3358); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (32.0468); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.3602); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (1.4813); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_47_0; + direction : input ; + capacitance : 0.00226348 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.5952" ; + pin(A_BIST_DIN[47:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1403); + } + fall_power("scalar"){ + values (0.0455); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1348); + } + fall_power("scalar"){ + values (0.0436); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9620,-0.9424,-0.9161,-0.8741,-0.7930,-0.6485,-0.4278",\ +"-0.9699,-0.9504,-0.9240,-0.8820,-0.8010,-0.6564,-0.4357",\ +"-0.9790,-0.9595,-0.9331,-0.8912,-0.8101,-0.6656,-0.4449",\ +"-0.9940,-0.9745,-0.9481,-0.9061,-0.8251,-0.6805,-0.4598",\ +"-1.0164,-0.9969,-0.9705,-0.9285,-0.8475,-0.7029,-0.4822",\ +"-1.0600,-1.0405,-1.0141,-0.9721,-0.8911,-0.7465,-0.5258",\ +"-1.1292,-1.1097,-1.0833,-1.0413,-0.9602,-0.8157,-0.5950"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9063,-0.8907,-0.8624,-0.8233,-0.7423,-0.6046,-0.3926",\ +"-0.9142,-0.8986,-0.8703,-0.8312,-0.7502,-0.6125,-0.4006",\ +"-0.9234,-0.9078,-0.8794,-0.8404,-0.7593,-0.6216,-0.4097",\ +"-0.9384,-0.9227,-0.8944,-0.8553,-0.7743,-0.6366,-0.4247",\ +"-0.9607,-0.9451,-0.9168,-0.8777,-0.7967,-0.6590,-0.4471",\ +"-1.0043,-0.9887,-0.9604,-0.9213,-0.8403,-0.7026,-0.4907",\ +"-1.0735,-1.0579,-1.0296,-0.9905,-0.9095,-0.7718,-0.5599"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0792,1.0577,1.0314,0.9884,0.9093,0.7638,0.5382",\ +"1.0871,1.0657,1.0393,0.9963,0.9172,0.7717,0.5461",\ +"1.0963,1.0748,1.0484,1.0055,0.9264,0.7808,0.5553",\ +"1.1113,1.0898,1.0634,1.0204,0.9413,0.7958,0.5702",\ +"1.1336,1.1122,1.0858,1.0428,0.9637,0.8182,0.5926",\ +"1.1772,1.1558,1.1294,1.0864,1.0073,0.8618,0.6362",\ +"1.2464,1.2249,1.1986,1.1556,1.0765,0.9310,0.7054"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0109,0.9943,0.9659,0.9220,0.8448,0.7072,0.4972",\ +"1.0188,1.0022,0.9739,0.9299,0.8528,0.7151,0.5051",\ +"1.0279,1.0113,0.9830,0.9390,0.8619,0.7242,0.5142",\ +"1.0429,1.0263,0.9980,0.9540,0.8769,0.7392,0.5292",\ +"1.0653,1.0487,1.0204,0.9764,0.8993,0.7616,0.5516",\ +"1.1089,1.0923,1.0640,1.0200,0.9429,0.8052,0.5952",\ +"1.1781,1.1615,1.1331,1.0892,1.0120,0.8743,0.6644"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_47_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[47:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("5.2586,5.2613,5.2735,5.2877,5.3403,5.3953",\ +"5.2725,5.2752,5.2874,5.3016,5.3542,5.4092",\ +"5.2824,5.2851,5.2973,5.3115,5.3641,5.4190",\ +"5.2957,5.2984,5.3105,5.3248,5.3774,5.4323",\ +"5.3189,5.3217,5.3338,5.3480,5.4006,5.4556",\ +"5.3637,5.3664,5.3786,5.3928,5.4454,5.5003",\ +"5.4310,5.4337,5.4458,5.4600,5.5126,5.5676"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("5.1484,5.1506,5.1604,5.1722,5.2158,5.2595",\ +"5.1623,5.1645,5.1743,5.1861,5.2297,5.2734",\ +"5.1722,5.1744,5.1841,5.1960,5.2395,5.2833",\ +"5.1855,5.1877,5.1974,5.2093,5.2528,5.2965",\ +"5.2088,5.2109,5.2207,5.2325,5.2761,5.3198",\ +"5.2535,5.2557,5.2655,5.2773,5.3208,5.3646",\ +"5.3208,5.3229,5.3327,5.3445,5.3881,5.4318"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0560,0.0583,0.0696,0.0816,0.1519,0.2335"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0428,0.0445,0.0538,0.0658,0.1181,0.1751"); + } + } +} +cell_leakage_power : 979.9411; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x64_c2_bm_bist_fast_1p32V_m55C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x64_c2_bm_bist_fast_1p32V_m55C.lib new file mode 100644 index 0000000000..4e4746872a --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x64_c2_bm_bist_fast_1p32V_m55C.lib @@ -0,0 +1,1512 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_256x64_c2_bm_bist_fast_1p32V_m55C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.32); + voltage_map ( VDDARRAY, 1.32); + voltage_map ( VSS, 0.000000 ); + + date : "Wed Feb 22 14:29:55 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : -55 ; + nom_voltage : 1.32 ; + + operating_conditions("fast_1p32V_m55C"){ + process : 1 ; + temperature : -55 ; + voltage : 1.32 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : fast_1p32V_m55C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_63_0) { + base_type : array; + data_type : bit; + bit_width : 64; + bit_from : 63; + bit_to : 0; + downto : true; + } + + type (A_7_0) { + base_type : array; + data_type : bit; + bit_width : 8; + bit_from : 7; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_256x64_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 8; + word_width : 64; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 93180.5344 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_7_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00481603 ; + } + pin(A_ADDR[5]) { + capacitance : 0.00868123 ; + } + pin(A_ADDR[6]) { + capacitance : 0.010187 ; + } + max_transition : "0.38" ; + pin(A_ADDR[7:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0085); + } + fall_power("scalar"){ + values (0.0051); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0263); + } + fall_power("scalar"){ + values (0.0056); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0003); + } + fall_power("scalar"){ + values (0.0198); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0042); + } + fall_power("scalar"){ + values (0.0234); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0150); + } + fall_power("scalar"){ + values (0.0107); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0100); + } + fall_power("scalar"){ + values (0.0213); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.5296); + } + fall_power("scalar"){ + values (0.0109); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00310985 ; + max_transition : "0.38" ; + pin(A_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.3598); + } + fall_power("scalar"){ + values (0.3137); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.2785); + } + fall_power("scalar"){ + values (0.2934); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3117,-0.3000,-0.2863,-0.2609,-0.2248,-0.1496,-0.0119",\ +"-0.3149,-0.3032,-0.2895,-0.2641,-0.2280,-0.1528,-0.0151",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3451,-0.3334,-0.3197,-0.2943,-0.2582,-0.1830,-0.0453",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2687,-0.2580,-0.2443,-0.2170,-0.1789,-0.1105,0.0174",\ +"-0.2719,-0.2612,-0.2475,-0.2202,-0.1821,-0.1137,0.0142",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3021,-0.2914,-0.2777,-0.2504,-0.2123,-0.1439,-0.0160",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4181,0.4063,0.3917,0.3663,0.3282,0.2540,0.1153",\ +"0.4213,0.4095,0.3949,0.3695,0.3314,0.2572,0.1185",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1312",\ +"0.4515,0.4398,0.4251,0.3997,0.3616,0.2874,0.1488",\ +"0.4790,0.4673,0.4526,0.4272,0.3892,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3519,0.3382,0.3109,0.2728,0.2045,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0785",\ +"0.3702,0.3595,0.3458,0.3185,0.2804,0.2120,0.0821",\ +"0.3734,0.3627,0.3490,0.3217,0.2836,0.2152,0.0853",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0980",\ +"0.4036,0.3929,0.3792,0.3519,0.3138,0.2454,0.1156",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.7538); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (78.3768); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (89.6180); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (65.4461); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.4454); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (2.2572); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00387207 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.38" ; + pin(A_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.3598); + } + fall_power("scalar"){ + values (0.3137); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.2785); + } + fall_power("scalar"){ + values (0.2934); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3117,-0.3000,-0.2863,-0.2609,-0.2248,-0.1496,-0.0119",\ +"-0.3149,-0.3032,-0.2895,-0.2641,-0.2280,-0.1528,-0.0151",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3451,-0.3334,-0.3197,-0.2943,-0.2582,-0.1830,-0.0453",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2687,-0.2580,-0.2443,-0.2170,-0.1789,-0.1105,0.0174",\ +"-0.2719,-0.2612,-0.2475,-0.2202,-0.1821,-0.1137,0.0142",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3021,-0.2914,-0.2777,-0.2504,-0.2123,-0.1439,-0.0160",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4181,0.4063,0.3917,0.3663,0.3282,0.2540,0.1153",\ +"0.4213,0.4095,0.3949,0.3695,0.3314,0.2572,0.1185",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1312",\ +"0.4515,0.4398,0.4251,0.3997,0.3616,0.2874,0.1488",\ +"0.4790,0.4673,0.4526,0.4272,0.3892,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3519,0.3382,0.3109,0.2728,0.2045,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0785",\ +"0.3702,0.3595,0.3458,0.3185,0.2804,0.2120,0.0821",\ +"0.3734,0.3627,0.3490,0.3217,0.2836,0.2152,0.0853",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0980",\ +"0.4036,0.3929,0.3792,0.3519,0.3138,0.2454,0.1156",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_7_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00481603 ; + } + pin(A_BIST_ADDR[5]) { + capacitance : 0.00868123 ; + } + pin(A_BIST_ADDR[6]) { + capacitance : 0.010187 ; + } + max_transition : "0.38" ; + pin(A_BIST_ADDR[7:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0085); + } + fall_power("scalar"){ + values (0.0051); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0263); + } + fall_power("scalar"){ + values (0.0056); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0003); + } + fall_power("scalar"){ + values (0.0198); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0042); + } + fall_power("scalar"){ + values (0.0234); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0150); + } + fall_power("scalar"){ + values (0.0107); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0100); + } + fall_power("scalar"){ + values (0.0213); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.5296); + } + fall_power("scalar"){ + values (0.0109); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00253457 ; + max_transition : "0.38" ; + pin(A_BIST_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.3598); + } + fall_power("scalar"){ + values (0.3137); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.2785); + } + fall_power("scalar"){ + values (0.2934); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3117,-0.3000,-0.2863,-0.2609,-0.2248,-0.1496,-0.0119",\ +"-0.3149,-0.3032,-0.2895,-0.2641,-0.2280,-0.1528,-0.0151",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3451,-0.3334,-0.3197,-0.2943,-0.2582,-0.1830,-0.0453",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2687,-0.2580,-0.2443,-0.2170,-0.1789,-0.1105,0.0174",\ +"-0.2719,-0.2612,-0.2475,-0.2202,-0.1821,-0.1137,0.0142",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3021,-0.2914,-0.2777,-0.2504,-0.2123,-0.1439,-0.0160",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4181,0.4063,0.3917,0.3663,0.3282,0.2540,0.1153",\ +"0.4213,0.4095,0.3949,0.3695,0.3314,0.2572,0.1185",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1312",\ +"0.4515,0.4398,0.4251,0.3997,0.3616,0.2874,0.1488",\ +"0.4790,0.4673,0.4526,0.4272,0.3892,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3519,0.3382,0.3109,0.2728,0.2045,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0785",\ +"0.3702,0.3595,0.3458,0.3185,0.2804,0.2120,0.0821",\ +"0.3734,0.3627,0.3490,0.3217,0.2836,0.2152,0.0853",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0980",\ +"0.4036,0.3929,0.3792,0.3519,0.3138,0.2454,0.1156",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.7538); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (78.3768); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (89.6180); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (65.4461); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.4454); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (2.2572); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00252927 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.38" ; + pin(A_BIST_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.3598); + } + fall_power("scalar"){ + values (0.3137); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.2785); + } + fall_power("scalar"){ + values (0.2934); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3117,-0.3000,-0.2863,-0.2609,-0.2248,-0.1496,-0.0119",\ +"-0.3149,-0.3032,-0.2895,-0.2641,-0.2280,-0.1528,-0.0151",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3451,-0.3334,-0.3197,-0.2943,-0.2582,-0.1830,-0.0453",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2687,-0.2580,-0.2443,-0.2170,-0.1789,-0.1105,0.0174",\ +"-0.2719,-0.2612,-0.2475,-0.2202,-0.1821,-0.1137,0.0142",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3021,-0.2914,-0.2777,-0.2504,-0.2123,-0.1439,-0.0160",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4181,0.4063,0.3917,0.3663,0.3282,0.2540,0.1153",\ +"0.4213,0.4095,0.3949,0.3695,0.3314,0.2572,0.1185",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1312",\ +"0.4515,0.4398,0.4251,0.3997,0.3616,0.2874,0.1488",\ +"0.4790,0.4673,0.4526,0.4272,0.3892,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3519,0.3382,0.3109,0.2728,0.2045,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0785",\ +"0.3702,0.3595,0.3458,0.3185,0.2804,0.2120,0.0821",\ +"0.3734,0.3627,0.3490,0.3217,0.2836,0.2152,0.0853",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0980",\ +"0.4036,0.3929,0.3792,0.3519,0.3138,0.2454,0.1156",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_63_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("1.8838,1.8848,1.8896,1.8951,1.9160,1.9395",\ +"1.8897,1.8907,1.8955,1.9010,1.9220,1.9455",\ +"1.8925,1.8935,1.8983,1.9038,1.9247,1.9482",\ +"1.8967,1.8977,1.9025,1.9080,1.9289,1.9524",\ +"1.9083,1.9093,1.9141,1.9196,1.9405,1.9640",\ +"1.9274,1.9284,1.9332,1.9387,1.9596,1.9831",\ +"1.9538,1.9548,1.9596,1.9651,1.9860,2.0095"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("1.8495,1.8503,1.8544,1.8589,1.8760,1.8927",\ +"1.8554,1.8563,1.8603,1.8649,1.8820,1.8987",\ +"1.8582,1.8590,1.8631,1.8676,1.8847,1.9014",\ +"1.8624,1.8632,1.8673,1.8718,1.8889,1.9056",\ +"1.8740,1.8748,1.8789,1.8834,1.9005,1.9172",\ +"1.8931,1.8940,1.8980,1.9026,1.9196,1.9364",\ +"1.9195,1.9203,1.9244,1.9289,1.9460,1.9627"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0206,0.0210,0.0265,0.0323,0.0606,0.0955"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0170,0.0177,0.0222,0.0270,0.0451,0.0644"); + } + } +} +cell_leakage_power : 469.5941; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x64_c2_bm_bist_slow_1p08V_125C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x64_c2_bm_bist_slow_1p08V_125C.lib new file mode 100644 index 0000000000..d480e623d8 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_256x64_c2_bm_bist_slow_1p08V_125C.lib @@ -0,0 +1,1512 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_256x64_c2_bm_bist_slow_1p08V_125C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.08); + voltage_map ( VDDARRAY, 1.08); + voltage_map ( VSS, 0.000000 ); + + date : "Wed Feb 22 14:29:55 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : 125 ; + nom_voltage : 1.08 ; + + operating_conditions("slow_1p08V_125C"){ + process : 1 ; + temperature : 125 ; + voltage : 1.08 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : slow_1p08V_125C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_63_0) { + base_type : array; + data_type : bit; + bit_width : 64; + bit_from : 63; + bit_to : 0; + downto : true; + } + + type (A_7_0) { + base_type : array; + data_type : bit; + bit_width : 8; + bit_from : 7; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_256x64_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 8; + word_width : 64; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 93180.5344 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_7_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00507219 ; + } + pin(A_ADDR[5]) { + capacitance : 0.00964344 ; + } + pin(A_ADDR[6]) { + capacitance : 0.0119653 ; + } + max_transition : "0.5952" ; + pin(A_ADDR[7:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0085); + } + fall_power("scalar"){ + values (0.0067); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0188); + } + fall_power("scalar"){ + values (0.0004); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3059",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0037); + } + fall_power("scalar"){ + values (0.0000); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0057); + } + fall_power("scalar"){ + values (0.0008); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0043); + } + fall_power("scalar"){ + values (0.0010); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0045); + } + fall_power("scalar"){ + values (0.0005); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.0577); + } + fall_power("scalar"){ + values (0.0005); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00263802 ; + max_transition : "0.5952" ; + pin(A_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1870); + } + fall_power("scalar"){ + values (0.0607); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1795); + } + fall_power("scalar"){ + values (0.0581); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6386,-0.4004",\ +"-0.9434,-0.9239,-0.8975,-0.8526,-0.7764,-0.6466,-0.4083",\ +"-0.9526,-0.9330,-0.9067,-0.8618,-0.7856,-0.6557,-0.4174",\ +"-0.9676,-0.9480,-0.9217,-0.8767,-0.8006,-0.6707,-0.4324",\ +"-0.9899,-0.9704,-0.9440,-0.8991,-0.8229,-0.6931,-0.4548",\ +"-1.0335,-1.0140,-0.9876,-0.9427,-0.8665,-0.7367,-0.4984",\ +"-1.1027,-1.0832,-1.0568,-1.0119,-0.9357,-0.8058,-0.5676"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8222,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8302,-0.8116,-0.7833,-0.7442,-0.6612,-0.5245,-0.3155",\ +"-0.8393,-0.8207,-0.7924,-0.7534,-0.6704,-0.5336,-0.3247",\ +"-0.8543,-0.8357,-0.8074,-0.7683,-0.6853,-0.5486,-0.3396",\ +"-0.8767,-0.8581,-0.8298,-0.7907,-0.7077,-0.5710,-0.3620",\ +"-0.9203,-0.9017,-0.8734,-0.8343,-0.7513,-0.6146,-0.4056",\ +"-0.9894,-0.9709,-0.9426,-0.9035,-0.8205,-0.6838,-0.4748"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9995,0.9595,0.8843,0.7486,0.5152",\ +"1.0592,1.0387,1.0075,0.9674,0.8922,0.7565,0.5231",\ +"1.0684,1.0479,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0833,1.0628,1.0316,0.9915,0.9164,0.7806,0.5472",\ +"1.1057,1.0852,1.0540,1.0139,0.9387,0.8030,0.5696",\ +"1.1493,1.1288,1.0976,1.0575,0.9823,0.8466,0.6132",\ +"1.2185,1.1980,1.1668,1.1267,1.0515,0.9158,0.6824"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8413,0.7583,0.6206,0.4117",\ +"0.9342,0.9147,0.8874,0.8493,0.7663,0.6286,0.4196",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9583,0.9388,0.9115,0.8734,0.7904,0.6527,0.4437",\ +"0.9807,0.9612,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0243,1.0048,0.9775,0.9394,0.8564,0.7187,0.5097",\ +"1.0935,1.0740,1.0466,1.0085,0.9255,0.7878,0.5789"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.5946); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (53.2937); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (58.3095); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (42.1424); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.3984); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (1.8854); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00358485 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.5952" ; + pin(A_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1870); + } + fall_power("scalar"){ + values (0.0607); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1795); + } + fall_power("scalar"){ + values (0.0581); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6386,-0.4004",\ +"-0.9434,-0.9239,-0.8975,-0.8526,-0.7764,-0.6466,-0.4083",\ +"-0.9526,-0.9330,-0.9067,-0.8618,-0.7856,-0.6557,-0.4174",\ +"-0.9676,-0.9480,-0.9217,-0.8767,-0.8006,-0.6707,-0.4324",\ +"-0.9899,-0.9704,-0.9440,-0.8991,-0.8229,-0.6931,-0.4548",\ +"-1.0335,-1.0140,-0.9876,-0.9427,-0.8665,-0.7367,-0.4984",\ +"-1.1027,-1.0832,-1.0568,-1.0119,-0.9357,-0.8058,-0.5676"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8222,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8302,-0.8116,-0.7833,-0.7442,-0.6612,-0.5245,-0.3155",\ +"-0.8393,-0.8207,-0.7924,-0.7534,-0.6704,-0.5336,-0.3247",\ +"-0.8543,-0.8357,-0.8074,-0.7683,-0.6853,-0.5486,-0.3396",\ +"-0.8767,-0.8581,-0.8298,-0.7907,-0.7077,-0.5710,-0.3620",\ +"-0.9203,-0.9017,-0.8734,-0.8343,-0.7513,-0.6146,-0.4056",\ +"-0.9894,-0.9709,-0.9426,-0.9035,-0.8205,-0.6838,-0.4748"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9995,0.9595,0.8843,0.7486,0.5152",\ +"1.0592,1.0387,1.0075,0.9674,0.8922,0.7565,0.5231",\ +"1.0684,1.0479,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0833,1.0628,1.0316,0.9915,0.9164,0.7806,0.5472",\ +"1.1057,1.0852,1.0540,1.0139,0.9387,0.8030,0.5696",\ +"1.1493,1.1288,1.0976,1.0575,0.9823,0.8466,0.6132",\ +"1.2185,1.1980,1.1668,1.1267,1.0515,0.9158,0.6824"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8413,0.7583,0.6206,0.4117",\ +"0.9342,0.9147,0.8874,0.8493,0.7663,0.6286,0.4196",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9583,0.9388,0.9115,0.8734,0.7904,0.6527,0.4437",\ +"0.9807,0.9612,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0243,1.0048,0.9775,0.9394,0.8564,0.7187,0.5097",\ +"1.0935,1.0740,1.0466,1.0085,0.9255,0.7878,0.5789"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_7_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00507219 ; + } + pin(A_BIST_ADDR[5]) { + capacitance : 0.00964344 ; + } + pin(A_BIST_ADDR[6]) { + capacitance : 0.0119653 ; + } + max_transition : "0.5952" ; + pin(A_BIST_ADDR[7:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0085); + } + fall_power("scalar"){ + values (0.0067); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0188); + } + fall_power("scalar"){ + values (0.0004); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3059",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0037); + } + fall_power("scalar"){ + values (0.0000); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0057); + } + fall_power("scalar"){ + values (0.0008); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0043); + } + fall_power("scalar"){ + values (0.0010); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0045); + } + fall_power("scalar"){ + values (0.0005); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.0577); + } + fall_power("scalar"){ + values (0.0005); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00225269 ; + max_transition : "0.5952" ; + pin(A_BIST_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1870); + } + fall_power("scalar"){ + values (0.0607); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1795); + } + fall_power("scalar"){ + values (0.0581); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6386,-0.4004",\ +"-0.9434,-0.9239,-0.8975,-0.8526,-0.7764,-0.6466,-0.4083",\ +"-0.9526,-0.9330,-0.9067,-0.8618,-0.7856,-0.6557,-0.4174",\ +"-0.9676,-0.9480,-0.9217,-0.8767,-0.8006,-0.6707,-0.4324",\ +"-0.9899,-0.9704,-0.9440,-0.8991,-0.8229,-0.6931,-0.4548",\ +"-1.0335,-1.0140,-0.9876,-0.9427,-0.8665,-0.7367,-0.4984",\ +"-1.1027,-1.0832,-1.0568,-1.0119,-0.9357,-0.8058,-0.5676"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8222,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8302,-0.8116,-0.7833,-0.7442,-0.6612,-0.5245,-0.3155",\ +"-0.8393,-0.8207,-0.7924,-0.7534,-0.6704,-0.5336,-0.3247",\ +"-0.8543,-0.8357,-0.8074,-0.7683,-0.6853,-0.5486,-0.3396",\ +"-0.8767,-0.8581,-0.8298,-0.7907,-0.7077,-0.5710,-0.3620",\ +"-0.9203,-0.9017,-0.8734,-0.8343,-0.7513,-0.6146,-0.4056",\ +"-0.9894,-0.9709,-0.9426,-0.9035,-0.8205,-0.6838,-0.4748"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9995,0.9595,0.8843,0.7486,0.5152",\ +"1.0592,1.0387,1.0075,0.9674,0.8922,0.7565,0.5231",\ +"1.0684,1.0479,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0833,1.0628,1.0316,0.9915,0.9164,0.7806,0.5472",\ +"1.1057,1.0852,1.0540,1.0139,0.9387,0.8030,0.5696",\ +"1.1493,1.1288,1.0976,1.0575,0.9823,0.8466,0.6132",\ +"1.2185,1.1980,1.1668,1.1267,1.0515,0.9158,0.6824"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8413,0.7583,0.6206,0.4117",\ +"0.9342,0.9147,0.8874,0.8493,0.7663,0.6286,0.4196",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9583,0.9388,0.9115,0.8734,0.7904,0.6527,0.4437",\ +"0.9807,0.9612,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0243,1.0048,0.9775,0.9394,0.8564,0.7187,0.5097",\ +"1.0935,1.0740,1.0466,1.0085,0.9255,0.7878,0.5789"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.5946); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (53.2937); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (58.3095); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (42.1424); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.3984); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (1.8854); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00226348 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.5952" ; + pin(A_BIST_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1870); + } + fall_power("scalar"){ + values (0.0607); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1795); + } + fall_power("scalar"){ + values (0.0581); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6386,-0.4004",\ +"-0.9434,-0.9239,-0.8975,-0.8526,-0.7764,-0.6466,-0.4083",\ +"-0.9526,-0.9330,-0.9067,-0.8618,-0.7856,-0.6557,-0.4174",\ +"-0.9676,-0.9480,-0.9217,-0.8767,-0.8006,-0.6707,-0.4324",\ +"-0.9899,-0.9704,-0.9440,-0.8991,-0.8229,-0.6931,-0.4548",\ +"-1.0335,-1.0140,-0.9876,-0.9427,-0.8665,-0.7367,-0.4984",\ +"-1.1027,-1.0832,-1.0568,-1.0119,-0.9357,-0.8058,-0.5676"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8222,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8302,-0.8116,-0.7833,-0.7442,-0.6612,-0.5245,-0.3155",\ +"-0.8393,-0.8207,-0.7924,-0.7534,-0.6704,-0.5336,-0.3247",\ +"-0.8543,-0.8357,-0.8074,-0.7683,-0.6853,-0.5486,-0.3396",\ +"-0.8767,-0.8581,-0.8298,-0.7907,-0.7077,-0.5710,-0.3620",\ +"-0.9203,-0.9017,-0.8734,-0.8343,-0.7513,-0.6146,-0.4056",\ +"-0.9894,-0.9709,-0.9426,-0.9035,-0.8205,-0.6838,-0.4748"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9995,0.9595,0.8843,0.7486,0.5152",\ +"1.0592,1.0387,1.0075,0.9674,0.8922,0.7565,0.5231",\ +"1.0684,1.0479,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0833,1.0628,1.0316,0.9915,0.9164,0.7806,0.5472",\ +"1.1057,1.0852,1.0540,1.0139,0.9387,0.8030,0.5696",\ +"1.1493,1.1288,1.0976,1.0575,0.9823,0.8466,0.6132",\ +"1.2185,1.1980,1.1668,1.1267,1.0515,0.9158,0.6824"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8413,0.7583,0.6206,0.4117",\ +"0.9342,0.9147,0.8874,0.8493,0.7663,0.6286,0.4196",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9583,0.9388,0.9115,0.8734,0.7904,0.6527,0.4437",\ +"0.9807,0.9612,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0243,1.0048,0.9775,0.9394,0.8564,0.7187,0.5097",\ +"1.0935,1.0740,1.0466,1.0085,0.9255,0.7878,0.5789"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_63_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("5.1510,5.1537,5.1659,5.1801,5.2327,5.2877",\ +"5.1649,5.1677,5.1798,5.1940,5.2466,5.3016",\ +"5.1748,5.1775,5.1897,5.2039,5.2565,5.3114",\ +"5.1881,5.1908,5.2030,5.2172,5.2698,5.3247",\ +"5.2114,5.2141,5.2262,5.2404,5.2930,5.3480",\ +"5.2561,5.2588,5.2710,5.2852,5.3378,5.3927",\ +"5.3234,5.3261,5.3382,5.3525,5.4050,5.4600"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("5.0408,5.0430,5.0528,5.0646,5.1082,5.1519",\ +"5.0548,5.0569,5.0667,5.0785,5.1221,5.1658",\ +"5.0646,5.0668,5.0766,5.0884,5.1319,5.1757",\ +"5.0779,5.0801,5.0898,5.1017,5.1452,5.1890",\ +"5.1012,5.1033,5.1131,5.1249,5.1685,5.2122",\ +"5.1459,5.1481,5.1579,5.1697,5.2132,5.2570",\ +"5.2132,5.2153,5.2251,5.2369,5.2805,5.3242"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0560,0.0583,0.0696,0.0816,0.1519,0.2335"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0428,0.0445,0.0538,0.0658,0.1181,0.1751"); + } + } +} +cell_leakage_power : 1306.5882; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_512x64_c2_bm_bist_fast_1p32V_m55C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_512x64_c2_bm_bist_fast_1p32V_m55C.lib new file mode 100644 index 0000000000..1605c388be --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_512x64_c2_bm_bist_fast_1p32V_m55C.lib @@ -0,0 +1,1518 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_512x64_c2_bm_bist_fast_1p32V_m55C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.32); + voltage_map ( VDDARRAY, 1.32); + voltage_map ( VSS, 0.000000 ); + + date : "Fri Nov 17 08:19:28 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : -55 ; + nom_voltage : 1.32 ; + + operating_conditions("fast_1p32V_m55C"){ + process : 1 ; + temperature : -55 ; + voltage : 1.32 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : fast_1p32V_m55C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_63_0) { + base_type : array; + data_type : bit; + bit_width : 64; + bit_from : 63; + bit_to : 0; + downto : true; + } + + type (A_8_0) { + base_type : array; + data_type : bit; + bit_width : 9; + bit_from : 8; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_512x64_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 9; + word_width : 64; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 150102.4032 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_8_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00481603 ; + } + pin(A_ADDR[5]) { + capacitance : 0.00868123 ; + } + pin(A_ADDR[6]) { + capacitance : 0.010187 ; + } + pin(A_ADDR[7]) { + capacitance : 0.00734489 ; + } + max_transition : "0.38" ; + pin(A_ADDR[8:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0097); + } + fall_power("scalar"){ + values (0.0013); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0452); + } + fall_power("scalar"){ + values (0.0015); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0098); + } + fall_power("scalar"){ + values (0.0045); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0153); + } + fall_power("scalar"){ + values (0.0437); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0096); + } + fall_power("scalar"){ + values (0.0171); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0148); + } + fall_power("scalar"){ + values (0.0244); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.6732); + } + fall_power("scalar"){ + values (0.0109); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00310985 ; + max_transition : "0.38" ; + pin(A_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.2898); + } + fall_power("scalar"){ + values (0.2731); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.3283); + } + fall_power("scalar"){ + values (0.2965); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3118,-0.3001,-0.2864,-0.2610,-0.2249,-0.1497,-0.0120",\ +"-0.3150,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0152",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3451,-0.3334,-0.3197,-0.2943,-0.2582,-0.1830,-0.0453",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2611,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2688,-0.2581,-0.2444,-0.2171,-0.1790,-0.1106,0.0173",\ +"-0.2721,-0.2613,-0.2477,-0.2203,-0.1822,-0.1139,0.0140",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3021,-0.2914,-0.2777,-0.2504,-0.2123,-0.1439,-0.0160",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4182,0.4065,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1311",\ +"0.4515,0.4398,0.4251,0.3997,0.3616,0.2874,0.1488",\ +"0.4790,0.4673,0.4526,0.4272,0.3891,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3626,0.3519,0.3382,0.3109,0.2728,0.2044,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0784",\ +"0.3703,0.3596,0.3459,0.3186,0.2805,0.2121,0.0822",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0979",\ +"0.4036,0.3929,0.3792,0.3519,0.3138,0.2454,0.1156",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.6812); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (104.6405); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (108.3347); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (87.0280); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.4988); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (2.9642); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00387207 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.38" ; + pin(A_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.2898); + } + fall_power("scalar"){ + values (0.2731); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.3283); + } + fall_power("scalar"){ + values (0.2965); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3118,-0.3001,-0.2864,-0.2610,-0.2249,-0.1497,-0.0120",\ +"-0.3150,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0152",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3451,-0.3334,-0.3197,-0.2943,-0.2582,-0.1830,-0.0453",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2611,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2688,-0.2581,-0.2444,-0.2171,-0.1790,-0.1106,0.0173",\ +"-0.2721,-0.2613,-0.2477,-0.2203,-0.1822,-0.1139,0.0140",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3021,-0.2914,-0.2777,-0.2504,-0.2123,-0.1439,-0.0160",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4182,0.4065,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1311",\ +"0.4515,0.4398,0.4251,0.3997,0.3616,0.2874,0.1488",\ +"0.4790,0.4673,0.4526,0.4272,0.3891,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3626,0.3519,0.3382,0.3109,0.2728,0.2044,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0784",\ +"0.3703,0.3596,0.3459,0.3186,0.2805,0.2121,0.0822",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0979",\ +"0.4036,0.3929,0.3792,0.3519,0.3138,0.2454,0.1156",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_8_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00481603 ; + } + pin(A_BIST_ADDR[5]) { + capacitance : 0.00868123 ; + } + pin(A_BIST_ADDR[6]) { + capacitance : 0.010187 ; + } + pin(A_BIST_ADDR[7]) { + capacitance : 0.00734489 ; + } + max_transition : "0.38" ; + pin(A_BIST_ADDR[8:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0097); + } + fall_power("scalar"){ + values (0.0013); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0452); + } + fall_power("scalar"){ + values (0.0015); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0098); + } + fall_power("scalar"){ + values (0.0045); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0153); + } + fall_power("scalar"){ + values (0.0437); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0096); + } + fall_power("scalar"){ + values (0.0171); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0148); + } + fall_power("scalar"){ + values (0.0244); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.6732); + } + fall_power("scalar"){ + values (0.0109); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00253457 ; + max_transition : "0.38" ; + pin(A_BIST_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.2898); + } + fall_power("scalar"){ + values (0.2731); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.3283); + } + fall_power("scalar"){ + values (0.2965); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3118,-0.3001,-0.2864,-0.2610,-0.2249,-0.1497,-0.0120",\ +"-0.3150,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0152",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3451,-0.3334,-0.3197,-0.2943,-0.2582,-0.1830,-0.0453",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2611,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2688,-0.2581,-0.2444,-0.2171,-0.1790,-0.1106,0.0173",\ +"-0.2721,-0.2613,-0.2477,-0.2203,-0.1822,-0.1139,0.0140",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3021,-0.2914,-0.2777,-0.2504,-0.2123,-0.1439,-0.0160",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4182,0.4065,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1311",\ +"0.4515,0.4398,0.4251,0.3997,0.3616,0.2874,0.1488",\ +"0.4790,0.4673,0.4526,0.4272,0.3891,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3626,0.3519,0.3382,0.3109,0.2728,0.2044,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0784",\ +"0.3703,0.3596,0.3459,0.3186,0.2805,0.2121,0.0822",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0979",\ +"0.4036,0.3929,0.3792,0.3519,0.3138,0.2454,0.1156",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.6812); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (104.6405); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (108.3347); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (87.0280); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.4988); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (2.9642); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00252927 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.38" ; + pin(A_BIST_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.2898); + } + fall_power("scalar"){ + values (0.2731); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.3283); + } + fall_power("scalar"){ + values (0.2965); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3041,-0.2924,-0.2787,-0.2533,-0.2172,-0.1420,-0.0043",\ +"-0.3080,-0.2963,-0.2826,-0.2572,-0.2211,-0.1459,-0.0082",\ +"-0.3118,-0.3001,-0.2864,-0.2610,-0.2249,-0.1497,-0.0120",\ +"-0.3150,-0.3033,-0.2897,-0.2643,-0.2281,-0.1529,-0.0152",\ +"-0.3275,-0.3158,-0.3021,-0.2767,-0.2406,-0.1654,-0.0277",\ +"-0.3451,-0.3334,-0.3197,-0.2943,-0.2582,-0.1830,-0.0453",\ +"-0.3726,-0.3609,-0.3472,-0.3218,-0.2857,-0.2105,-0.0728"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2611,-0.2504,-0.2367,-0.2094,-0.1713,-0.1029,0.0250",\ +"-0.2650,-0.2543,-0.2406,-0.2133,-0.1752,-0.1068,0.0211",\ +"-0.2688,-0.2581,-0.2444,-0.2171,-0.1790,-0.1106,0.0173",\ +"-0.2721,-0.2613,-0.2477,-0.2203,-0.1822,-0.1139,0.0140",\ +"-0.2845,-0.2738,-0.2601,-0.2328,-0.1947,-0.1263,0.0016",\ +"-0.3021,-0.2914,-0.2777,-0.2504,-0.2123,-0.1439,-0.0160",\ +"-0.3296,-0.3189,-0.3052,-0.2779,-0.2398,-0.1714,-0.0435"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4105,0.3988,0.3841,0.3587,0.3207,0.2464,0.1078",\ +"0.4144,0.4027,0.3880,0.3626,0.3245,0.2503,0.1117",\ +"0.4182,0.4065,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4214,0.4097,0.3951,0.3697,0.3316,0.2574,0.1187",\ +"0.4339,0.4222,0.4075,0.3821,0.3440,0.2698,0.1311",\ +"0.4515,0.4398,0.4251,0.3997,0.3616,0.2874,0.1488",\ +"0.4790,0.4673,0.4526,0.4272,0.3891,0.3149,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3626,0.3519,0.3382,0.3109,0.2728,0.2044,0.0746",\ +"0.3665,0.3558,0.3421,0.3148,0.2767,0.2083,0.0784",\ +"0.3703,0.3596,0.3459,0.3186,0.2805,0.2121,0.0822",\ +"0.3736,0.3628,0.3492,0.3218,0.2837,0.2154,0.0855",\ +"0.3860,0.3753,0.3616,0.3343,0.2962,0.2278,0.0979",\ +"0.4036,0.3929,0.3792,0.3519,0.3138,0.2454,0.1156",\ +"0.4311,0.4204,0.4067,0.3794,0.3413,0.2729,0.1431"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_63_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("2.3501,2.3511,2.3562,2.3614,2.3823,2.4059",\ +"2.3565,2.3575,2.3626,2.3678,2.3887,2.4123",\ +"2.3581,2.3591,2.3642,2.3694,2.3903,2.4139",\ +"2.3624,2.3634,2.3685,2.3737,2.3946,2.4182",\ +"2.3756,2.3766,2.3817,2.3869,2.4078,2.4314",\ +"2.3941,2.3951,2.4002,2.4054,2.4263,2.4500",\ +"2.4206,2.4216,2.4267,2.4319,2.4529,2.4765"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("2.3160,2.3168,2.3209,2.3256,2.3428,2.3594",\ +"2.3224,2.3232,2.3272,2.3320,2.3492,2.3658",\ +"2.3240,2.3248,2.3288,2.3336,2.3508,2.3674",\ +"2.3283,2.3292,2.3332,2.3379,2.3551,2.3717",\ +"2.3415,2.3423,2.3463,2.3511,2.3683,2.3849",\ +"2.3600,2.3609,2.3649,2.3696,2.3868,2.4035",\ +"2.3865,2.3874,2.3914,2.3962,2.4133,2.4300"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0206,0.0210,0.0265,0.0323,0.0606,0.0955"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0170,0.0177,0.0222,0.0270,0.0451,0.0644"); + } + } +} +cell_leakage_power : 939.1882; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_512x64_c2_bm_bist_slow_1p08V_125C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_512x64_c2_bm_bist_slow_1p08V_125C.lib new file mode 100644 index 0000000000..209e271a4a --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_512x64_c2_bm_bist_slow_1p08V_125C.lib @@ -0,0 +1,1518 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_512x64_c2_bm_bist_slow_1p08V_125C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.08); + voltage_map ( VDDARRAY, 1.08); + voltage_map ( VSS, 0.000000 ); + + date : "Fri Nov 17 08:19:28 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : 125 ; + nom_voltage : 1.08 ; + + operating_conditions("slow_1p08V_125C"){ + process : 1 ; + temperature : 125 ; + voltage : 1.08 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : slow_1p08V_125C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_63_0) { + base_type : array; + data_type : bit; + bit_width : 64; + bit_from : 63; + bit_to : 0; + downto : true; + } + + type (A_8_0) { + base_type : array; + data_type : bit; + bit_width : 9; + bit_from : 8; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_512x64_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 9; + word_width : 64; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 150102.4032 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_8_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00507219 ; + } + pin(A_ADDR[5]) { + capacitance : 0.00964344 ; + } + pin(A_ADDR[6]) { + capacitance : 0.0119653 ; + } + pin(A_ADDR[7]) { + capacitance : 0.00827606 ; + } + max_transition : "0.5952" ; + pin(A_ADDR[8:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0095); + } + fall_power("scalar"){ + values (0.0070); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0198); + } + fall_power("scalar"){ + values (0.0007); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3059",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0037); + } + fall_power("scalar"){ + values (0.0001); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0054); + } + fall_power("scalar"){ + values (0.0007); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0043); + } + fall_power("scalar"){ + values (0.0009); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0046); + } + fall_power("scalar"){ + values (0.0005); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.0578); + } + fall_power("scalar"){ + values (0.0006); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00263802 ; + max_transition : "0.5952" ; + pin(A_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1870); + } + fall_power("scalar"){ + values (0.0605); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1794); + } + fall_power("scalar"){ + values (0.0581); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6387,-0.4004",\ +"-0.9430,-0.9235,-0.8971,-0.8522,-0.7760,-0.6461,-0.4078",\ +"-0.9526,-0.9330,-0.9067,-0.8618,-0.7856,-0.6557,-0.4174",\ +"-0.9657,-0.9462,-0.9198,-0.8749,-0.7987,-0.6689,-0.4306",\ +"-0.9900,-0.9705,-0.9441,-0.8992,-0.8230,-0.6931,-0.4548",\ +"-1.0204,-1.0009,-0.9745,-0.9296,-0.8534,-0.7235,-0.4853",\ +"-1.1020,-1.0825,-1.0561,-1.0112,-0.9350,-0.8052,-0.5669"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8222,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8297,-0.8112,-0.7828,-0.7438,-0.6608,-0.5241,-0.3151",\ +"-0.8393,-0.8207,-0.7924,-0.7534,-0.6704,-0.5336,-0.3246",\ +"-0.8525,-0.8339,-0.8056,-0.7665,-0.6835,-0.5468,-0.3378",\ +"-0.8767,-0.8581,-0.8298,-0.7908,-0.7078,-0.5710,-0.3621",\ +"-0.9071,-0.8886,-0.8603,-0.8212,-0.7382,-0.6015,-0.3925",\ +"-0.9888,-0.9702,-0.9419,-0.9028,-0.8198,-0.6831,-0.4741"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9996,0.9595,0.8843,0.7486,0.5152",\ +"1.0588,1.0383,1.0070,0.9670,0.8918,0.7561,0.5227",\ +"1.0684,1.0479,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0815,1.0610,1.0298,0.9897,0.9145,0.7788,0.5454",\ +"1.1058,1.0853,1.0540,1.0140,0.9388,0.8030,0.5696",\ +"1.1362,1.1157,1.0845,1.0444,0.9692,0.8335,0.6001",\ +"1.2178,1.1973,1.1661,1.1260,1.0508,0.9151,0.6817"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8414,0.7583,0.6207,0.4117",\ +"0.9338,0.9143,0.8869,0.8488,0.7658,0.6281,0.4191",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9565,0.9370,0.9096,0.8716,0.7886,0.6509,0.4419",\ +"0.9808,0.9612,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0112,0.9917,0.9643,0.9262,0.8432,0.7056,0.4966",\ +"1.0928,1.0733,1.0460,1.0079,0.9249,0.7872,0.5782"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.6816); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (66.2757); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (71.3995); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (55.8793); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.5009); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (1.9427); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00358485 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.5952" ; + pin(A_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.1870); + } + fall_power("scalar"){ + values (0.0605); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1794); + } + fall_power("scalar"){ + values (0.0581); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6387,-0.4004",\ +"-0.9430,-0.9235,-0.8971,-0.8522,-0.7760,-0.6461,-0.4078",\ +"-0.9526,-0.9330,-0.9067,-0.8618,-0.7856,-0.6557,-0.4174",\ +"-0.9657,-0.9462,-0.9198,-0.8749,-0.7987,-0.6689,-0.4306",\ +"-0.9900,-0.9705,-0.9441,-0.8992,-0.8230,-0.6931,-0.4548",\ +"-1.0204,-1.0009,-0.9745,-0.9296,-0.8534,-0.7235,-0.4853",\ +"-1.1020,-1.0825,-1.0561,-1.0112,-0.9350,-0.8052,-0.5669"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8222,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8297,-0.8112,-0.7828,-0.7438,-0.6608,-0.5241,-0.3151",\ +"-0.8393,-0.8207,-0.7924,-0.7534,-0.6704,-0.5336,-0.3246",\ +"-0.8525,-0.8339,-0.8056,-0.7665,-0.6835,-0.5468,-0.3378",\ +"-0.8767,-0.8581,-0.8298,-0.7908,-0.7078,-0.5710,-0.3621",\ +"-0.9071,-0.8886,-0.8603,-0.8212,-0.7382,-0.6015,-0.3925",\ +"-0.9888,-0.9702,-0.9419,-0.9028,-0.8198,-0.6831,-0.4741"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9996,0.9595,0.8843,0.7486,0.5152",\ +"1.0588,1.0383,1.0070,0.9670,0.8918,0.7561,0.5227",\ +"1.0684,1.0479,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0815,1.0610,1.0298,0.9897,0.9145,0.7788,0.5454",\ +"1.1058,1.0853,1.0540,1.0140,0.9388,0.8030,0.5696",\ +"1.1362,1.1157,1.0845,1.0444,0.9692,0.8335,0.6001",\ +"1.2178,1.1973,1.1661,1.1260,1.0508,0.9151,0.6817"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8414,0.7583,0.6207,0.4117",\ +"0.9338,0.9143,0.8869,0.8488,0.7658,0.6281,0.4191",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9565,0.9370,0.9096,0.8716,0.7886,0.6509,0.4419",\ +"0.9808,0.9612,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0112,0.9917,0.9643,0.9262,0.8432,0.7056,0.4966",\ +"1.0928,1.0733,1.0460,1.0079,0.9249,0.7872,0.5782"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_8_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00507219 ; + } + pin(A_BIST_ADDR[5]) { + capacitance : 0.00964344 ; + } + pin(A_BIST_ADDR[6]) { + capacitance : 0.0119653 ; + } + pin(A_BIST_ADDR[7]) { + capacitance : 0.00827606 ; + } + max_transition : "0.5952" ; + pin(A_BIST_ADDR[8:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0095); + } + fall_power("scalar"){ + values (0.0070); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0198); + } + fall_power("scalar"){ + values (0.0007); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3059",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0037); + } + fall_power("scalar"){ + values (0.0001); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0054); + } + fall_power("scalar"){ + values (0.0007); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0043); + } + fall_power("scalar"){ + values (0.0009); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0046); + } + fall_power("scalar"){ + values (0.0005); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.0578); + } + fall_power("scalar"){ + values (0.0006); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00225269 ; + max_transition : "0.5952" ; + pin(A_BIST_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1870); + } + fall_power("scalar"){ + values (0.0605); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1794); + } + fall_power("scalar"){ + values (0.0581); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6387,-0.4004",\ +"-0.9430,-0.9235,-0.8971,-0.8522,-0.7760,-0.6461,-0.4078",\ +"-0.9526,-0.9330,-0.9067,-0.8618,-0.7856,-0.6557,-0.4174",\ +"-0.9657,-0.9462,-0.9198,-0.8749,-0.7987,-0.6689,-0.4306",\ +"-0.9900,-0.9705,-0.9441,-0.8992,-0.8230,-0.6931,-0.4548",\ +"-1.0204,-1.0009,-0.9745,-0.9296,-0.8534,-0.7235,-0.4853",\ +"-1.1020,-1.0825,-1.0561,-1.0112,-0.9350,-0.8052,-0.5669"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8222,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8297,-0.8112,-0.7828,-0.7438,-0.6608,-0.5241,-0.3151",\ +"-0.8393,-0.8207,-0.7924,-0.7534,-0.6704,-0.5336,-0.3246",\ +"-0.8525,-0.8339,-0.8056,-0.7665,-0.6835,-0.5468,-0.3378",\ +"-0.8767,-0.8581,-0.8298,-0.7908,-0.7078,-0.5710,-0.3621",\ +"-0.9071,-0.8886,-0.8603,-0.8212,-0.7382,-0.6015,-0.3925",\ +"-0.9888,-0.9702,-0.9419,-0.9028,-0.8198,-0.6831,-0.4741"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9996,0.9595,0.8843,0.7486,0.5152",\ +"1.0588,1.0383,1.0070,0.9670,0.8918,0.7561,0.5227",\ +"1.0684,1.0479,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0815,1.0610,1.0298,0.9897,0.9145,0.7788,0.5454",\ +"1.1058,1.0853,1.0540,1.0140,0.9388,0.8030,0.5696",\ +"1.1362,1.1157,1.0845,1.0444,0.9692,0.8335,0.6001",\ +"1.2178,1.1973,1.1661,1.1260,1.0508,0.9151,0.6817"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8414,0.7583,0.6207,0.4117",\ +"0.9338,0.9143,0.8869,0.8488,0.7658,0.6281,0.4191",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9565,0.9370,0.9096,0.8716,0.7886,0.6509,0.4419",\ +"0.9808,0.9612,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0112,0.9917,0.9643,0.9262,0.8432,0.7056,0.4966",\ +"1.0928,1.0733,1.0460,1.0079,0.9249,0.7872,0.5782"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.6816); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (66.2757); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (71.3995); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (55.8793); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.5009); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (1.9427); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00226348 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.5952" ; + pin(A_BIST_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.1870); + } + fall_power("scalar"){ + values (0.0605); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1794); + } + fall_power("scalar"){ + values (0.0581); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9355,-0.9160,-0.8896,-0.8447,-0.7685,-0.6387,-0.4004",\ +"-0.9430,-0.9235,-0.8971,-0.8522,-0.7760,-0.6461,-0.4078",\ +"-0.9526,-0.9330,-0.9067,-0.8618,-0.7856,-0.6557,-0.4174",\ +"-0.9657,-0.9462,-0.9198,-0.8749,-0.7987,-0.6689,-0.4306",\ +"-0.9900,-0.9705,-0.9441,-0.8992,-0.8230,-0.6931,-0.4548",\ +"-1.0204,-1.0009,-0.9745,-0.9296,-0.8534,-0.7235,-0.4853",\ +"-1.1020,-1.0825,-1.0561,-1.0112,-0.9350,-0.8052,-0.5669"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8222,-0.8037,-0.7754,-0.7363,-0.6533,-0.5166,-0.3076",\ +"-0.8297,-0.8112,-0.7828,-0.7438,-0.6608,-0.5241,-0.3151",\ +"-0.8393,-0.8207,-0.7924,-0.7534,-0.6704,-0.5336,-0.3246",\ +"-0.8525,-0.8339,-0.8056,-0.7665,-0.6835,-0.5468,-0.3378",\ +"-0.8767,-0.8581,-0.8298,-0.7908,-0.7078,-0.5710,-0.3621",\ +"-0.9071,-0.8886,-0.8603,-0.8212,-0.7382,-0.6015,-0.3925",\ +"-0.9888,-0.9702,-0.9419,-0.9028,-0.8198,-0.6831,-0.4741"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0513,1.0308,0.9996,0.9595,0.8843,0.7486,0.5152",\ +"1.0588,1.0383,1.0070,0.9670,0.8918,0.7561,0.5227",\ +"1.0684,1.0479,1.0166,0.9766,0.9014,0.7656,0.5322",\ +"1.0815,1.0610,1.0298,0.9897,0.9145,0.7788,0.5454",\ +"1.1058,1.0853,1.0540,1.0140,0.9388,0.8030,0.5696",\ +"1.1362,1.1157,1.0845,1.0444,0.9692,0.8335,0.6001",\ +"1.2178,1.1973,1.1661,1.1260,1.0508,0.9151,0.6817"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9263,0.9068,0.8794,0.8414,0.7583,0.6207,0.4117",\ +"0.9338,0.9143,0.8869,0.8488,0.7658,0.6281,0.4191",\ +"0.9434,0.9238,0.8965,0.8584,0.7754,0.6377,0.4287",\ +"0.9565,0.9370,0.9096,0.8716,0.7886,0.6509,0.4419",\ +"0.9808,0.9612,0.9339,0.8958,0.8128,0.6751,0.4661",\ +"1.0112,0.9917,0.9643,0.9262,0.8432,0.7056,0.4966",\ +"1.0928,1.0733,1.0460,1.0079,0.9249,0.7872,0.5782"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_63_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("6.4165,6.4198,6.4320,6.4460,6.4985,6.5564",\ +"6.4208,6.4242,6.4364,6.4503,6.5029,6.5607",\ +"6.4313,6.4346,6.4468,6.4608,6.5133,6.5712",\ +"6.4519,6.4553,6.4675,6.4814,6.5340,6.5918",\ +"6.4662,6.4696,6.4817,6.4957,6.5482,6.6061",\ +"6.4996,6.5030,6.5152,6.5291,6.5817,6.6395",\ +"6.5853,6.5887,6.6009,6.6148,6.6674,6.7252"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("6.3067,6.3089,6.3190,6.3306,6.3740,6.4178",\ +"6.3111,6.3133,6.3233,6.3350,6.3784,6.4222",\ +"6.3215,6.3237,6.3338,6.3454,6.3888,6.4326",\ +"6.3422,6.3444,6.3544,6.3661,6.4094,6.4533",\ +"6.3565,6.3586,6.3687,6.3804,6.4237,6.4675",\ +"6.3899,6.3921,6.4021,6.4138,6.4571,6.5010",\ +"6.4756,6.4778,6.4878,6.4995,6.5428,6.5867"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0560,0.0583,0.0696,0.0816,0.1519,0.2335"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0428,0.0445,0.0538,0.0658,0.1181,0.1751"); + } + } +} +cell_leakage_power : 2613.1763; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_64x64_c2_bm_bist_fast_1p32V_m55C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_64x64_c2_bm_bist_fast_1p32V_m55C.lib new file mode 100644 index 0000000000..b96e5c15b5 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_64x64_c2_bm_bist_fast_1p32V_m55C.lib @@ -0,0 +1,1500 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_64x64_c2_bm_bist_fast_1p32V_m55C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.32); + voltage_map ( VDDARRAY, 1.32); + voltage_map ( VSS, 0.000000 ); + + date : "Wed Feb 22 14:30:32 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : -55 ; + nom_voltage : 1.32 ; + + operating_conditions("fast_1p32V_m55C"){ + process : 1 ; + temperature : -55 ; + voltage : 1.32 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : fast_1p32V_m55C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_63_0) { + base_type : array; + data_type : bit; + bit_width : 64; + bit_from : 63; + bit_to : 0; + downto : true; + } + + type (A_5_0) { + base_type : array; + data_type : bit; + bit_width : 6; + bit_from : 5; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_64x64_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 6; + word_width : 64; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 50489.1328 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_5_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00481603 ; + } + max_transition : "0.38" ; + pin(A_ADDR[5:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0152); + } + fall_power("scalar"){ + values (0.0043); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0322); + } + fall_power("scalar"){ + values (0.0006); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0103); + } + fall_power("scalar"){ + values (0.0033); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0094); + } + fall_power("scalar"){ + values (0.0506); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0124); + } + fall_power("scalar"){ + values (0.0096); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0257); + } + fall_power("scalar"){ + values (0.0204); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.6808); + } + fall_power("scalar"){ + values (0.0075); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00310985 ; + max_transition : "0.38" ; + pin(A_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.2776); + } + fall_power("scalar"){ + values (0.2857); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.3307); + } + fall_power("scalar"){ + values (0.2954); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3042,-0.2925,-0.2788,-0.2534,-0.2173,-0.1421,-0.0044",\ +"-0.3081,-0.2964,-0.2827,-0.2573,-0.2212,-0.1460,-0.0083",\ +"-0.3118,-0.3000,-0.2864,-0.2610,-0.2248,-0.1496,-0.0120",\ +"-0.3150,-0.3032,-0.2896,-0.2642,-0.2280,-0.1528,-0.0152",\ +"-0.3276,-0.3159,-0.3022,-0.2768,-0.2407,-0.1655,-0.0278",\ +"-0.3452,-0.3335,-0.3198,-0.2944,-0.2583,-0.1831,-0.0454",\ +"-0.3727,-0.3610,-0.3473,-0.3219,-0.2858,-0.2106,-0.0729"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2505,-0.2368,-0.2095,-0.1714,-0.1030,0.0249",\ +"-0.2651,-0.2544,-0.2407,-0.2133,-0.1753,-0.1069,0.0210",\ +"-0.2688,-0.2580,-0.2444,-0.2170,-0.1789,-0.1106,0.0173",\ +"-0.2720,-0.2612,-0.2476,-0.2202,-0.1821,-0.1138,0.0141",\ +"-0.2846,-0.2739,-0.2602,-0.2329,-0.1948,-0.1264,0.0015",\ +"-0.3022,-0.2915,-0.2778,-0.2505,-0.2124,-0.1440,-0.0161",\ +"-0.3297,-0.3190,-0.3053,-0.2780,-0.2399,-0.1715,-0.0436"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4106,0.3989,0.3842,0.3588,0.3207,0.2465,0.1078",\ +"0.4145,0.4027,0.3881,0.3627,0.3246,0.2504,0.1117",\ +"0.4181,0.4064,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4213,0.4096,0.3950,0.3696,0.3315,0.2573,0.1186",\ +"0.4340,0.4222,0.4076,0.3822,0.3441,0.2699,0.1312",\ +"0.4516,0.4398,0.4252,0.3998,0.3617,0.2875,0.1488",\ +"0.4791,0.4673,0.4527,0.4273,0.3892,0.3150,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3520,0.3383,0.3110,0.2729,0.2045,0.0746",\ +"0.3666,0.3559,0.3422,0.3148,0.2768,0.2084,0.0785",\ +"0.3703,0.3595,0.3459,0.3185,0.2804,0.2121,0.0822",\ +"0.3735,0.3627,0.3491,0.3217,0.2836,0.2153,0.0854",\ +"0.3861,0.3754,0.3617,0.3343,0.2963,0.2279,0.0980",\ +"0.4037,0.3930,0.3793,0.3520,0.3139,0.2455,0.1156",\ +"0.4312,0.4205,0.4068,0.3795,0.3414,0.2730,0.1431"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.6988); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (64.0995); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (72.7872); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (47.3058); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.4585); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (2.6572); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00387207 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.38" ; + pin(A_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.2776); + } + fall_power("scalar"){ + values (0.2857); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.3307); + } + fall_power("scalar"){ + values (0.2954); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3042,-0.2925,-0.2788,-0.2534,-0.2173,-0.1421,-0.0044",\ +"-0.3081,-0.2964,-0.2827,-0.2573,-0.2212,-0.1460,-0.0083",\ +"-0.3118,-0.3000,-0.2864,-0.2610,-0.2248,-0.1496,-0.0120",\ +"-0.3150,-0.3032,-0.2896,-0.2642,-0.2280,-0.1528,-0.0152",\ +"-0.3276,-0.3159,-0.3022,-0.2768,-0.2407,-0.1655,-0.0278",\ +"-0.3452,-0.3335,-0.3198,-0.2944,-0.2583,-0.1831,-0.0454",\ +"-0.3727,-0.3610,-0.3473,-0.3219,-0.2858,-0.2106,-0.0729"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2505,-0.2368,-0.2095,-0.1714,-0.1030,0.0249",\ +"-0.2651,-0.2544,-0.2407,-0.2133,-0.1753,-0.1069,0.0210",\ +"-0.2688,-0.2580,-0.2444,-0.2170,-0.1789,-0.1106,0.0173",\ +"-0.2720,-0.2612,-0.2476,-0.2202,-0.1821,-0.1138,0.0141",\ +"-0.2846,-0.2739,-0.2602,-0.2329,-0.1948,-0.1264,0.0015",\ +"-0.3022,-0.2915,-0.2778,-0.2505,-0.2124,-0.1440,-0.0161",\ +"-0.3297,-0.3190,-0.3053,-0.2780,-0.2399,-0.1715,-0.0436"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4106,0.3989,0.3842,0.3588,0.3207,0.2465,0.1078",\ +"0.4145,0.4027,0.3881,0.3627,0.3246,0.2504,0.1117",\ +"0.4181,0.4064,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4213,0.4096,0.3950,0.3696,0.3315,0.2573,0.1186",\ +"0.4340,0.4222,0.4076,0.3822,0.3441,0.2699,0.1312",\ +"0.4516,0.4398,0.4252,0.3998,0.3617,0.2875,0.1488",\ +"0.4791,0.4673,0.4527,0.4273,0.3892,0.3150,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3520,0.3383,0.3110,0.2729,0.2045,0.0746",\ +"0.3666,0.3559,0.3422,0.3148,0.2768,0.2084,0.0785",\ +"0.3703,0.3595,0.3459,0.3185,0.2804,0.2121,0.0822",\ +"0.3735,0.3627,0.3491,0.3217,0.2836,0.2153,0.0854",\ +"0.3861,0.3754,0.3617,0.3343,0.2963,0.2279,0.0980",\ +"0.4037,0.3930,0.3793,0.3520,0.3139,0.2455,0.1156",\ +"0.4312,0.4205,0.4068,0.3795,0.3414,0.2730,0.1431"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00421562 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_5_0; + direction : input ; + capacitance : 0.00691085 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00562034 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00462655 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00594295 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.00723434 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00481603 ; + } + max_transition : "0.38" ; + pin(A_BIST_ADDR[5:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0152); + } + fall_power("scalar"){ + values (0.0043); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0322); + } + fall_power("scalar"){ + values (0.0006); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2371,-0.2215,-0.2098,-0.1824,-0.1434,-0.0691,0.0676",\ +"-0.2449,-0.2332,-0.2176,-0.1902,-0.1551,-0.0770,0.0559",\ +"-0.2605,-0.2488,-0.2332,-0.2059,-0.1707,-0.0965,0.0441",\ +"-0.2879,-0.2723,-0.2605,-0.2332,-0.1941,-0.1199,0.0168",\ +"-0.3230,-0.3113,-0.2996,-0.2723,-0.2332,-0.1590,-0.0184",\ +"-0.4012,-0.3895,-0.3738,-0.3465,-0.3074,-0.2332,-0.0965",\ +"-0.5379,-0.5262,-0.5105,-0.4832,-0.4480,-0.3699,-0.2332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.1785,-0.1707,-0.1551,-0.1277,-0.0887,-0.0184,0.1145",\ +"-0.1902,-0.1785,-0.1629,-0.1355,-0.1004,-0.0262,0.1027",\ +"-0.2059,-0.1941,-0.1785,-0.1512,-0.1160,-0.0418,0.0871",\ +"-0.2293,-0.2176,-0.2059,-0.1785,-0.1434,-0.0691,0.0598",\ +"-0.2684,-0.2566,-0.2449,-0.2176,-0.1824,-0.1082,0.0207",\ +"-0.3465,-0.3348,-0.3191,-0.2918,-0.2566,-0.1824,-0.0535",\ +"-0.4832,-0.4715,-0.4559,-0.4324,-0.3934,-0.3191,-0.1902"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3410,0.3293,0.3137,0.2863,0.2473,0.1730,0.0324",\ +"0.3488,0.3371,0.3215,0.2941,0.2590,0.1809,0.0480",\ +"0.3684,0.3527,0.3371,0.3098,0.2746,0.1965,0.0598",\ +"0.3918,0.3762,0.3645,0.3371,0.2980,0.2238,0.0871",\ +"0.4309,0.4152,0.4035,0.3762,0.3371,0.2629,0.1223",\ +"0.5051,0.4934,0.4777,0.4504,0.4113,0.3371,0.1965",\ +"0.6418,0.6262,0.6145,0.5871,0.5520,0.4738,0.3332"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2824,0.2707,0.2551,0.2277,0.1926,0.1184,-0.0105",\ +"0.2902,0.2785,0.2668,0.2395,0.2043,0.1301,0.0012",\ +"0.3059,0.2941,0.2824,0.2551,0.2199,0.1457,0.0168",\ +"0.3332,0.3215,0.3059,0.2785,0.2434,0.1691,0.0402",\ +"0.3723,0.3605,0.3449,0.3176,0.2824,0.2121,0.0793",\ +"0.4465,0.4348,0.4230,0.3918,0.3566,0.2824,0.1535",\ +"0.5832,0.5715,0.5598,0.5324,0.4934,0.4191,0.2902"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00341384 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0103); + } + fall_power("scalar"){ + values (0.0033); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0094); + } + fall_power("scalar"){ + values (0.0506); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1887,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3527",\ +"-0.0262,-0.0145,0.0012,0.0246,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1418"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2160,0.2316,0.2590,0.2941,0.3684,0.5012",\ +"0.1926,0.2043,0.2199,0.2473,0.2824,0.3566,0.4895",\ +"0.1770,0.1887,0.2043,0.2316,0.2668,0.3410,0.4777",\ +"0.1535,0.1652,0.1770,0.2043,0.2395,0.3137,0.4504",\ +"0.1145,0.1262,0.1379,0.1652,0.2043,0.2746,0.4113",\ +"0.0402,0.0520,0.0676,0.0910,0.1262,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0730,-0.0457,-0.0066,0.0637,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1496,0.1223,0.0793,0.0090,-0.1316",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0168,-0.1199",\ +"0.2004,0.1887,0.1770,0.1496,0.1066,0.0363,-0.1043",\ +"0.2277,0.2160,0.2004,0.1730,0.1340,0.0598,-0.0809",\ +"0.2668,0.2551,0.2395,0.2121,0.1730,0.0988,-0.0418",\ +"0.3410,0.3293,0.3137,0.2863,0.2434,0.1730,0.0324",\ +"0.4816,0.4699,0.4543,0.4230,0.3801,0.3098,0.1730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1066,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1457,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1613,0.1340,0.0988,0.0246,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2512,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0457",\ +"0.3254,0.3176,0.3020,0.2746,0.2355,0.1652,0.0324",\ +"0.4660,0.4543,0.4387,0.4113,0.3723,0.3020,0.1691"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00390661 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0124); + } + fall_power("scalar"){ + values (0.0096); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0257); + } + fall_power("scalar"){ + values (0.0204); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.0027,0.0090,0.0246,0.0520,0.0910,0.1613,0.3020",\ +"-0.0105,0.0012,0.0129,0.0363,0.0793,0.1535,0.2902",\ +"-0.0262,-0.0145,-0.0027,0.0246,0.0637,0.1379,0.2785",\ +"-0.0535,-0.0418,-0.0262,0.0012,0.0363,0.1105,0.2512",\ +"-0.0926,-0.0809,-0.0652,-0.0418,-0.0027,0.0715,0.2121",\ +"-0.1668,-0.1551,-0.1395,-0.1082,-0.0770,-0.0027,0.1379",\ +"-0.3035,-0.2918,-0.2762,-0.2488,-0.2137,-0.1434,-0.0027"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.0832,0.0949,0.1105,0.1379,0.1730,0.2473,0.3801",\ +"0.0754,0.0832,0.0988,0.1223,0.1613,0.2355,0.3684",\ +"0.0598,0.0676,0.0832,0.1066,0.1457,0.2121,0.3527",\ +"0.0324,0.0441,0.0598,0.0871,0.1223,0.1926,0.3293",\ +"-0.0066,0.0051,0.0168,0.0480,0.0832,0.1535,0.2902",\ +"-0.0809,-0.0691,-0.0574,-0.0301,0.0090,0.0832,0.2121",\ +"-0.2176,-0.2059,-0.1941,-0.1668,-0.1277,-0.0574,0.0793"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1160",\ +"0.1965,0.1848,0.1730,0.1457,0.1027,0.0324,-0.1082",\ +"0.2160,0.2004,0.1887,0.1613,0.1184,0.0480,-0.0926",\ +"0.2395,0.2277,0.2121,0.1848,0.1457,0.0715,-0.0652",\ +"0.2785,0.2668,0.2512,0.2238,0.1848,0.1105,-0.0262",\ +"0.3527,0.3410,0.3254,0.2980,0.2551,0.1848,0.0480",\ +"0.4934,0.4816,0.4660,0.4387,0.3957,0.3254,0.1887"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1730,0.1613,0.1496,0.1184,0.0793,0.0129,-0.1238",\ +"0.1848,0.1730,0.1574,0.1301,0.0910,0.0207,-0.1121",\ +"0.2004,0.1887,0.1730,0.1457,0.1066,0.0363,-0.1004",\ +"0.2238,0.2121,0.2004,0.1691,0.1340,0.0598,-0.0730",\ +"0.2629,0.2512,0.2395,0.2121,0.1691,0.0988,-0.0340",\ +"0.3371,0.3254,0.3137,0.2863,0.2434,0.1730,0.0402",\ +"0.4777,0.4660,0.4504,0.4230,0.3840,0.3137,0.1809"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00326046 ; + max_transition : "0.38" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (0.6808); + } + fall_power("scalar"){ + values (0.0075); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1418,0.1496,0.1652,0.1926,0.2316,0.3059,0.4465",\ +"0.1301,0.1379,0.1535,0.1809,0.2199,0.2941,0.4348",\ +"0.1145,0.1262,0.1418,0.1652,0.2043,0.2785,0.4191",\ +"0.0871,0.0949,0.1105,0.1379,0.1770,0.2512,0.3879",\ +"0.0480,0.0598,0.0754,0.0988,0.1379,0.2082,0.3488",\ +"-0.0262,-0.0145,0.0012,0.0285,0.0676,0.1379,0.2785",\ +"-0.1668,-0.1551,-0.1395,-0.1121,-0.0730,0.0012,0.1379"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.2082,0.2199,0.2316,0.2590,0.2980,0.3723,0.5051",\ +"0.1965,0.2082,0.2199,0.2473,0.2863,0.3605,0.4934",\ +"0.1809,0.1926,0.2043,0.2316,0.2707,0.3449,0.4777",\ +"0.1574,0.1691,0.1809,0.2082,0.2434,0.3176,0.4543",\ +"0.1145,0.1262,0.1418,0.1691,0.2043,0.2785,0.4113",\ +"0.0441,0.0559,0.0676,0.0949,0.1301,0.2004,0.3332",\ +"-0.0965,-0.0848,-0.0691,-0.0418,-0.0066,0.0676,0.2004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1770,0.1652,0.1535,0.1262,0.0832,0.0129,-0.1277",\ +"0.1887,0.1770,0.1613,0.1340,0.0949,0.0207,-0.1199",\ +"0.2043,0.1926,0.1770,0.1496,0.1105,0.0363,-0.1043",\ +"0.2277,0.2160,0.2043,0.1770,0.1379,0.0598,-0.0770",\ +"0.2668,0.2551,0.2434,0.2160,0.1730,0.0988,-0.0379",\ +"0.3449,0.3332,0.3176,0.2902,0.2473,0.1730,0.0363",\ +"0.4816,0.4699,0.4543,0.4270,0.3840,0.3098,0.1770"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.1613,0.1496,0.1379,0.1105,0.0715,0.0012,-0.1355",\ +"0.1730,0.1613,0.1496,0.1184,0.0832,0.0129,-0.1238",\ +"0.1887,0.1770,0.1652,0.1340,0.0988,0.0285,-0.1121",\ +"0.2121,0.2043,0.1887,0.1613,0.1223,0.0520,-0.0848",\ +"0.2551,0.2434,0.2277,0.2004,0.1613,0.0910,-0.0418",\ +"0.3293,0.3176,0.3020,0.2746,0.2395,0.1652,0.0324",\ +"0.4660,0.4543,0.4426,0.4113,0.3762,0.3020,0.1691"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00253457 ; + max_transition : "0.38" ; + pin(A_BIST_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.2776); + } + fall_power("scalar"){ + values (0.2857); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.3307); + } + fall_power("scalar"){ + values (0.2954); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3042,-0.2925,-0.2788,-0.2534,-0.2173,-0.1421,-0.0044",\ +"-0.3081,-0.2964,-0.2827,-0.2573,-0.2212,-0.1460,-0.0083",\ +"-0.3118,-0.3000,-0.2864,-0.2610,-0.2248,-0.1496,-0.0120",\ +"-0.3150,-0.3032,-0.2896,-0.2642,-0.2280,-0.1528,-0.0152",\ +"-0.3276,-0.3159,-0.3022,-0.2768,-0.2407,-0.1655,-0.0278",\ +"-0.3452,-0.3335,-0.3198,-0.2944,-0.2583,-0.1831,-0.0454",\ +"-0.3727,-0.3610,-0.3473,-0.3219,-0.2858,-0.2106,-0.0729"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2505,-0.2368,-0.2095,-0.1714,-0.1030,0.0249",\ +"-0.2651,-0.2544,-0.2407,-0.2133,-0.1753,-0.1069,0.0210",\ +"-0.2688,-0.2580,-0.2444,-0.2170,-0.1789,-0.1106,0.0173",\ +"-0.2720,-0.2612,-0.2476,-0.2202,-0.1821,-0.1138,0.0141",\ +"-0.2846,-0.2739,-0.2602,-0.2329,-0.1948,-0.1264,0.0015",\ +"-0.3022,-0.2915,-0.2778,-0.2505,-0.2124,-0.1440,-0.0161",\ +"-0.3297,-0.3190,-0.3053,-0.2780,-0.2399,-0.1715,-0.0436"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4106,0.3989,0.3842,0.3588,0.3207,0.2465,0.1078",\ +"0.4145,0.4027,0.3881,0.3627,0.3246,0.2504,0.1117",\ +"0.4181,0.4064,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4213,0.4096,0.3950,0.3696,0.3315,0.2573,0.1186",\ +"0.4340,0.4222,0.4076,0.3822,0.3441,0.2699,0.1312",\ +"0.4516,0.4398,0.4252,0.3998,0.3617,0.2875,0.1488",\ +"0.4791,0.4673,0.4527,0.4273,0.3892,0.3150,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3520,0.3383,0.3110,0.2729,0.2045,0.0746",\ +"0.3666,0.3559,0.3422,0.3148,0.2768,0.2084,0.0785",\ +"0.3703,0.3595,0.3459,0.3185,0.2804,0.2121,0.0822",\ +"0.3735,0.3627,0.3491,0.3217,0.2836,0.2153,0.0854",\ +"0.3861,0.3754,0.3617,0.3343,0.2963,0.2279,0.0980",\ +"0.4037,0.3930,0.3793,0.3520,0.3139,0.2455,0.1156",\ +"0.4312,0.4205,0.4068,0.3795,0.3414,0.2730,0.1431"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00389386; + max_transition : "0.38"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.004,0.38"); + values("0.12,0.12"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.6988); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (64.0995); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (72.7872); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (47.3058); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.4585); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (2.6572); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00252927 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.38" ; + pin(A_BIST_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.2776); + } + fall_power("scalar"){ + values (0.2857); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.3307); + } + fall_power("scalar"){ + values (0.2954); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.3042,-0.2925,-0.2788,-0.2534,-0.2173,-0.1421,-0.0044",\ +"-0.3081,-0.2964,-0.2827,-0.2573,-0.2212,-0.1460,-0.0083",\ +"-0.3118,-0.3000,-0.2864,-0.2610,-0.2248,-0.1496,-0.0120",\ +"-0.3150,-0.3032,-0.2896,-0.2642,-0.2280,-0.1528,-0.0152",\ +"-0.3276,-0.3159,-0.3022,-0.2768,-0.2407,-0.1655,-0.0278",\ +"-0.3452,-0.3335,-0.3198,-0.2944,-0.2583,-0.1831,-0.0454",\ +"-0.3727,-0.3610,-0.3473,-0.3219,-0.2858,-0.2106,-0.0729"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("-0.2612,-0.2505,-0.2368,-0.2095,-0.1714,-0.1030,0.0249",\ +"-0.2651,-0.2544,-0.2407,-0.2133,-0.1753,-0.1069,0.0210",\ +"-0.2688,-0.2580,-0.2444,-0.2170,-0.1789,-0.1106,0.0173",\ +"-0.2720,-0.2612,-0.2476,-0.2202,-0.1821,-0.1138,0.0141",\ +"-0.2846,-0.2739,-0.2602,-0.2329,-0.1948,-0.1264,0.0015",\ +"-0.3022,-0.2915,-0.2778,-0.2505,-0.2124,-0.1440,-0.0161",\ +"-0.3297,-0.3190,-0.3053,-0.2780,-0.2399,-0.1715,-0.0436"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.4106,0.3989,0.3842,0.3588,0.3207,0.2465,0.1078",\ +"0.4145,0.4027,0.3881,0.3627,0.3246,0.2504,0.1117",\ +"0.4181,0.4064,0.3918,0.3664,0.3283,0.2541,0.1154",\ +"0.4213,0.4096,0.3950,0.3696,0.3315,0.2573,0.1186",\ +"0.4340,0.4222,0.4076,0.3822,0.3441,0.2699,0.1312",\ +"0.4516,0.4398,0.4252,0.3998,0.3617,0.2875,0.1488",\ +"0.4791,0.4673,0.4527,0.4273,0.3892,0.3150,0.1763"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + values ("0.3627,0.3520,0.3383,0.3110,0.2729,0.2045,0.0746",\ +"0.3666,0.3559,0.3422,0.3148,0.2768,0.2084,0.0785",\ +"0.3703,0.3595,0.3459,0.3185,0.2804,0.2121,0.0822",\ +"0.3735,0.3627,0.3491,0.3217,0.2836,0.2153,0.0854",\ +"0.3861,0.3754,0.3617,0.3343,0.2963,0.2279,0.0980",\ +"0.4037,0.3930,0.3793,0.3520,0.3139,0.2455,0.1156",\ +"0.4312,0.4205,0.4068,0.3795,0.3414,0.2730,0.1431"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_63_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("1.8820,1.8830,1.8878,1.8933,1.9142,1.9377",\ +"1.8879,1.8889,1.8938,1.8993,1.9202,1.9437",\ +"1.8907,1.8917,1.8965,1.9020,1.9229,1.9464",\ +"1.8949,1.8959,1.9007,1.9062,1.9271,1.9506",\ +"1.9065,1.9075,1.9123,1.9178,1.9387,1.9622",\ +"1.9256,1.9266,1.9314,1.9370,1.9579,1.9814",\ +"1.9520,1.9530,1.9578,1.9633,1.9842,2.0077"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0040,0.0176,0.0344,0.0656,0.1120,0.2016,0.3800"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("1.8477,1.8485,1.8526,1.8571,1.8742,1.8909",\ +"1.8536,1.8545,1.8585,1.8631,1.8802,1.8969",\ +"1.8564,1.8572,1.8613,1.8658,1.8829,1.8996",\ +"1.8606,1.8614,1.8655,1.8700,1.8871,1.9039",\ +"1.8722,1.8731,1.8771,1.8816,1.8987,1.9155",\ +"1.8913,1.8922,1.8962,1.9008,1.9179,1.9346",\ +"1.9177,1.9186,1.9226,1.9271,1.9442,1.9610"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0206,0.0210,0.0265,0.0323,0.0606,0.0955"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0170,0.0177,0.0222,0.0270,0.0451,0.0644"); + } + } +} +cell_leakage_power : 117.3985; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_64x64_c2_bm_bist_slow_1p08V_125C.lib b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_64x64_c2_bm_bist_slow_1p08V_125C.lib new file mode 100644 index 0000000000..a905e25023 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/RM_IHPSG13_1P_64x64_c2_bm_bist_slow_1p08V_125C.lib @@ -0,0 +1,1500 @@ +/************************************************************************ + + Copyright 2023 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library(RM_IHPSG13_1P_64x64_c2_bm_bist_slow_1p08V_125C) { + technology (cmos) ; + delay_model : table_lookup ; + define ("add_pg_pin_to_lib", "library", "boolean"); + add_pg_pin_to_lib : true; + voltage_map ( VDD, 1.08); + voltage_map ( VDDARRAY, 1.08); + voltage_map ( VSS, 0.000000 ); + + date : "Wed Feb 22 14:30:32 2023" ; + comment : "IHP Microelectronics GmbH, 2023" ; + revision : 1.0.4 ; + simulation : true ; + nom_process : 1 ; + nom_temperature : 125 ; + nom_voltage : 1.08 ; + + operating_conditions("slow_1p08V_125C"){ + process : 1 ; + temperature : 125 ; + voltage : 1.08 ; + tree_type : "balanced_tree" ; + } + + default_operating_conditions : slow_1p08V_125C ; + default_max_transition : 1.0 ; + default_fanout_load : 1.0 ; + default_inout_pin_cap : 0.0 ; + default_input_pin_cap : 0.0 ; + default_output_pin_cap : 0.0 ; + default_cell_leakage_power : 0.0 ; + default_leakage_power_density : 0.0 ; + + slew_lower_threshold_pct_rise : 30 ; + slew_upper_threshold_pct_rise : 70 ; + input_threshold_pct_fall : 50 ; + output_threshold_pct_fall : 50 ; + input_threshold_pct_rise : 50 ; + output_threshold_pct_rise : 50 ; + slew_lower_threshold_pct_fall : 30 ; + slew_upper_threshold_pct_fall : 70 ; + slew_derate_from_library : 0.5; + k_volt_cell_leakage_power : 0.0 ; + k_temp_cell_leakage_power : 0.0 ; + k_process_cell_leakage_power : 0.0 ; + k_volt_internal_power : 0.0 ; + k_temp_internal_power : 0.0 ; + k_process_internal_power : 0.0 ; + + capacitive_load_unit (1,pf) ; + voltage_unit : "1V" ; + current_unit : "1uA" ; + time_unit : "1ns" ; + leakage_power_unit : "1nW" ; + pulling_resistance_unit : "1kohm"; + /* + ------------------------------------------------------------------------------------------ + implicit units overview: + cell_area unit : "um" + internal_power unit : "1e-12 * J/toggle = 1 * uW/MHz" + (capacitive_load_unit * voltage_unit^2) per toggle event + ------------------------------------------------------------------------------------------ + */ + library_features(report_delay_calculation); + define_cell_area (pad_drivers,pad_driver_sites) ; + + lu_table_template(CLKTRAN_constraint_template) { + variable_1 : constrained_pin_transition; + index_1 ( "0.010, 0.050, 0.200, 0.400, 1.000" ); + } + lu_table_template(SRAM_load_template) { + variable_1 : total_output_net_capacitance; + index_1 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + lu_table_template(SIG2SRAM_constraint_template) { + variable_1 : related_pin_transition; + variable_2 : constrained_pin_transition; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + } + + lu_table_template(SIG2SRAM_delay_template) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ( "0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952" ); + index_2 ( "0.0008,0.0014,0.0051,0.0100,0.0339,0.0640" ); + } + + type (D_63_0) { + base_type : array; + data_type : bit; + bit_width : 64; + bit_from : 63; + bit_to : 0; + downto : true; + } + + type (A_5_0) { + base_type : array; + data_type : bit; + bit_width : 6; + bit_from : 5; + bit_to : 0; + downto : true; + } + +cell(RM_IHPSG13_1P_64x64_c2_bm_bist) { +pg_pin (VDD) { + pg_type : primary_power; + voltage_name : VDD; +} +pg_pin (VDDARRAY) { + pg_type : primary_power; + voltage_name : VDDARRAY; +} +pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : VSS; +} + +memory() { + type : ram; + address_width : 6; + word_width : 64; +} + +interface_timing : false; +bus_naming_style : "%s[%d]" ; +area : 50489.1328 ; + + pin(A_DLY) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_ADDR) { + bus_type : A_5_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_ADDR[4]) { + capacitance : 0.00507219 ; + } + max_transition : "0.5952" ; + pin(A_ADDR[5:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.0518); + } + fall_power("scalar"){ + values (0.1054); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.3247); + } + fall_power("scalar"){ + values (0.0370); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "((A_WEN | A_REN)& A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3059",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.4061); + } + fall_power("scalar"){ + values (0.5612); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0202); + } + fall_power("scalar"){ + values (0.0046); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.4880); + } + fall_power("scalar"){ + values (0.4730); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.0027); + } + fall_power("scalar"){ + values (0.0031); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "A_MEN" + sdf_cond : "A_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (1.3000); + } + fall_power("scalar"){ + values (0.0915); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00263802 ; + max_transition : "0.5952" ; + pin(A_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.7053); + } + fall_power("scalar"){ + values (1.2447); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1787); + } + fall_power("scalar"){ + values (0.0615); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9361,-0.9166,-0.8902,-0.8453,-0.7691,-0.6393,-0.4010",\ +"-0.9440,-0.9245,-0.8982,-0.8532,-0.7771,-0.6472,-0.4089",\ +"-0.9532,-0.9337,-0.9073,-0.8624,-0.7862,-0.6563,-0.4180",\ +"-0.9682,-0.9486,-0.9223,-0.8773,-0.8012,-0.6713,-0.4330",\ +"-0.9906,-0.9710,-0.9447,-0.8997,-0.8236,-0.6937,-0.4554",\ +"-1.0342,-1.0146,-0.9883,-0.9433,-0.8672,-0.7373,-0.4990",\ +"-1.1033,-1.0838,-1.0574,-1.0125,-0.9363,-0.8065,-0.5682"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8228,-0.8043,-0.7760,-0.7369,-0.6539,-0.5172,-0.3082",\ +"-0.8308,-0.8122,-0.7839,-0.7448,-0.6618,-0.5251,-0.3161",\ +"-0.8399,-0.8214,-0.7930,-0.7540,-0.6710,-0.5342,-0.3253",\ +"-0.8549,-0.8363,-0.8080,-0.7690,-0.6859,-0.5492,-0.3402",\ +"-0.8773,-0.8587,-0.8304,-0.7913,-0.7083,-0.5716,-0.3626",\ +"-0.9209,-0.9023,-0.8740,-0.8349,-0.7519,-0.6152,-0.4062",\ +"-0.9901,-0.9715,-0.9432,-0.9041,-0.8211,-0.6844,-0.4754"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)" + sdf_cond : "A_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0519,1.0314,1.0002,0.9601,0.8849,0.7492,0.5158",\ +"1.0598,1.0393,1.0081,0.9680,0.8928,0.7571,0.5237",\ +"1.0690,1.0485,1.0172,0.9772,0.9020,0.7662,0.5329",\ +"1.0840,1.0635,1.0322,0.9922,0.9170,0.7812,0.5478",\ +"1.1063,1.0858,1.0546,1.0145,0.9393,0.8036,0.5702",\ +"1.1499,1.1294,1.0982,1.0581,0.9829,0.8472,0.6138",\ +"1.2191,1.1986,1.1674,1.1273,1.0521,0.9164,0.6830"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9269,0.9074,0.8800,0.8420,0.7590,0.6213,0.4123",\ +"0.9348,0.9153,0.8880,0.8499,0.7669,0.6292,0.4202",\ +"0.9440,0.9244,0.8971,0.8590,0.7760,0.6383,0.4293",\ +"0.9590,0.9394,0.9121,0.8740,0.7910,0.6533,0.4443",\ +"0.9813,0.9618,0.9345,0.8964,0.8134,0.6757,0.4667",\ +"1.0249,1.0054,0.9781,0.9400,0.8570,0.7193,0.5103",\ +"1.0941,1.0746,1.0473,1.0092,0.9262,0.7885,0.5795"); + } + } +} + pin(A_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (0.4247); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & !A_REN"; + rise_power("scalar"){ + values (41.5954); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (47.0354); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (32.9639); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & !A_WEN & A_REN"; + rise_power("scalar"){ + values (0.3531); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_MEN & A_WEN & A_REN"; + rise_power("scalar"){ + values (1.7015); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_MEN & !A_WEN & !A_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00358485 ; + memory_write() { + address : A_ADDR ; + clocked_on : A_CLK; + } + + max_transition : "0.5952" ; + pin(A_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_MEN"; + rise_power("scalar"){ + values (0.7053); + } + fall_power("scalar"){ + values (1.2447); + } + } + internal_power() { + when : "!A_MEN"; + rise_power("scalar"){ + values (0.1787); + } + fall_power("scalar"){ + values (0.0615); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9361,-0.9166,-0.8902,-0.8453,-0.7691,-0.6393,-0.4010",\ +"-0.9440,-0.9245,-0.8982,-0.8532,-0.7771,-0.6472,-0.4089",\ +"-0.9532,-0.9337,-0.9073,-0.8624,-0.7862,-0.6563,-0.4180",\ +"-0.9682,-0.9486,-0.9223,-0.8773,-0.8012,-0.6713,-0.4330",\ +"-0.9906,-0.9710,-0.9447,-0.8997,-0.8236,-0.6937,-0.4554",\ +"-1.0342,-1.0146,-0.9883,-0.9433,-0.8672,-0.7373,-0.4990",\ +"-1.1033,-1.0838,-1.0574,-1.0125,-0.9363,-0.8065,-0.5682"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8228,-0.8043,-0.7760,-0.7369,-0.6539,-0.5172,-0.3082",\ +"-0.8308,-0.8122,-0.7839,-0.7448,-0.6618,-0.5251,-0.3161",\ +"-0.8399,-0.8214,-0.7930,-0.7540,-0.6710,-0.5342,-0.3253",\ +"-0.8549,-0.8363,-0.8080,-0.7690,-0.6859,-0.5492,-0.3402",\ +"-0.8773,-0.8587,-0.8304,-0.7913,-0.7083,-0.5716,-0.3626",\ +"-0.9209,-0.9023,-0.8740,-0.8349,-0.7519,-0.6152,-0.4062",\ +"-0.9901,-0.9715,-0.9432,-0.9041,-0.8211,-0.6844,-0.4754"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_CLK"; + when : "(A_WEN & A_MEN)"; + sdf_cond : "A_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0519,1.0314,1.0002,0.9601,0.8849,0.7492,0.5158",\ +"1.0598,1.0393,1.0081,0.9680,0.8928,0.7571,0.5237",\ +"1.0690,1.0485,1.0172,0.9772,0.9020,0.7662,0.5329",\ +"1.0840,1.0635,1.0322,0.9922,0.9170,0.7812,0.5478",\ +"1.1063,1.0858,1.0546,1.0145,0.9393,0.8036,0.5702",\ +"1.1499,1.1294,1.0982,1.0581,0.9829,0.8472,0.6138",\ +"1.2191,1.1986,1.1674,1.1273,1.0521,0.9164,0.6830"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9269,0.9074,0.8800,0.8420,0.7590,0.6213,0.4123",\ +"0.9348,0.9153,0.8880,0.8499,0.7669,0.6292,0.4202",\ +"0.9440,0.9244,0.8971,0.8590,0.7760,0.6383,0.4293",\ +"0.9590,0.9394,0.9121,0.8740,0.7910,0.6533,0.4443",\ +"0.9813,0.9618,0.9345,0.8964,0.8134,0.6757,0.4667",\ +"1.0249,1.0054,0.9781,0.9400,0.8570,0.7193,0.5103",\ +"1.0941,1.0746,1.0473,1.0092,0.9262,0.7885,0.5795"); + } + } + } + + pin(A_BIST_EN) { + direction : input ; + capacitance : 0.00387999 ; + max_transition : "1" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + +bus(A_BIST_ADDR) { + bus_type : A_5_0; + direction : input ; + capacitance : 0.0077013 ; + pin(A_BIST_ADDR[0]) { + capacitance : 0.00625349 ; + } + pin(A_BIST_ADDR[1]) { + capacitance : 0.00507435 ; + } + pin(A_BIST_ADDR[2]) { + capacitance : 0.00656707 ; + } + pin(A_BIST_ADDR[3]) { + capacitance : 0.0083133 ; + } + pin(A_BIST_ADDR[4]) { + capacitance : 0.00507219 ; + } + max_transition : "0.5952" ; + pin(A_BIST_ADDR[5:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.0518); + } + fall_power("scalar"){ + values (0.1054); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.3247); + } + fall_power("scalar"){ + values (0.0370); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.7371,-0.7176,-0.6863,-0.6434,-0.5652,-0.4168,-0.1980",\ +"-0.7566,-0.7371,-0.7059,-0.6629,-0.5848,-0.4363,-0.2137",\ +"-0.7879,-0.7645,-0.7332,-0.6902,-0.6121,-0.4637,-0.2449",\ +"-0.8309,-0.8074,-0.7762,-0.7332,-0.6551,-0.5066,-0.2879",\ +"-0.9051,-0.8895,-0.8543,-0.8113,-0.7332,-0.5887,-0.3660",\ +"-1.0418,-1.0262,-0.9949,-0.9480,-0.8699,-0.7293,-0.5027",\ +"-1.2684,-1.2449,-1.2176,-1.1707,-1.0965,-0.9480,-0.7293"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.5926,-0.5730,-0.5418,-0.4988,-0.4246,-0.2879,-0.0730",\ +"-0.6121,-0.5926,-0.5613,-0.5223,-0.4441,-0.3074,-0.0887",\ +"-0.6395,-0.6199,-0.5926,-0.5496,-0.4715,-0.3348,-0.1160",\ +"-0.6863,-0.6668,-0.6355,-0.5965,-0.5184,-0.3777,-0.1590",\ +"-0.7605,-0.7410,-0.7137,-0.6746,-0.5926,-0.4559,-0.2410",\ +"-0.9012,-0.8816,-0.8504,-0.8113,-0.7332,-0.5926,-0.3895",\ +"-1.1238,-1.1043,-1.0769,-1.0379,-0.9559,-0.8191,-0.6004"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "((A_BIST_WEN | A_BIST_REN)& A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.8488,0.8293,0.7980,0.7551,0.6730,0.5285,0.3059",\ +"0.8684,0.8488,0.8176,0.7746,0.6926,0.5480,0.3254",\ +"0.8996,0.8801,0.8449,0.8020,0.7238,0.5754,0.3527",\ +"0.9426,0.9191,0.8918,0.8488,0.7668,0.6223,0.3996",\ +"1.0168,1.0012,0.9699,0.9230,0.8449,0.7004,0.4777",\ +"1.1574,1.1379,1.1105,1.0598,0.9816,0.8371,0.6184",\ +"1.3801,1.3605,1.3254,1.2980,1.2043,1.0637,0.8371"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.6965,0.6770,0.6496,0.6066,0.5246,0.3918,0.1730",\ +"0.7160,0.6965,0.6652,0.6262,0.5441,0.4113,0.1965",\ +"0.7473,0.7277,0.6965,0.6535,0.5754,0.4426,0.2238",\ +"0.7863,0.7707,0.7395,0.7004,0.6223,0.4855,0.2629",\ +"0.8684,0.8449,0.8176,0.7785,0.7004,0.5637,0.3449",\ +"1.0051,0.9855,0.9855,0.9113,0.8332,0.7004,0.4895",\ +"1.2277,1.2082,1.1809,1.1379,1.0598,0.9230,0.7004"); + } + } +} +pin(A_BIST_WEN) { + direction : input ; + capacitance : 0.00313551 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.4061); + } + fall_power("scalar"){ + values (0.5612); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0202); + } + fall_power("scalar"){ + values (0.0046); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3137,0.3332,0.3605,0.4035,0.4816,0.6223,0.8488",\ +"0.2980,0.3176,0.3410,0.3840,0.4660,0.6027,0.8293",\ +"0.2629,0.2824,0.3098,0.3527,0.4348,0.5754,0.7980",\ +"0.2199,0.2395,0.2668,0.3098,0.3918,0.5324,0.7590",\ +"0.1418,0.1613,0.1887,0.2316,0.3137,0.4348,0.6730",\ +"0.0012,0.0207,0.0520,0.0910,0.1730,0.3098,0.5285",\ +"-0.2215,-0.2020,-0.1746,-0.1277,-0.0496,0.0910,0.3098"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4504,0.4699,0.4973,0.5324,0.6027,0.7355,0.9699",\ +"0.4309,0.4504,0.4777,0.5129,0.5910,0.7160,0.9504",\ +"0.3996,0.4191,0.4465,0.4816,0.5520,0.6848,0.9191",\ +"0.3605,0.3762,0.4035,0.4387,0.5168,0.6418,0.8723",\ +"0.2785,0.2980,0.3215,0.3605,0.4348,0.5637,0.7980",\ +"0.1379,0.1574,0.1809,0.2199,0.2980,0.4309,0.6457",\ +"-0.0809,-0.0613,-0.0340,0.0051,0.0832,0.2160,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3723,0.3527,0.3215,0.2785,0.1965,0.0559,-0.1668",\ +"0.3918,0.3723,0.3449,0.2980,0.2160,0.0754,-0.1473",\ +"0.4152,0.3996,0.3684,0.3254,0.2434,0.1066,-0.1199",\ +"0.4621,0.4465,0.4152,0.3723,0.2863,0.1496,-0.0730",\ +"0.5324,0.5207,0.4895,0.4465,0.3645,0.2199,0.0051",\ +"0.6770,0.6535,0.6301,0.5832,0.5090,0.3605,0.1418",\ +"0.9035,0.8879,0.8566,0.8137,0.7316,0.5871,0.3684"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3566,0.3293,0.2863,0.2043,0.0676,-0.1434",\ +"0.3957,0.3762,0.3488,0.3059,0.2238,0.0871,-0.1199",\ +"0.4230,0.4035,0.3723,0.3332,0.2512,0.1184,-0.0965",\ +"0.4660,0.4465,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5324,0.5168,0.4973,0.4543,0.3723,0.2316,0.0285",\ +"0.6809,0.6613,0.6340,0.5910,0.5129,0.3645,0.1652",\ +"0.9113,0.8918,0.8605,0.8176,0.7395,0.5988,0.3879"); + } + } + } +pin(A_BIST_REN) { + direction : input ; + capacitance : 0.00381144 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.4880); + } + fall_power("scalar"){ + values (0.4730); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.0027); + } + fall_power("scalar"){ + values (0.0031); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.0770,-0.0574,-0.0262,0.0168,0.0949,0.2355,0.4582",\ +"-0.0965,-0.0770,-0.0457,-0.0027,0.0754,0.2160,0.4387",\ +"-0.1199,-0.1004,-0.0730,-0.0262,0.0520,0.1887,0.4113",\ +"-0.1590,-0.1434,-0.1160,-0.0730,0.0051,0.1457,0.3645",\ +"-0.2449,-0.2254,-0.1941,-0.1473,-0.0770,0.0676,0.2863",\ +"-0.3816,-0.3699,-0.3387,-0.2801,-0.2215,-0.0770,0.1457",\ +"-0.6121,-0.5926,-0.5613,-0.5145,-0.4363,-0.2996,-0.0730"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.1262,0.1457,0.1691,0.2121,0.2824,0.4191,0.6457",\ +"0.1105,0.1262,0.1496,0.1887,0.2629,0.3996,0.6262",\ +"0.0793,0.0949,0.1223,0.1652,0.2395,0.3684,0.5949",\ +"0.0363,0.0559,0.0793,0.1223,0.2004,0.3410,0.5520",\ +"-0.0457,-0.0262,0.0012,0.0441,0.1301,0.2629,0.4738",\ +"-0.1902,-0.1746,-0.1434,-0.1043,-0.0184,0.1223,0.3332",\ +"-0.4129,-0.3934,-0.3660,-0.3230,-0.2449,-0.1043,0.1105"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "A_BIST_MEN" + sdf_cond : "A_BIST_MEN" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4113,0.3918,0.3645,0.3176,0.2395,0.0988,-0.1238",\ +"0.4309,0.4113,0.3840,0.3371,0.2590,0.1184,-0.1043",\ +"0.4582,0.4387,0.4113,0.3645,0.2824,0.1457,-0.0770",\ +"0.5051,0.4816,0.4543,0.4074,0.3293,0.1887,-0.0340",\ +"0.5715,0.5598,0.5324,0.4895,0.4035,0.2512,0.0441",\ +"0.7043,0.7004,0.6730,0.6262,0.5402,0.3957,0.1809",\ +"0.9387,0.9270,0.8957,0.8527,0.7746,0.6262,0.4074"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4152,0.3918,0.3645,0.3215,0.2395,0.1027,-0.1082",\ +"0.4309,0.4113,0.3801,0.3410,0.2590,0.1223,-0.0926",\ +"0.4582,0.4387,0.4074,0.3684,0.2863,0.1496,-0.0613",\ +"0.5012,0.4816,0.4504,0.4074,0.3293,0.1887,-0.0145",\ +"0.5715,0.5559,0.5285,0.4816,0.3996,0.2512,0.0598",\ +"0.7160,0.7004,0.6691,0.6262,0.5520,0.4035,0.2004",\ +"0.9387,0.9191,0.8957,0.8488,0.7707,0.6262,0.4113"); + } + } + } +pin(A_BIST_MEN) { + direction : input ; + capacitance : 0.00300347 ; + max_transition : "0.5952" ; + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar"){ + values (1.3000); + } + fall_power("scalar"){ + values (0.0915); + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3215,0.3449,0.3684,0.4113,0.4895,0.6301,0.8527",\ +"0.3059,0.3254,0.3527,0.3957,0.4738,0.6145,0.8371",\ +"0.2746,0.2941,0.3215,0.3645,0.4426,0.5871,0.8059",\ +"0.2316,0.2512,0.2785,0.3215,0.3996,0.5402,0.7629",\ +"0.1496,0.1730,0.1965,0.2395,0.3215,0.4660,0.6848",\ +"0.0090,0.0285,0.0598,0.1027,0.1809,0.3176,0.5402",\ +"-0.2098,-0.1902,-0.1668,-0.1199,-0.0418,0.0988,0.3137"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.4582,0.4738,0.5012,0.5363,0.6145,0.7395,0.9738",\ +"0.4387,0.4543,0.4816,0.5207,0.5910,0.7199,0.9582",\ +"0.4035,0.4230,0.4504,0.4855,0.5637,0.6887,0.9230",\ +"0.3645,0.3840,0.4074,0.4465,0.5285,0.6496,0.8840",\ +"0.2824,0.3020,0.3254,0.3645,0.4465,0.5715,0.7980",\ +"0.1418,0.1613,0.1887,0.2238,0.3020,0.4309,0.6496",\ +"-0.0770,-0.0574,-0.0301,0.0090,0.0910,0.2238,0.4191"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3293,0.2863,0.2043,0.0637,-0.1629",\ +"0.3996,0.3801,0.3488,0.3020,0.2238,0.0832,-0.1395",\ +"0.4230,0.4074,0.3723,0.3293,0.2473,0.1105,-0.1121",\ +"0.4621,0.4465,0.4152,0.3762,0.2941,0.1535,-0.0691",\ +"0.5441,0.5285,0.4973,0.4465,0.3684,0.2316,0.0090",\ +"0.6848,0.6652,0.6301,0.5910,0.5129,0.3605,0.1496",\ +"0.9074,0.8918,0.8566,0.8215,0.7395,0.5910,0.3723"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.3762,0.3605,0.3332,0.2863,0.2043,0.0715,-0.1395",\ +"0.3996,0.3762,0.3488,0.3059,0.2238,0.0910,-0.1199",\ +"0.4270,0.4035,0.3762,0.3332,0.2512,0.1184,-0.0965",\ +"0.4699,0.4504,0.4191,0.3762,0.2941,0.1613,-0.0496",\ +"0.5441,0.5285,0.4973,0.4543,0.3762,0.2355,0.0324",\ +"0.6770,0.6613,0.6340,0.5949,0.5207,0.3762,0.1652",\ +"0.9074,0.8918,0.8605,0.8176,0.7395,0.6027,0.3918"); + } + } + } +bus(A_BIST_BM) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00225269 ; + max_transition : "0.5952" ; + pin(A_BIST_BM[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.7053); + } + fall_power("scalar"){ + values (1.2447); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1787); + } + fall_power("scalar"){ + values (0.0615); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9361,-0.9166,-0.8902,-0.8453,-0.7691,-0.6393,-0.4010",\ +"-0.9440,-0.9245,-0.8982,-0.8532,-0.7771,-0.6472,-0.4089",\ +"-0.9532,-0.9337,-0.9073,-0.8624,-0.7862,-0.6563,-0.4180",\ +"-0.9682,-0.9486,-0.9223,-0.8773,-0.8012,-0.6713,-0.4330",\ +"-0.9906,-0.9710,-0.9447,-0.8997,-0.8236,-0.6937,-0.4554",\ +"-1.0342,-1.0146,-0.9883,-0.9433,-0.8672,-0.7373,-0.4990",\ +"-1.1033,-1.0838,-1.0574,-1.0125,-0.9363,-0.8065,-0.5682"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8228,-0.8043,-0.7760,-0.7369,-0.6539,-0.5172,-0.3082",\ +"-0.8308,-0.8122,-0.7839,-0.7448,-0.6618,-0.5251,-0.3161",\ +"-0.8399,-0.8214,-0.7930,-0.7540,-0.6710,-0.5342,-0.3253",\ +"-0.8549,-0.8363,-0.8080,-0.7690,-0.6859,-0.5492,-0.3402",\ +"-0.8773,-0.8587,-0.8304,-0.7913,-0.7083,-0.5716,-0.3626",\ +"-0.9209,-0.9023,-0.8740,-0.8349,-0.7519,-0.6152,-0.4062",\ +"-0.9901,-0.9715,-0.9432,-0.9041,-0.8211,-0.6844,-0.4754"); + } + } + + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)" + sdf_cond : "A_BIST_RW_ACCESS" + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0519,1.0314,1.0002,0.9601,0.8849,0.7492,0.5158",\ +"1.0598,1.0393,1.0081,0.9680,0.8928,0.7571,0.5237",\ +"1.0690,1.0485,1.0172,0.9772,0.9020,0.7662,0.5329",\ +"1.0840,1.0635,1.0322,0.9922,0.9170,0.7812,0.5478",\ +"1.1063,1.0858,1.0546,1.0145,0.9393,0.8036,0.5702",\ +"1.1499,1.1294,1.0982,1.0581,0.9829,0.8472,0.6138",\ +"1.2191,1.1986,1.1674,1.1273,1.0521,0.9164,0.6830"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9269,0.9074,0.8800,0.8420,0.7590,0.6213,0.4123",\ +"0.9348,0.9153,0.8880,0.8499,0.7669,0.6292,0.4202",\ +"0.9440,0.9244,0.8971,0.8590,0.7760,0.6383,0.4293",\ +"0.9590,0.9394,0.9121,0.8740,0.7910,0.6533,0.4443",\ +"0.9813,0.9618,0.9345,0.8964,0.8134,0.6757,0.4667",\ +"1.0249,1.0054,0.9781,0.9400,0.8570,0.7193,0.5103",\ +"1.0941,1.0746,1.0473,1.0092,0.9262,0.7885,0.5795"); + } + } +} + pin(A_BIST_CLK) { + direction : input; + capacitance : 0.00378957; + max_transition : "0.5952"; + clock : "true" ; + pin_func_type : active_rising ; + + timing () { + timing_type : "min_pulse_width"; + related_pin : "A_BIST_CLK"; + rise_constraint("CLKTRAN_constraint_template") { + index_1("0.008,0.5952"); + values("0.4,0.4"); + } + } + + related_power_pin : VDD; + related_ground_pin : VSS; + + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0.4247); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (41.5954); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (47.0354); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (32.9639); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & !A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (0.3531); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "!A_BIST_MEN & A_BIST_WEN & A_BIST_REN"; + rise_power("scalar"){ + values (1.7015); + } + fall_power("scalar"){ + values (0); + } + } + internal_power() { + when : "A_BIST_MEN & !A_BIST_WEN & !A_BIST_REN"; + rise_power("scalar"){ + values (0); + } + fall_power("scalar"){ + values (0); + } + } + } + bus(A_BIST_DIN) { + bus_type : D_63_0; + direction : input ; + capacitance : 0.00226348 ; + memory_write() { + address : A_BIST_ADDR ; + clocked_on : A_BIST_CLK; + } + + max_transition : "0.5952" ; + pin(A_BIST_DIN[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + when : "A_BIST_MEN"; + rise_power("scalar"){ + values (0.7053); + } + fall_power("scalar"){ + values (1.2447); + } + } + internal_power() { + when : "!A_BIST_MEN"; + rise_power("scalar"){ + values (0.1787); + } + fall_power("scalar"){ + values (0.0615); + } + } + } + timing() { + timing_type : setup_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.9361,-0.9166,-0.8902,-0.8453,-0.7691,-0.6393,-0.4010",\ +"-0.9440,-0.9245,-0.8982,-0.8532,-0.7771,-0.6472,-0.4089",\ +"-0.9532,-0.9337,-0.9073,-0.8624,-0.7862,-0.6563,-0.4180",\ +"-0.9682,-0.9486,-0.9223,-0.8773,-0.8012,-0.6713,-0.4330",\ +"-0.9906,-0.9710,-0.9447,-0.8997,-0.8236,-0.6937,-0.4554",\ +"-1.0342,-1.0146,-0.9883,-0.9433,-0.8672,-0.7373,-0.4990",\ +"-1.1033,-1.0838,-1.0574,-1.0125,-0.9363,-0.8065,-0.5682"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("-0.8228,-0.8043,-0.7760,-0.7369,-0.6539,-0.5172,-0.3082",\ +"-0.8308,-0.8122,-0.7839,-0.7448,-0.6618,-0.5251,-0.3161",\ +"-0.8399,-0.8214,-0.7930,-0.7540,-0.6710,-0.5342,-0.3253",\ +"-0.8549,-0.8363,-0.8080,-0.7690,-0.6859,-0.5492,-0.3402",\ +"-0.8773,-0.8587,-0.8304,-0.7913,-0.7083,-0.5716,-0.3626",\ +"-0.9209,-0.9023,-0.8740,-0.8349,-0.7519,-0.6152,-0.4062",\ +"-0.9901,-0.9715,-0.9432,-0.9041,-0.8211,-0.6844,-0.4754"); + } + } + + timing() { + timing_type : hold_rising; + related_pin : "A_BIST_CLK"; + when : "(A_BIST_WEN & A_BIST_MEN)"; + sdf_cond : "A_BIST_W_ACCESS"; + + rise_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("1.0519,1.0314,1.0002,0.9601,0.8849,0.7492,0.5158",\ +"1.0598,1.0393,1.0081,0.9680,0.8928,0.7571,0.5237",\ +"1.0690,1.0485,1.0172,0.9772,0.9020,0.7662,0.5329",\ +"1.0840,1.0635,1.0322,0.9922,0.9170,0.7812,0.5478",\ +"1.1063,1.0858,1.0546,1.0145,0.9393,0.8036,0.5702",\ +"1.1499,1.1294,1.0982,1.0581,0.9829,0.8472,0.6138",\ +"1.2191,1.1986,1.1674,1.1273,1.0521,0.9164,0.6830"); + } + + fall_constraint("SIG2SRAM_constraint_template") { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + values ("0.9269,0.9074,0.8800,0.8420,0.7590,0.6213,0.4123",\ +"0.9348,0.9153,0.8880,0.8499,0.7669,0.6292,0.4202",\ +"0.9440,0.9244,0.8971,0.8590,0.7760,0.6383,0.4293",\ +"0.9590,0.9394,0.9121,0.8740,0.7910,0.6533,0.4443",\ +"0.9813,0.9618,0.9345,0.8964,0.8134,0.6757,0.4667",\ +"1.0249,1.0054,0.9781,0.9400,0.8570,0.7193,0.5103",\ +"1.0941,1.0746,1.0473,1.0092,0.9262,0.7885,0.5795"); + } + } + } + +bus(A_DOUT) { + + bus_type : D_63_0; + direction : output ; + capacitance : 0 ; + max_capacitance : "6.4e-14" ; + memory_read() { + address : A_ADDR ; + } + pin(A_DOUT[63:0]) { + related_power_pin : VDD; + related_ground_pin : VSS; + internal_power() { + rise_power("scalar") { + values (0); + } + fall_power("scalar") { + values (0); + } + } + } + timing() { + related_pin : "A_CLK"; + timing_type : rising_edge ; + timing_sense : non_unate ; + + cell_rise(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("5.1522,5.1555,5.1677,5.1817,5.2342,5.2921",\ +"5.1661,5.1695,5.1816,5.1956,5.2481,5.3060",\ +"5.1759,5.1793,5.1915,5.2054,5.2580,5.3158",\ +"5.1892,5.1926,5.2048,5.2187,5.2713,5.3291",\ +"5.2125,5.2159,5.2280,5.2420,5.2946,5.3524",\ +"5.2573,5.2606,5.2728,5.2867,5.3393,5.3971",\ +"5.3245,5.3279,5.3401,5.3540,5.4066,5.4644"); + } + cell_fall(SIG2SRAM_delay_template) { + index_1("0.0080,0.0288,0.0616,0.1072,0.1920,0.3496,0.5952"); + index_2("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("5.0425,5.0446,5.0547,5.0664,5.1097,5.1535",\ +"5.0564,5.0585,5.0686,5.0803,5.1236,5.1674",\ +"5.0662,5.0684,5.0784,5.0901,5.1335,5.1773",\ +"5.0795,5.0817,5.0917,5.1034,5.1467,5.1906",\ +"5.1028,5.1050,5.1150,5.1267,5.1700,5.2139",\ +"5.1475,5.1497,5.1597,5.1714,5.2148,5.2586",\ +"5.2148,5.2170,5.2270,5.2387,5.2820,5.3259"); + } + + rise_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0560,0.0583,0.0696,0.0816,0.1519,0.2335"); + } + fall_transition(SRAM_load_template) { + index_1("0.0008,0.0014,0.0051,0.0100,0.0339,0.0640"); + values ("0.0428,0.0445,0.0538,0.0658,0.1181,0.1751"); + } + } +} +cell_leakage_power : 326.6470; +} +} diff --git a/flow/platforms/ihp-sg13g2/lib/sg13g2_io_dummy.lib b/flow/platforms/ihp-sg13g2/lib/sg13g2_io_dummy.lib new file mode 100644 index 0000000000..f985659464 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/sg13g2_io_dummy.lib @@ -0,0 +1,1543 @@ +/************************************************************************ + + Copyright 2024 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library (sg13g2_io_dummy) { + delay_model : table_lookup; + capacitive_load_unit (1,ff); + current_unit : "1mA"; + leakage_power_unit : "1mW"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ps"; + voltage_unit : "1V"; + voltage_map (vss, 0.0); + voltage_map (vdd, 1.2); + voltage_map (iovss, 0.0); + voltage_map (iovdd, 3.3); + default_cell_leakage_power : 0; + default_fanout_load : 1; + default_inout_pin_cap : 1; + default_input_pin_cap : 1; + default_leakage_power_density : 0; + default_max_fanout : 30; + default_max_transition : 5000; + default_output_pin_cap : 0; + in_place_swap_mode : match_footprint; + input_threshold_pct_fall : 50; + input_threshold_pct_rise : 50; + nom_process : 1; + nom_temperature : 25; + nom_voltage : 1.8; + output_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + slew_derate_from_library : 1; + slew_lower_threshold_pct_fall : 20; + slew_lower_threshold_pct_rise : 20; + slew_upper_threshold_pct_fall : 80; + slew_upper_threshold_pct_rise : 80; + operating_conditions ("typ") { + process : 1; + temperature : 25; + voltage : 1.8; + tree_type: "balanced_tree"; + } + default_operating_conditions : "typ"; + input_voltage (core_input) { + vih : 1.2; + vil : 0; + vimax : 1.2; + vimin : 0; + } + output_voltage (core_output) { + voh : 1.2; + vol : 0; + vomax : 1.2; + vomin : 0; + } + input_voltage (io_input) { + vih : 3.3; + vil : 0; + vimax : 3.3; + vimin : 0; + } + output_voltage (io_output) { + voh : 3.3; + vol : 0; + vomax : 3.3; + vomin : 0; + } + lu_table_template (delay_template_2x2) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ("10, 200"); + index_2 ("500, 30000"); + } + + cell (sg13g2_Corner) { + area : 32400.0 + is_decap_cell : false; + is_filler_cell : true; + is_tap_cell : false; + dont_touch : true; + dont_use : true; + cell_leakage_power : 0; + cell_footprint : "fill"; + } + + cell (sg13g2_Filler200) { + area : 180.0 + is_decap_cell : false; + is_filler_cell : true; + is_tap_cell : false; + dont_touch : true; + dont_use : true; + cell_leakage_power : 0; + cell_footprint : "fill"; + } + + cell (sg13g2_Filler400) { + area : 360.0 + is_decap_cell : false; + is_filler_cell : true; + is_tap_cell : false; + dont_touch : true; + dont_use : true; + cell_leakage_power : 0; + cell_footprint : "fill"; + } + + cell (sg13g2_Filler1000) { + area : 900.0 + is_decap_cell : false; + is_filler_cell : true; + is_tap_cell : false; + dont_touch : true; + dont_use : true; + cell_leakage_power : 0; + cell_footprint : "fill"; + } + + cell (sg13g2_Filler2000) { + area : 1800.0 + is_decap_cell : false; + is_filler_cell : true; + is_tap_cell : false; + dont_touch : true; + dont_use : true; + cell_leakage_power : 0; + cell_footprint : "fill"; + } + + cell (sg13g2_Filler4000) { + area : 3600.0 + is_decap_cell : false; + is_filler_cell : true; + is_tap_cell : false; + dont_touch : true; + dont_use : true; + cell_leakage_power : 0; + cell_footprint : "fill"; + } + + cell (sg13g2_Filler10000) { + area : 9000.0 + is_decap_cell : false; + is_filler_cell : true; + is_tap_cell : false; + dont_touch : true; + dont_use : true; + cell_leakage_power : 0; + cell_footprint : "fill"; + } + + cell (sg13g2_IOPadIn) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + pin (p2c) { + direction : output; + function : "(pad)"; + output_voltage : core_output; + related_ground_pin : vss; + related_power_pin : vdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "pad"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + pin (pad) { + direction : input; + is_pad : true; + input_voltage : io_input; + related_ground_pin : iovss; + related_power_pin : iovdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + } + + cell (sg13g2_IOPadOut4mA) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + pin (c2p) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (pad) { + direction : output; + function : "(c2p)"; + is_pad : true; + output_voltage : io_output; + related_ground_pin : iovss; + related_power_pin : iovdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "c2p"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + } + + cell (sg13g2_IOPadOut16mA) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + pin (c2p) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (pad) { + direction : output; + function : "(c2p)"; + is_pad : true; + output_voltage : io_output; + related_ground_pin : iovss; + related_power_pin : iovdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "c2p"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + } + + cell (sg13g2_IOPadOut30mA) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + pin (c2p) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (pad) { + direction : output; + function : "(c2p)"; + is_pad : true; + output_voltage : io_output; + related_ground_pin : iovss; + related_power_pin : iovdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "c2p"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + } + + cell (sg13g2_IOPadTriOut4mA) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + pin (c2p) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (c2p_en) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (pad) { + direction : output; + function : "(c2p)"; + three_state: "(c2p_en)"; + is_pad : true; + output_voltage : io_output; + related_ground_pin : iovss; + related_power_pin : iovdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "c2p"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + } + + cell (sg13g2_IOPadTriOut16mA) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + pin (c2p) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (c2p_en) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (pad) { + direction : output; + function : "(c2p)"; + three_state: "(c2p_en)"; + is_pad : true; + output_voltage : io_output; + related_ground_pin : iovss; + related_power_pin : iovdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "c2p"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + } + + cell (sg13g2_IOPadTriOut30mA) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + pin (c2p) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (c2p_en) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (pad) { + direction : output; + function : "(c2p)"; + three_state: "(c2p_en)"; + is_pad : true; + output_voltage : io_output; + related_ground_pin : iovss; + related_power_pin : iovdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "c2p"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + } + + cell (sg13g2_IOPadInOut4mA) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + pin (p2c) { + direction : output; + function : "(pad)"; + output_voltage : core_output; + related_ground_pin : vss; + related_power_pin : vdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "pad"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + pin (c2p) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (c2p_en) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (pad) { + direction : inout; + function : "(c2p)"; + three_state: "(c2p_en)"; + is_pad : true; + output_voltage : io_output; + related_ground_pin : iovss; + related_power_pin : iovdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "c2p"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + } + + cell (sg13g2_IOPadInOut16mA) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + pin (p2c) { + direction : output; + function : "(pad)"; + output_voltage : core_output; + related_ground_pin : vss; + related_power_pin : vdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "pad"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + pin (c2p) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (c2p_en) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (pad) { + direction : inout; + function : "(c2p)"; + three_state: "(c2p_en)"; + is_pad : true; + output_voltage : io_output; + related_ground_pin : iovss; + related_power_pin : iovdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "c2p"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + } + + cell (sg13g2_IOPadInOut30mA) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + pin (p2c) { + direction : output; + function : "(pad)"; + output_voltage : core_output; + related_ground_pin : vss; + related_power_pin : vdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "pad"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + pin (c2p) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (c2p_en) { + direction : input; + input_voltage : core_input; + related_ground_pin : vss; + related_power_pin : vdd; + max_transition : 200; + capacitance : 15.0; + rise_capacitance : 15.0; + rise_capacitance_range (12.0, 15.0); + fall_capacitance : 15.0; + fall_capacitance_range (12.0, 15.0); + } + pin (pad) { + direction : inout; + function : "(c2p)"; + three_state: "(c2p_en)"; + is_pad : true; + output_voltage : io_output; + related_ground_pin : iovss; + related_power_pin : iovdd; + max_capacitance : 500; + max_transition : 200; + timing () { + related_pin : "c2p"; + timing_type : combinational; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + timing () { + related_pin : "c2p_en"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + rise_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + cell_fall (delay_template_2x2) { + values ( \ + "1000, 1000", \ + "1000, 1000" \ + ); + } + fall_transition (delay_template_2x2) { + values ( \ + "200, 200", \ + "200, 200" \ + ); + } + } + } + } + + cell (sg13g2_IOPadIOVss) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + is_pad : true; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + } + + cell (sg13g2_IOPadIOVdd) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + is_pad : true; + } + } + + cell (sg13g2_IOPadVss) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + is_pad : true; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + } + + cell (sg13g2_IOPadVdd) { + area: 14400; + dont_touch : true; + dont_use : true; + timing_model_type : abstracted; + pad_cell : true; + pg_pin (vss) { + pg_type : primary_ground; + voltage_name : "vss"; + } + pg_pin (vdd) { + pg_type : primary_power; + voltage_name : "vdd"; + is_pad : true; + } + pg_pin (iovss) { + pg_type : primary_ground; + voltage_name : "iovss"; + } + pg_pin (iovdd) { + pg_type : primary_power; + voltage_name : "iovdd"; + } + } +} diff --git a/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_fast_1p32V_m40C.lib b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_fast_1p32V_m40C.lib new file mode 100644 index 0000000000..9aa477677d --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_fast_1p32V_m40C.lib @@ -0,0 +1,28275 @@ +/************************************************************************ + + Copyright 2024 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library (sg13g2_stdcell_fast_1p32V_m40C) { + comment : "IHP Microelectronics GmbH, 2024"; + date : "$Date: Thu Mar 14 15:30:46 2024 $"; + revision : "$Revision: 0.1.0 $"; + delay_model : table_lookup; + capacitive_load_unit (1,pf); + current_unit : "1uA"; + leakage_power_unit : "1pW"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1V"; + default_inout_pin_cap : 0.006; + default_input_pin_cap : 0.006; + default_leakage_power_density : 0; + default_max_capacitance : 0.3; + default_max_fanout : 8; + default_wire_load_area : 0.29; + default_wire_load_capacitance : 0.0003; + default_wire_load_mode : "top"; + default_wire_load_resistance : 0.0057; + default_fanout_load : 1; + default_cell_leakage_power : 0; + default_output_pin_cap : 0; + default_max_transition : 2.5074; + in_place_swap_mode : match_footprint; + input_threshold_pct_fall : 50; + input_threshold_pct_rise : 50; + nom_process : 1; + nom_temperature : -40; + nom_voltage : 1.32; + output_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + slew_derate_from_library : 1; + slew_lower_threshold_pct_fall : 20; + slew_lower_threshold_pct_rise : 20; + slew_upper_threshold_pct_fall : 80; + slew_upper_threshold_pct_rise : 80; + operating_conditions (sg13g2_stdcell_fast_1p32V_m40C) { + process : 1; + temperature : -40; + voltage : 1.32; + } + wire_load (Zero) { + area : 0.01; + capacitance : 0.0001; + resistance : 0.0001; + slope : 1; + fanout_length (1, 0.01); + fanout_length (5, 0.01); + fanout_length (20, 0.01); + } + wire_load ("0_1k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0035; + slope : 7.387; + fanout_length (1, 6.43); + fanout_length (5, 38.56); + fanout_length (20, 146.8); + } + wire_load ("0_5k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0042; + slope : 10.99; + fanout_length (1, 7.89); + fanout_length (5, 47.32); + fanout_length (20, 169.4); + } + wire_load ("1k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0053; + slope : 10.9; + fanout_length (1, 9.93); + fanout_length (5, 59.59); + fanout_length (20, 215.6); + } + wire_load ("2k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0063; + slope : 16.87; + fanout_length (1, 11.68); + fanout_length (5, 70.11); + fanout_length (20, 239.5); + } + wire_load ("5k") { + area : 0.36; + capacitance : 0.0003; + resistance : 0.0072; + slope : 14.58; + fanout_length (1, 13.44); + fanout_length (5, 80.62); + fanout_length (20, 287.1); + } + wire_load ("10k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.008; + slope : 18.94; + fanout_length (1, 14.9); + fanout_length (5, 89.39); + fanout_length (20, 381.6); + } + wire_load ("30k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.0094; + slope : 24.61; + fanout_length (1, 17.53); + fanout_length (5, 105.2); + fanout_length (20, 515.5); + } + wire_load ("50k") { + area : 0.37; + capacitance : 0.0005; + resistance : 0.0152; + slope : 31.81; + fanout_length (1, 28.33); + fanout_length (5, 170); + fanout_length (20, 607.6); + } + wire_load ("100k") { + area : 0.39; + capacitance : 0.0009; + resistance : 0.0265; + slope : 40.22; + fanout_length (1, 49.37); + fanout_length (5, 296.2); + fanout_length (20, 812.1); + } + wire_load ("200k") { + area : 0.41; + capacitance : 0.0016; + resistance : 0.0456; + slope : 52.68; + fanout_length (1, 84.71); + fanout_length (5, 508.3); + fanout_length (20, 1051.7); + } + wire_load ("500k") { + area : 0.45; + capacitance : 0.0027; + resistance : 0.076; + slope : 67.44; + fanout_length (1, 141.4); + fanout_length (5, 848.3); + fanout_length (20, 1527.1); + } + wire_load_selection ("4_metls_routing") { + wire_load_from_area (0, 635, 0_1k); + wire_load_from_area (635, 3175, 0_5k); + wire_load_from_area (3175, 6350, 1k); + wire_load_from_area (6350, 12701, 2k); + wire_load_from_area (12701, 31752, 5k); + wire_load_from_area (31752, 63504, 10k); + wire_load_from_area (63504, 190512, 30k); + wire_load_from_area (190512, 317520, 50k); + wire_load_from_area (317520, 635040, 100k); + wire_load_from_area (635040, 1.27008e+06, 200k); + wire_load_from_area (1.27008e+06, 3.1752e+06, 500k); + } + default_wire_load : "1k"; + default_operating_conditions : sg13g2_stdcell_fast_1p32V_m40C; + default_wire_load_selection : "4_metls_routing"; + lu_table_template (CONSTRAINT_4x4) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + } + power_lut_template (POWER_7x7ds1) { + variable_1 : input_transition_time; + variable_2 : total_output_net_capacitance; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + } + lu_table_template (TIMING_DELAY_7x7ds1) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + } + lu_table_template (mpw_CONSTRAINT_4x4) { + variable_1 : constrained_pin_transition; + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + } + power_lut_template (passive_POWER_7x1ds1) { + variable_1 : input_transition_time; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + } + cell (sg13g2_a21o_1) { + area : 12.7008; + cell_footprint : "AO21"; + cell_leakage_power : 357.492; + leakage_power () { + value : 353.342; + when : "!A1&!A2&!B1"; + } + leakage_power () { + value : 398.189; + when : "!A1&A2&!B1"; + } + leakage_power () { + value : 298.788; + when : "A1&!A2&!B1"; + } + leakage_power () { + value : 335.037; + when : "A1&A2&!B1"; + } + leakage_power () { + value : 332.652; + when : "!A1&!A2&B1"; + } + leakage_power () { + value : 373.288; + when : "!A1&A2&B1"; + } + leakage_power () { + value : 373.279; + when : "A1&!A2&B1"; + } + leakage_power () { + value : 395.361; + when : "A1&A2&B1"; + } + pin (X) { + direction : "output"; + function : "((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.057572, 0.103943, 0.132073, 0.177743, 0.253975, 0.3808, 0.591776", \ + "0.0863461, 0.133613, 0.161745, 0.207548, 0.283782, 0.410648, 0.6222", \ + "0.105237, 0.15354, 0.181657, 0.227499, 0.303876, 0.430715, 0.641816", \ + "0.134799, 0.185547, 0.213744, 0.259554, 0.335903, 0.462637, 0.674089", \ + "0.17367, 0.229156, 0.257481, 0.302765, 0.378686, 0.505369, 0.716485", \ + "0.229658, 0.294407, 0.323823, 0.369302, 0.445756, 0.572392, 0.78343", \ + "0.311934, 0.39045, 0.422592, 0.469982, 0.547931, 0.676945, 0.888952" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0144675, 0.0689649, 0.108596, 0.174692, 0.285888, 0.471543, 0.780934", \ + "0.0167525, 0.0698384, 0.109058, 0.175, 0.286142, 0.472745, 0.782026", \ + "0.0193341, 0.0706226, 0.109702, 0.175474, 0.286465, 0.472746, 0.782027", \ + "0.0235409, 0.0724875, 0.11069, 0.176038, 0.287018, 0.472747, 0.782028", \ + "0.0299688, 0.0766693, 0.112929, 0.177238, 0.28782, 0.473025, 0.782084", \ + "0.040053, 0.085694, 0.119502, 0.181235, 0.290345, 0.474865, 0.783578", \ + "0.055641, 0.104454, 0.135302, 0.193141, 0.299602, 0.482455, 0.789239" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0613234, 0.10395, 0.127979, 0.166827, 0.231384, 0.338689, 0.517278", \ + "0.0828496, 0.126902, 0.151142, 0.190076, 0.254579, 0.361873, 0.540902", \ + "0.0952648, 0.141149, 0.165579, 0.204742, 0.269383, 0.376767, 0.555374", \ + "0.113655, 0.16254, 0.187556, 0.226724, 0.291483, 0.398921, 0.577816", \ + "0.132341, 0.18747, 0.213058, 0.253106, 0.317998, 0.425335, 0.604102", \ + "0.15735, 0.221832, 0.250368, 0.291731, 0.35809, 0.4667, 0.645798", \ + "0.181429, 0.259686, 0.292964, 0.339121, 0.409396, 0.521995, 0.705797" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0137718, 0.0582246, 0.0894759, 0.142289, 0.231763, 0.38113, 0.630333", \ + "0.0154086, 0.0592898, 0.0902063, 0.142616, 0.231764, 0.381436, 0.630894", \ + "0.0175527, 0.0609123, 0.0913772, 0.14344, 0.232224, 0.381437, 0.631369", \ + "0.0213357, 0.0636708, 0.0935328, 0.144778, 0.233022, 0.381974, 0.63137", \ + "0.0273922, 0.0700124, 0.098016, 0.147891, 0.234755, 0.382954, 0.631463", \ + "0.03695, 0.080864, 0.108457, 0.156029, 0.241352, 0.386874, 0.634014", \ + "0.051799, 0.101405, 0.127727, 0.173733, 0.257234, 0.402343, 0.646491" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0598551, 0.106296, 0.134279, 0.180003, 0.256315, 0.383026, 0.593909", \ + "0.0846925, 0.132036, 0.160152, 0.205952, 0.282131, 0.408964, 0.619917", \ + "0.101519, 0.149968, 0.178106, 0.223987, 0.30024, 0.427002, 0.637994", \ + "0.128627, 0.179737, 0.207705, 0.253663, 0.329846, 0.456593, 0.667657", \ + "0.163535, 0.219389, 0.247882, 0.293369, 0.369502, 0.495963, 0.707124", \ + "0.213991, 0.278815, 0.308679, 0.355154, 0.431238, 0.558394, 0.769719", \ + "0.285281, 0.362797, 0.395674, 0.444445, 0.523154, 0.652879, 0.866159" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0144643, 0.0689662, 0.108501, 0.17468, 0.285947, 0.471592, 0.780964", \ + "0.0160073, 0.0696354, 0.108868, 0.174868, 0.286062, 0.472847, 0.781194", \ + "0.0178538, 0.070431, 0.109441, 0.175244, 0.286278, 0.472848, 0.781195", \ + "0.0211941, 0.0724904, 0.110565, 0.175796, 0.28663, 0.472849, 0.781196", \ + "0.0272272, 0.076371, 0.113095, 0.177416, 0.28754, 0.47285, 0.781669", \ + "0.036292, 0.085176, 0.119845, 0.181906, 0.2907, 0.474942, 0.783208", \ + "0.049835, 0.102463, 0.134536, 0.193763, 0.300813, 0.483577, 0.789681" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0672412, 0.1106, 0.134821, 0.173781, 0.238418, 0.345729, 0.524538", \ + "0.0903568, 0.134899, 0.159251, 0.198284, 0.262904, 0.370288, 0.549087", \ + "0.104378, 0.150405, 0.174963, 0.214095, 0.278913, 0.386446, 0.565085", \ + "0.125377, 0.174166, 0.199193, 0.238534, 0.303367, 0.411181, 0.589917", \ + "0.147986, 0.202347, 0.228324, 0.268265, 0.333602, 0.440952, 0.619963", \ + "0.180279, 0.242844, 0.270648, 0.312248, 0.378642, 0.486985, 0.666054", \ + "0.220411, 0.295203, 0.327066, 0.371366, 0.440145, 0.552134, 0.73458" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014537, 0.0588723, 0.0900543, 0.142658, 0.232019, 0.381331, 0.630638", \ + "0.0158986, 0.0597226, 0.0906598, 0.143125, 0.232139, 0.381895, 0.630639", \ + "0.0177466, 0.0611132, 0.091639, 0.143686, 0.232442, 0.381896, 0.630794", \ + "0.0211324, 0.0636532, 0.0934865, 0.144922, 0.233258, 0.382066, 0.630855", \ + "0.0266067, 0.0689994, 0.0977251, 0.1476, 0.234821, 0.383167, 0.631707", \ + "0.035151, 0.078626, 0.105876, 0.154286, 0.239801, 0.386108, 0.633703", \ + "0.048064, 0.094758, 0.121882, 0.167571, 0.251626, 0.39756, 0.642974" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0379167, 0.0809799, 0.108526, 0.153894, 0.22986, 0.356509, 0.567074", \ + "0.0628743, 0.107984, 0.135644, 0.181138, 0.257077, 0.383558, 0.594431", \ + "0.0774019, 0.124896, 0.152224, 0.197825, 0.273746, 0.400202, 0.611079", \ + "0.100519, 0.151627, 0.179419, 0.224903, 0.300736, 0.42721, 0.637983", \ + "0.127376, 0.186422, 0.214395, 0.259623, 0.335563, 0.462129, 0.672699", \ + "0.161394, 0.232264, 0.262546, 0.308803, 0.38498, 0.512205, 0.722981", \ + "0.201716, 0.288376, 0.323736, 0.374331, 0.452621, 0.582148, 0.795167" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0116606, 0.0663409, 0.106403, 0.172903, 0.284259, 0.469995, 0.779427", \ + "0.0159321, 0.0671572, 0.10684, 0.173111, 0.284417, 0.470274, 0.779754", \ + "0.0195108, 0.0681926, 0.107279, 0.173382, 0.284548, 0.470275, 0.779755", \ + "0.0251786, 0.0704967, 0.108571, 0.173895, 0.284975, 0.470289, 0.779756", \ + "0.0338005, 0.0766603, 0.11209, 0.175638, 0.285842, 0.470968, 0.779882", \ + "0.046134, 0.090028, 0.121889, 0.182137, 0.289734, 0.473521, 0.781691", \ + "0.063504, 0.114374, 0.143182, 0.199575, 0.302095, 0.483654, 0.789545" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0605123, 0.103906, 0.128087, 0.167085, 0.231716, 0.339056, 0.517721", \ + "0.0870753, 0.131538, 0.155991, 0.195055, 0.259734, 0.36711, 0.545998", \ + "0.103898, 0.149364, 0.173982, 0.213355, 0.278365, 0.385899, 0.564326", \ + "0.129356, 0.176851, 0.201571, 0.240865, 0.305758, 0.41343, 0.592371", \ + "0.159376, 0.211488, 0.237028, 0.276548, 0.34134, 0.449028, 0.628106", \ + "0.20129, 0.262613, 0.289597, 0.328589, 0.393931, 0.501236, 0.680889", \ + "0.261874, 0.334999, 0.36511, 0.408143, 0.475599, 0.586558, 0.768638" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0144856, 0.0588261, 0.0899974, 0.142695, 0.231971, 0.381284, 0.630293", \ + "0.016578, 0.0600899, 0.0909044, 0.143264, 0.232169, 0.382302, 0.630734", \ + "0.0190584, 0.0613423, 0.0920862, 0.144185, 0.232861, 0.382303, 0.630755", \ + "0.0230073, 0.0635427, 0.0935848, 0.14535, 0.233962, 0.382786, 0.631319", \ + "0.0292992, 0.0685741, 0.0967726, 0.147179, 0.234833, 0.383945, 0.632424", \ + "0.039167, 0.077888, 0.104918, 0.153176, 0.239168, 0.386395, 0.6345", \ + "0.054275, 0.094823, 0.120247, 0.166482, 0.25101, 0.397139, 0.643153" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0358694, 0.0777739, 0.105354, 0.150853, 0.226682, 0.353263, 0.563942", \ + "0.0597497, 0.10253, 0.130125, 0.175798, 0.25172, 0.37827, 0.588895", \ + "0.0733204, 0.117542, 0.145009, 0.190423, 0.266324, 0.392929, 0.603734", \ + "0.0948203, 0.141985, 0.169193, 0.213796, 0.289515, 0.415782, 0.626568", \ + "0.11876, 0.172464, 0.199815, 0.245063, 0.320142, 0.445697, 0.656211", \ + "0.148067, 0.212539, 0.241693, 0.287778, 0.363955, 0.488783, 0.699351", \ + "0.180373, 0.261353, 0.295137, 0.344399, 0.42351, 0.552603, 0.765073" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00999289, 0.0662029, 0.106391, 0.173022, 0.284317, 0.469991, 0.779479", \ + "0.0133223, 0.0668209, 0.106752, 0.173113, 0.284326, 0.469992, 0.779673", \ + "0.0162198, 0.0674767, 0.107161, 0.173396, 0.284587, 0.470053, 0.779674", \ + "0.0208757, 0.0692027, 0.108029, 0.173961, 0.285022, 0.470336, 0.779675", \ + "0.0282291, 0.0741402, 0.111029, 0.175495, 0.285979, 0.471114, 0.779956", \ + "0.039397, 0.085788, 0.119558, 0.181239, 0.290176, 0.473908, 0.781767", \ + "0.057129, 0.109274, 0.139371, 0.197091, 0.303172, 0.485216, 0.790905" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0536662, 0.0962854, 0.120299, 0.15912, 0.223698, 0.330912, 0.509568", \ + "0.0781158, 0.121995, 0.146344, 0.18543, 0.250169, 0.357447, 0.536124", \ + "0.0929683, 0.138109, 0.162626, 0.201932, 0.26686, 0.374276, 0.552951", \ + "0.115387, 0.162805, 0.187313, 0.226144, 0.291009, 0.39861, 0.577578", \ + "0.142431, 0.194086, 0.219801, 0.25881, 0.322858, 0.430429, 0.609218", \ + "0.181065, 0.241471, 0.267761, 0.307722, 0.373144, 0.48031, 0.659481", \ + "0.233519, 0.307202, 0.337793, 0.380999, 0.448777, 0.559588, 0.738141" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0137702, 0.0582339, 0.0895441, 0.142283, 0.231567, 0.381095, 0.630294", \ + "0.0163102, 0.0598335, 0.090697, 0.142983, 0.23193, 0.381283, 0.630421", \ + "0.0189701, 0.0612181, 0.0918309, 0.144005, 0.232757, 0.381576, 0.63125", \ + "0.0232412, 0.0634542, 0.0933965, 0.145159, 0.233826, 0.382735, 0.631251", \ + "0.029277, 0.0686676, 0.0972378, 0.147254, 0.234955, 0.383802, 0.632337", \ + "0.039328, 0.078806, 0.105304, 0.154047, 0.239749, 0.386652, 0.634403", \ + "0.055823, 0.098144, 0.123035, 0.168501, 0.252574, 0.398515, 0.644422" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0379167, 0.0809799, 0.108526, 0.153894, 0.22986, 0.356509, 0.567074", \ + "0.0628743, 0.107984, 0.135644, 0.181138, 0.257077, 0.383558, 0.594431", \ + "0.0774019, 0.124896, 0.152224, 0.197825, 0.273746, 0.400202, 0.611079", \ + "0.100519, 0.151627, 0.179419, 0.224903, 0.300736, 0.42721, 0.637983", \ + "0.127376, 0.186422, 0.214395, 0.259623, 0.335563, 0.462129, 0.672699", \ + "0.161394, 0.232264, 0.262546, 0.308803, 0.38498, 0.512205, 0.722981", \ + "0.201716, 0.288376, 0.323736, 0.374331, 0.452621, 0.582148, 0.795167" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0116606, 0.0663409, 0.106403, 0.172903, 0.284259, 0.469995, 0.779427", \ + "0.0159321, 0.0671572, 0.10684, 0.173111, 0.284417, 0.470274, 0.779754", \ + "0.0195108, 0.0681926, 0.107279, 0.173382, 0.284548, 0.470275, 0.779755", \ + "0.0251786, 0.0704967, 0.108571, 0.173895, 0.284975, 0.470289, 0.779756", \ + "0.0338005, 0.0766603, 0.11209, 0.175638, 0.285842, 0.470968, 0.779882", \ + "0.046134, 0.090028, 0.121889, 0.182137, 0.289734, 0.473521, 0.781691", \ + "0.063504, 0.114374, 0.143182, 0.199575, 0.302095, 0.483654, 0.789545" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0605123, 0.103906, 0.128087, 0.167085, 0.231716, 0.339056, 0.517721", \ + "0.0870753, 0.131538, 0.155991, 0.195055, 0.259734, 0.36711, 0.545998", \ + "0.103898, 0.149364, 0.173982, 0.213355, 0.278365, 0.385899, 0.564326", \ + "0.129356, 0.176851, 0.201571, 0.240865, 0.305758, 0.41343, 0.592371", \ + "0.159376, 0.211488, 0.237028, 0.276548, 0.34134, 0.449028, 0.628106", \ + "0.20129, 0.262613, 0.289597, 0.328589, 0.393931, 0.501236, 0.680889", \ + "0.261874, 0.334999, 0.36511, 0.408143, 0.475599, 0.586558, 0.768638" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0144856, 0.0588261, 0.0899974, 0.142695, 0.231971, 0.381284, 0.630293", \ + "0.016578, 0.0600899, 0.0909044, 0.143264, 0.232169, 0.382302, 0.630734", \ + "0.0190584, 0.0613423, 0.0920862, 0.144185, 0.232861, 0.382303, 0.630755", \ + "0.0230073, 0.0635427, 0.0935848, 0.14535, 0.233962, 0.382786, 0.631319", \ + "0.0292992, 0.0685741, 0.0967726, 0.147179, 0.234833, 0.383945, 0.632424", \ + "0.039167, 0.077888, 0.104918, 0.153176, 0.239168, 0.386395, 0.6345", \ + "0.054275, 0.094823, 0.120247, 0.166482, 0.25101, 0.397139, 0.643153" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00892615, 0.00935038, 0.00943357, 0.00940648, 0.00930611, 0.00912015, 0.00904416", \ + "0.00888687, 0.00904513, 0.00916404, 0.00913697, 0.00910947, 0.00905233, 0.0090079", \ + "0.00935989, 0.00935345, 0.00938443, 0.00938538, 0.00965988, 0.00910382, 0.00909425", \ + "0.0104563, 0.010247, 0.0103243, 0.0103545, 0.0101484, 0.0101864, 0.00982113", \ + "0.0130831, 0.012647, 0.0125329, 0.0124618, 0.0124731, 0.0121916, 0.0119077", \ + "0.0190608, 0.0178318, 0.0177193, 0.0175413, 0.0175401, 0.0174151, 0.0172626", \ + "0.030981, 0.0289748, 0.0287116, 0.0282829, 0.0281315, 0.0278691, 0.0276496" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101668, 0.0107377, 0.0107859, 0.0107781, 0.0107251, 0.0104979, 0.0100828", \ + "0.00988294, 0.0103073, 0.0103971, 0.0104694, 0.010374, 0.0101617, 0.00986444", \ + "0.00999492, 0.010364, 0.0104239, 0.0103555, 0.0104864, 0.0101184, 0.00990182", \ + "0.010781, 0.0108191, 0.0108696, 0.0109716, 0.0107269, 0.0107154, 0.0103094", \ + "0.0129926, 0.0127988, 0.0127079, 0.0128241, 0.0129177, 0.0128291, 0.0119598", \ + "0.0184051, 0.0175278, 0.017544, 0.017447, 0.0173804, 0.0173482, 0.0173296", \ + "0.0299683, 0.0281984, 0.0279805, 0.0277178, 0.0275389, 0.0275619, 0.0276589" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107707, 0.0112197, 0.011269, 0.0112463, 0.0111769, 0.0109442, 0.0108937", \ + "0.0106167, 0.0108703, 0.0109869, 0.0110623, 0.0109226, 0.0108792, 0.0103131", \ + "0.0108505, 0.0110215, 0.011018, 0.0110477, 0.0110483, 0.0107831, 0.0107551", \ + "0.0116248, 0.0115981, 0.0116227, 0.011711, 0.0115428, 0.0116336, 0.0109642", \ + "0.0139236, 0.0135803, 0.0134755, 0.0134038, 0.013462, 0.0132238, 0.0129727", \ + "0.0194031, 0.0184409, 0.01833, 0.0182873, 0.0181097, 0.0180804, 0.017952", \ + "0.0307016, 0.0290719, 0.0287753, 0.0284204, 0.0282553, 0.0280612, 0.0280071" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101906, 0.0106662, 0.0107214, 0.0107166, 0.010652, 0.010424, 0.010076", \ + "0.0100207, 0.0103992, 0.0104887, 0.0107376, 0.0103954, 0.0103312, 0.00985857", \ + "0.0101655, 0.0104476, 0.0104677, 0.0104143, 0.0106624, 0.0102548, 0.00986059", \ + "0.0108814, 0.0109059, 0.0109601, 0.0110611, 0.010923, 0.0109301, 0.0107444", \ + "0.0131, 0.0128374, 0.0128316, 0.0129689, 0.0131218, 0.01309, 0.0123685", \ + "0.0182628, 0.0174754, 0.0173896, 0.0174469, 0.0174986, 0.0176288, 0.0175691", \ + "0.0293696, 0.0276546, 0.0275364, 0.0272217, 0.0271167, 0.0272525, 0.0273104" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00879396, 0.00940163, 0.00929034, 0.00924339, 0.00909931, 0.00887134, 0.00872147", \ + "0.00867512, 0.00899076, 0.00906068, 0.00925316, 0.00895855, 0.00870955, 0.00829792", \ + "0.0091648, 0.009349, 0.00936182, 0.00935963, 0.00948164, 0.00903039, 0.00864581", \ + "0.0104347, 0.0103721, 0.0104471, 0.0104594, 0.0103337, 0.0104422, 0.0103611", \ + "0.0135909, 0.0130389, 0.0130145, 0.0129966, 0.0130275, 0.0128716, 0.0125962", \ + "0.0202198, 0.0188865, 0.0186969, 0.0187025, 0.0186476, 0.0186533, 0.018559", \ + "0.0334871, 0.0311653, 0.0308109, 0.030551, 0.0302136, 0.0301419, 0.0300428" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00734801, 0.00785019, 0.00791143, 0.00792474, 0.00783908, 0.00763762, 0.00723208", \ + "0.00743376, 0.00770915, 0.00776173, 0.00791172, 0.00770163, 0.00778097, 0.00721115", \ + "0.00789932, 0.00806382, 0.00809728, 0.00807634, 0.00827993, 0.00786088, 0.00745844", \ + "0.0091318, 0.00902296, 0.00904184, 0.00912675, 0.00889567, 0.00874584, 0.00849265", \ + "0.0120502, 0.0116264, 0.0115295, 0.0116406, 0.0116845, 0.0116246, 0.0108969", \ + "0.017952, 0.0169369, 0.0168683, 0.016741, 0.016647, 0.0164922, 0.0166231", \ + "0.0304566, 0.0282784, 0.0281065, 0.0278271, 0.0275519, 0.0272896, 0.0275534" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00696507, 0.00752249, 0.00747078, 0.0074404, 0.00728177, 0.00705051, 0.00694353", \ + "0.00685153, 0.00710763, 0.00717964, 0.00728128, 0.00714233, 0.0068467, 0.00686187", \ + "0.00725805, 0.0074601, 0.00747985, 0.00741697, 0.0075281, 0.00723852, 0.00701196", \ + "0.00852697, 0.00852033, 0.00853504, 0.00846847, 0.00818704, 0.00828667, 0.00830706", \ + "0.0115495, 0.0111711, 0.0111148, 0.0111511, 0.0110007, 0.010713, 0.010272", \ + "0.0179744, 0.0169632, 0.0168257, 0.0168008, 0.0166927, 0.0164559, 0.016161", \ + "0.031144, 0.0292157, 0.028924, 0.0285716, 0.0285195, 0.0282418, 0.0279573" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00716346, 0.00773901, 0.00778373, 0.00777355, 0.00769849, 0.00748583, 0.00711303", \ + "0.00733104, 0.00765031, 0.00772956, 0.00795317, 0.00770712, 0.00750529, 0.00717039", \ + "0.00784518, 0.00807609, 0.00813416, 0.00807379, 0.00817546, 0.00783753, 0.0076314", \ + "0.00913082, 0.00907609, 0.00909215, 0.00908493, 0.00884972, 0.00871395, 0.00890821", \ + "0.012098, 0.011724, 0.0117134, 0.011733, 0.0116414, 0.0115818, 0.0108129", \ + "0.018195, 0.0170838, 0.0169406, 0.0169979, 0.0168991, 0.016677, 0.0166361", \ + "0.0309789, 0.0287878, 0.028507, 0.0282018, 0.0279286, 0.0277023, 0.02724" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00696507, 0.00752249, 0.00747078, 0.0074404, 0.00728177, 0.00705051, 0.00694353", \ + "0.00685153, 0.00710763, 0.00717964, 0.00728128, 0.00714233, 0.0068467, 0.00686187", \ + "0.00725805, 0.0074601, 0.00747985, 0.00741697, 0.0075281, 0.00723852, 0.00701196", \ + "0.00852697, 0.00852033, 0.00853504, 0.00846847, 0.00818704, 0.00828667, 0.00830706", \ + "0.0115495, 0.0111711, 0.0111148, 0.0111511, 0.0110007, 0.010713, 0.010272", \ + "0.0179744, 0.0169632, 0.0168257, 0.0168008, 0.0166927, 0.0164559, 0.016161", \ + "0.031144, 0.0292157, 0.028924, 0.0285716, 0.0285195, 0.0282418, 0.0279573" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00716346, 0.00773901, 0.00778373, 0.00777355, 0.00769849, 0.00748583, 0.00711303", \ + "0.00733104, 0.00765031, 0.00772956, 0.00795317, 0.00770712, 0.00750529, 0.00717039", \ + "0.00784518, 0.00807609, 0.00813416, 0.00807379, 0.00817546, 0.00783753, 0.0076314", \ + "0.00913082, 0.00907609, 0.00909215, 0.00908493, 0.00884972, 0.00871395, 0.00890821", \ + "0.012098, 0.011724, 0.0117134, 0.011733, 0.0116414, 0.0115818, 0.0108129", \ + "0.018195, 0.0170838, 0.0169406, 0.0169979, 0.0168991, 0.016677, 0.0166361", \ + "0.0309789, 0.0287878, 0.028507, 0.0282018, 0.0279286, 0.0277023, 0.02724" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00300036; + rise_capacitance : 0.00299655; + rise_capacitance_range (0.00299655, 0.00299655); + fall_capacitance : 0.00300417; + fall_capacitance_range (0.00300417, 0.00300417); + internal_power () { + when : "(A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000140838, -0.000158958, -0.000164278, -0.000155493, -0.000160337, -0.000161354, -0.000153991" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000164619, 0.000158958, 0.000164278, 0.000155493, 0.000160337, 0.000161354, 0.000153991" \ + ); + } + } + internal_power () { + when : "(!A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000140838, -0.000158958, -0.000164278, -0.000155493, -0.000160337, -0.000161354, -0.000153991" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000164619, 0.000158958, 0.000164278, 0.000155493, 0.000160337, 0.000161354, 0.000153991" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00306507; + rise_capacitance : 0.00309529; + rise_capacitance_range (0.00309529, 0.00309529); + fall_capacitance : 0.00303485; + fall_capacitance_range (0.00303485, 0.00303485); + internal_power () { + when : "(A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000114953, -0.000131854, -0.000136596, -0.000129934, -0.00013494, -0.000134779, -0.000127636" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000134662, 0.000131854, 0.000136596, 0.000129934, 0.00013494, 0.000134779, 0.000127636" \ + ); + } + } + internal_power () { + when : "(!A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000114953, -0.000131854, -0.000136596, -0.000129934, -0.00013494, -0.000134779, -0.000127636" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000134662, 0.000131854, 0.000136596, 0.000129934, 0.00013494, 0.000134779, 0.000127636" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00264438; + rise_capacitance : 0.00266142; + rise_capacitance_range (0.00266142, 0.00266142); + fall_capacitance : 0.00262733; + fall_capacitance_range (0.00262733, 0.00262733); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000596548, 0.000594744, 0.000597862, 0.00060075, 0.000600802, 0.000603251, 0.000600592" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000548841, 0.000557059, 0.000562114, 0.00056473, 0.000576143, 0.000568314, 0.000572167" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000596548, 0.000594744, 0.000597862, 0.00060075, 0.000600802, 0.000603251, 0.000600592" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000548841, 0.000557059, 0.000562114, 0.00056473, 0.000576143, 0.000568314, 0.000572167" \ + ); + } + } + } + } + cell (sg13g2_a21o_2) { + area : 14.5152; + cell_footprint : "AO21"; + cell_leakage_power : 496.655; + leakage_power () { + value : 512.716; + when : "!A1&!A2&!B1"; + } + leakage_power () { + value : 579.984; + when : "!A1&A2&!B1"; + } + leakage_power () { + value : 458.606; + when : "A1&!A2&!B1"; + } + leakage_power () { + value : 457.497; + when : "A1&A2&!B1"; + } + leakage_power () { + value : 433.381; + when : "!A1&!A2&B1"; + } + leakage_power () { + value : 495.747; + when : "!A1&A2&B1"; + } + leakage_power () { + value : 495.747; + when : "A1&!A2&B1"; + } + leakage_power () { + value : 539.56; + when : "A1&A2&B1"; + } + pin (X) { + direction : "output"; + function : "((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.061855, 0.113339, 0.141602, 0.187531, 0.263885, 0.390839, 0.601933", \ + "0.0927846, 0.145326, 0.173631, 0.21962, 0.295864, 0.422758, 0.634", \ + "0.113224, 0.167126, 0.195392, 0.241415, 0.317729, 0.444761, 0.655951", \ + "0.144654, 0.202253, 0.23047, 0.276416, 0.352595, 0.479651, 0.690893", \ + "0.185542, 0.248938, 0.276689, 0.322362, 0.398436, 0.524809, 0.735898", \ + "0.24195, 0.314829, 0.344511, 0.39044, 0.466431, 0.592675, 0.803282", \ + "0.321681, 0.408777, 0.442892, 0.491297, 0.566847, 0.694159, 0.90619" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0151827, 0.0708651, 0.110036, 0.175942, 0.287204, 0.47306, 0.782836", \ + "0.0178272, 0.0719122, 0.110578, 0.176282, 0.287427, 0.473533, 0.782927", \ + "0.0209491, 0.0731481, 0.111517, 0.176873, 0.287692, 0.473534, 0.783613", \ + "0.0262315, 0.0759063, 0.113099, 0.177713, 0.288445, 0.473809, 0.783614", \ + "0.03416, 0.0820645, 0.116765, 0.179808, 0.289324, 0.474698, 0.783969", \ + "0.04739, 0.094033, 0.125268, 0.185037, 0.292414, 0.476595, 0.785469", \ + "0.067745, 0.117325, 0.145821, 0.200193, 0.302367, 0.483648, 0.790608" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0770367, 0.127754, 0.152712, 0.191971, 0.25633, 0.363034, 0.540552", \ + "0.100699, 0.152407, 0.177496, 0.216834, 0.281234, 0.387877, 0.566713", \ + "0.11639, 0.169758, 0.195194, 0.234703, 0.299166, 0.405911, 0.58333", \ + "0.140949, 0.197536, 0.223333, 0.263184, 0.327841, 0.434669, 0.611827", \ + "0.169672, 0.232443, 0.259874, 0.300618, 0.365154, 0.47223, 0.649402", \ + "0.212468, 0.283094, 0.312921, 0.356748, 0.421775, 0.52947, 0.706737", \ + "0.267277, 0.350466, 0.384819, 0.431514, 0.500505, 0.61223, 0.793045" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.017594, 0.0639332, 0.0941972, 0.145793, 0.233508, 0.381476, 0.628789", \ + "0.0190323, 0.0647214, 0.0947669, 0.145949, 0.233672, 0.381879, 0.630194", \ + "0.0211786, 0.06651, 0.0961387, 0.146963, 0.234191, 0.38188, 0.630195", \ + "0.025226, 0.0702304, 0.0989531, 0.148927, 0.235474, 0.382455, 0.630196", \ + "0.0327963, 0.0771353, 0.104968, 0.153409, 0.237955, 0.383671, 0.630197", \ + "0.044146, 0.089924, 0.116974, 0.163102, 0.244782, 0.38808, 0.632131", \ + "0.062424, 0.11081, 0.137144, 0.182078, 0.262346, 0.403488, 0.644232" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0639967, 0.115463, 0.143725, 0.189623, 0.266028, 0.392924, 0.60398", \ + "0.0901304, 0.142595, 0.170927, 0.216858, 0.293207, 0.420085, 0.63135", \ + "0.108063, 0.161998, 0.190364, 0.236367, 0.312708, 0.439647, 0.650711", \ + "0.136814, 0.193917, 0.222271, 0.268115, 0.34457, 0.471435, 0.682542", \ + "0.173204, 0.235549, 0.264223, 0.310239, 0.386112, 0.512987, 0.723977", \ + "0.223683, 0.295481, 0.326204, 0.372459, 0.449156, 0.575538, 0.786328", \ + "0.29216, 0.37707, 0.411654, 0.460707, 0.53885, 0.666962, 0.879292" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0152079, 0.0708832, 0.11006, 0.175979, 0.287284, 0.47307, 0.782958", \ + "0.0168203, 0.0716461, 0.110406, 0.176175, 0.287343, 0.473198, 0.782959", \ + "0.0189092, 0.0727674, 0.111219, 0.176606, 0.287517, 0.473199, 0.783035", \ + "0.0228565, 0.075494, 0.112829, 0.177388, 0.288062, 0.473486, 0.783036", \ + "0.0299564, 0.0804959, 0.116381, 0.179738, 0.289127, 0.474251, 0.783682", \ + "0.041644, 0.091531, 0.124743, 0.185224, 0.292638, 0.476479, 0.784948", \ + "0.059395, 0.112505, 0.143591, 0.199383, 0.30336, 0.484615, 0.791329" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0836041, 0.135081, 0.16035, 0.199601, 0.264136, 0.370949, 0.548442", \ + "0.108702, 0.160908, 0.186211, 0.225659, 0.290247, 0.397013, 0.574603", \ + "0.125689, 0.17934, 0.205112, 0.244607, 0.309216, 0.416035, 0.593579", \ + "0.152278, 0.209192, 0.23528, 0.275071, 0.339817, 0.446744, 0.624288", \ + "0.184985, 0.247596, 0.27493, 0.315888, 0.380467, 0.487135, 0.664971", \ + "0.233528, 0.303913, 0.333475, 0.375454, 0.441853, 0.549562, 0.726894", \ + "0.299456, 0.380805, 0.413372, 0.459755, 0.528298, 0.639071, 0.819104" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0185307, 0.064675, 0.0949692, 0.146327, 0.233955, 0.381818, 0.628954", \ + "0.0196478, 0.0652368, 0.0952867, 0.14645, 0.234027, 0.381874, 0.629409", \ + "0.0215852, 0.0667504, 0.0966153, 0.147371, 0.234441, 0.38211, 0.62941", \ + "0.0253273, 0.0704937, 0.0993754, 0.149117, 0.235576, 0.38279, 0.629411", \ + "0.0325128, 0.0767304, 0.104693, 0.153314, 0.237867, 0.383957, 0.630173", \ + "0.042995, 0.088051, 0.115074, 0.161021, 0.243811, 0.387519, 0.632479", \ + "0.05923, 0.106999, 0.133089, 0.178021, 0.258033, 0.399693, 0.64136" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0404979, 0.0872377, 0.1149, 0.160404, 0.236586, 0.36329, 0.574054", \ + "0.0684716, 0.117617, 0.145348, 0.190894, 0.266896, 0.393602, 0.604506", \ + "0.0849014, 0.136548, 0.164269, 0.209911, 0.285899, 0.412399, 0.623287", \ + "0.11055, 0.167127, 0.194922, 0.240246, 0.315963, 0.442613, 0.653363", \ + "0.140573, 0.204711, 0.23303, 0.27811, 0.353844, 0.48017, 0.691005", \ + "0.176303, 0.252878, 0.283874, 0.329471, 0.405485, 0.531993, 0.742416", \ + "0.215086, 0.307481, 0.344087, 0.394612, 0.471866, 0.600102, 0.811675" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0113514, 0.066811, 0.106853, 0.173251, 0.284958, 0.470738, 0.78063", \ + "0.0157715, 0.0678337, 0.107248, 0.173415, 0.284959, 0.471, 0.780631", \ + "0.0194491, 0.0692111, 0.107898, 0.173785, 0.284997, 0.471001, 0.780632", \ + "0.0256116, 0.0725964, 0.109786, 0.174562, 0.285543, 0.471057, 0.780633", \ + "0.0355596, 0.079479, 0.114009, 0.176853, 0.286534, 0.471732, 0.781303", \ + "0.050409, 0.095343, 0.12638, 0.183952, 0.290739, 0.474102, 0.782897", \ + "0.071742, 0.123637, 0.15174, 0.204387, 0.304223, 0.483727, 0.789579" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0772475, 0.128802, 0.153932, 0.193388, 0.257833, 0.364621, 0.542217", \ + "0.107576, 0.159802, 0.185099, 0.224599, 0.289105, 0.396, 0.573437", \ + "0.12898, 0.182407, 0.207823, 0.247575, 0.312299, 0.419211, 0.596678", \ + "0.16203, 0.218466, 0.244572, 0.284284, 0.348992, 0.456129, 0.633636", \ + "0.203008, 0.26538, 0.292205, 0.332272, 0.396212, 0.503309, 0.681169", \ + "0.264634, 0.334508, 0.364394, 0.405528, 0.47088, 0.576436, 0.75393", \ + "0.352087, 0.435749, 0.4686, 0.513208, 0.580829, 0.689613, 0.86978" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0185251, 0.0646887, 0.0948483, 0.146342, 0.233913, 0.381771, 0.629056", \ + "0.0204503, 0.0655257, 0.0954582, 0.146574, 0.234048, 0.381879, 0.629381", \ + "0.0234646, 0.0673778, 0.0969597, 0.147651, 0.234722, 0.38218, 0.629382", \ + "0.0287964, 0.0708247, 0.0997122, 0.149485, 0.236107, 0.383282, 0.629755", \ + "0.0379071, 0.0777484, 0.104978, 0.153273, 0.238096, 0.384656, 0.631145", \ + "0.050643, 0.090857, 0.11553, 0.161333, 0.243362, 0.387265, 0.632962", \ + "0.069707, 0.114114, 0.137363, 0.179677, 0.258412, 0.399431, 0.641245" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0390683, 0.0840936, 0.111756, 0.157237, 0.233275, 0.359896, 0.570877", \ + "0.0662187, 0.11287, 0.140609, 0.186184, 0.262258, 0.38896, 0.599786", \ + "0.081898, 0.130289, 0.157756, 0.203267, 0.279295, 0.40588, 0.616818", \ + "0.106249, 0.158472, 0.185827, 0.230297, 0.305887, 0.432263, 0.643011", \ + "0.1337, 0.192875, 0.220411, 0.265383, 0.340494, 0.465752, 0.676084", \ + "0.165698, 0.236908, 0.266228, 0.311395, 0.38653, 0.512441, 0.722578", \ + "0.197496, 0.284715, 0.319616, 0.369341, 0.44544, 0.571999, 0.783537" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00984019, 0.0665288, 0.106659, 0.17324, 0.284762, 0.470648, 0.780576", \ + "0.0137163, 0.0673537, 0.107044, 0.173427, 0.284807, 0.470755, 0.780854", \ + "0.0168342, 0.0685368, 0.10764, 0.173753, 0.28508, 0.470756, 0.780855", \ + "0.022275, 0.0709702, 0.109083, 0.174531, 0.285531, 0.471069, 0.780856", \ + "0.0311981, 0.0773299, 0.112898, 0.176473, 0.286595, 0.472067, 0.781164", \ + "0.045362, 0.092268, 0.123935, 0.183323, 0.29087, 0.474513, 0.783115", \ + "0.067894, 0.119213, 0.147846, 0.20257, 0.303678, 0.484698, 0.79087" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0693002, 0.120052, 0.145044, 0.184221, 0.248664, 0.355358, 0.532868", \ + "0.0982883, 0.150025, 0.175045, 0.214447, 0.278896, 0.385602, 0.564557", \ + "0.118105, 0.170979, 0.196466, 0.23596, 0.300529, 0.407306, 0.584671", \ + "0.148507, 0.204639, 0.230383, 0.269562, 0.334267, 0.44101, 0.61847", \ + "0.186641, 0.247319, 0.275365, 0.314275, 0.379542, 0.485748, 0.663148", \ + "0.242483, 0.313165, 0.341871, 0.382106, 0.448664, 0.55496, 0.732574", \ + "0.326032, 0.40836, 0.440945, 0.485781, 0.554088, 0.663783, 0.842731" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0175902, 0.0638914, 0.0941328, 0.145689, 0.233679, 0.381311, 0.628794", \ + "0.0199949, 0.0651842, 0.0950588, 0.146138, 0.23368, 0.381989, 0.630033", \ + "0.0232715, 0.0671381, 0.0965889, 0.147458, 0.2345, 0.38199, 0.630034", \ + "0.0287173, 0.0710315, 0.0997556, 0.149388, 0.235802, 0.382983, 0.630035", \ + "0.037982, 0.0780056, 0.104995, 0.152697, 0.23795, 0.384507, 0.630876", \ + "0.051416, 0.09194, 0.116466, 0.162252, 0.243612, 0.387472, 0.632773", \ + "0.071151, 0.115343, 0.13916, 0.181296, 0.260186, 0.401253, 0.642344" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0404979, 0.0872377, 0.1149, 0.160404, 0.236586, 0.36329, 0.574054", \ + "0.0684716, 0.117617, 0.145348, 0.190894, 0.266896, 0.393602, 0.604506", \ + "0.0849014, 0.136548, 0.164269, 0.209911, 0.285899, 0.412399, 0.623287", \ + "0.11055, 0.167127, 0.194922, 0.240246, 0.315963, 0.442613, 0.653363", \ + "0.140573, 0.204711, 0.23303, 0.27811, 0.353844, 0.48017, 0.691005", \ + "0.176303, 0.252878, 0.283874, 0.329471, 0.405485, 0.531993, 0.742416", \ + "0.215086, 0.307481, 0.344087, 0.394612, 0.471866, 0.600102, 0.811675" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0113514, 0.066811, 0.106853, 0.173251, 0.284958, 0.470738, 0.78063", \ + "0.0157715, 0.0678337, 0.107248, 0.173415, 0.284959, 0.471, 0.780631", \ + "0.0194491, 0.0692111, 0.107898, 0.173785, 0.284997, 0.471001, 0.780632", \ + "0.0256116, 0.0725964, 0.109786, 0.174562, 0.285543, 0.471057, 0.780633", \ + "0.0355596, 0.079479, 0.114009, 0.176853, 0.286534, 0.471732, 0.781303", \ + "0.050409, 0.095343, 0.12638, 0.183952, 0.290739, 0.474102, 0.782897", \ + "0.071742, 0.123637, 0.15174, 0.204387, 0.304223, 0.483727, 0.789579" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0772475, 0.128802, 0.153932, 0.193388, 0.257833, 0.364621, 0.542217", \ + "0.107576, 0.159802, 0.185099, 0.224599, 0.289105, 0.396, 0.573437", \ + "0.12898, 0.182407, 0.207823, 0.247575, 0.312299, 0.419211, 0.596678", \ + "0.16203, 0.218466, 0.244572, 0.284284, 0.348992, 0.456129, 0.633636", \ + "0.203008, 0.26538, 0.292205, 0.332272, 0.396212, 0.503309, 0.681169", \ + "0.264634, 0.334508, 0.364394, 0.405528, 0.47088, 0.576436, 0.75393", \ + "0.352087, 0.435749, 0.4686, 0.513208, 0.580829, 0.689613, 0.86978" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0185251, 0.0646887, 0.0948483, 0.146342, 0.233913, 0.381771, 0.629056", \ + "0.0204503, 0.0655257, 0.0954582, 0.146574, 0.234048, 0.381879, 0.629381", \ + "0.0234646, 0.0673778, 0.0969597, 0.147651, 0.234722, 0.38218, 0.629382", \ + "0.0287964, 0.0708247, 0.0997122, 0.149485, 0.236107, 0.383282, 0.629755", \ + "0.0379071, 0.0777484, 0.104978, 0.153273, 0.238096, 0.384656, 0.631145", \ + "0.050643, 0.090857, 0.11553, 0.161333, 0.243362, 0.387265, 0.632962", \ + "0.069707, 0.114114, 0.137363, 0.179677, 0.258412, 0.399431, 0.641245" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0140502, 0.014729, 0.014865, 0.0148249, 0.0146509, 0.0143087, 0.0140104", \ + "0.0142991, 0.0143198, 0.0145239, 0.0145704, 0.0146152, 0.0140844, 0.0139204", \ + "0.0150197, 0.0146622, 0.0145108, 0.0146322, 0.0149649, 0.0140341, 0.01409", \ + "0.0166552, 0.0155181, 0.0155749, 0.0157148, 0.0151564, 0.0157334, 0.0142532", \ + "0.0203187, 0.0183009, 0.0178971, 0.0178356, 0.0178558, 0.0171107, 0.0167427", \ + "0.0283547, 0.0240804, 0.0235791, 0.0233374, 0.0232547, 0.0230269, 0.0224513", \ + "0.0437475, 0.0367919, 0.0359619, 0.0353185, 0.0348862, 0.0341939, 0.0337938" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0155269, 0.0161081, 0.0161693, 0.0161439, 0.0160428, 0.0157035, 0.0149108", \ + "0.015352, 0.0155706, 0.0157946, 0.0160681, 0.015723, 0.0154622, 0.0152807", \ + "0.0157377, 0.0155519, 0.0156744, 0.0156645, 0.0160991, 0.0156552, 0.0144842", \ + "0.0169156, 0.0160926, 0.0161111, 0.0162901, 0.0159929, 0.0159777, 0.0149362", \ + "0.0201313, 0.0181315, 0.0182369, 0.018489, 0.0185238, 0.0183077, 0.0167616", \ + "0.0269672, 0.0232969, 0.0232325, 0.023377, 0.0228829, 0.0233944, 0.0231868", \ + "0.0411563, 0.0349967, 0.0345458, 0.0342132, 0.0337472, 0.0335318, 0.033481" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0161934, 0.0168295, 0.0169717, 0.0169491, 0.0167506, 0.0163801, 0.0162681", \ + "0.0161796, 0.0164318, 0.0166515, 0.0167551, 0.0167804, 0.0160844, 0.0153102", \ + "0.0164997, 0.0165212, 0.0164975, 0.0165621, 0.0166805, 0.0160533, 0.015935", \ + "0.0178115, 0.0172007, 0.017239, 0.017252, 0.0168811, 0.0171694, 0.0166304", \ + "0.0209097, 0.0192375, 0.0190228, 0.0190278, 0.0190181, 0.0185706, 0.0181108", \ + "0.0280668, 0.0245995, 0.0242902, 0.0240464, 0.0240821, 0.0238169, 0.0233764", \ + "0.0422044, 0.0367541, 0.036137, 0.0352036, 0.0349854, 0.034327, 0.0342344" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0155783, 0.0159507, 0.0161045, 0.0159704, 0.0158373, 0.0155645, 0.0145774", \ + "0.0156087, 0.0156218, 0.0159, 0.0159547, 0.0163054, 0.0153972, 0.0146787", \ + "0.0160147, 0.0157021, 0.0157767, 0.0158366, 0.0162781, 0.0160853, 0.0146698", \ + "0.0171356, 0.0163394, 0.0164338, 0.0165569, 0.0161628, 0.0160354, 0.0153877", \ + "0.020126, 0.0181891, 0.0183133, 0.0185472, 0.0185796, 0.018128, 0.0173101", \ + "0.0269863, 0.0232923, 0.0232862, 0.0229802, 0.0231736, 0.0235328, 0.0233959", \ + "0.0406874, 0.0348879, 0.0343609, 0.0339469, 0.0335398, 0.0333305, 0.0338998" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0141116, 0.0153377, 0.015418, 0.0153029, 0.0151378, 0.014646, 0.0144426", \ + "0.0142812, 0.0147111, 0.0149435, 0.0152883, 0.0146849, 0.0143249, 0.0141938", \ + "0.0150109, 0.0150168, 0.0150854, 0.0151133, 0.015198, 0.0149766, 0.0136874", \ + "0.0167463, 0.0160596, 0.0161937, 0.0162648, 0.0157901, 0.0163032, 0.0156378", \ + "0.0208827, 0.0188114, 0.0187335, 0.0187377, 0.0188794, 0.0184469, 0.0180318", \ + "0.0295555, 0.0251513, 0.0249996, 0.0246251, 0.024649, 0.0246848, 0.0243718", \ + "0.0460282, 0.0389971, 0.038345, 0.0376171, 0.0371352, 0.0368139, 0.0366971" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0128118, 0.0132023, 0.0132433, 0.0132821, 0.0131963, 0.0128118, 0.0119601", \ + "0.0130564, 0.0128701, 0.0131095, 0.0132303, 0.0135402, 0.0127588, 0.0120881", \ + "0.0139597, 0.0133649, 0.0132784, 0.0133999, 0.0137879, 0.0132817, 0.0122739", \ + "0.0158381, 0.014304, 0.0145323, 0.0146274, 0.0142761, 0.0143283, 0.0132412", \ + "0.0198048, 0.0169333, 0.017107, 0.0173013, 0.0170041, 0.0167977, 0.0154573", \ + "0.0276691, 0.0227727, 0.0227144, 0.0227162, 0.022719, 0.0225295, 0.0223084", \ + "0.0429047, 0.0361172, 0.0353691, 0.034806, 0.0341285, 0.0334881, 0.0340383" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120039, 0.0133273, 0.0132676, 0.0131931, 0.012944, 0.0124758, 0.0125295", \ + "0.0121064, 0.012618, 0.0127765, 0.0131377, 0.0125392, 0.0120861, 0.012081", \ + "0.0126484, 0.0127771, 0.0128039, 0.0128119, 0.0132416, 0.0123278, 0.0114516", \ + "0.0143123, 0.0137807, 0.0138617, 0.0137181, 0.0133099, 0.0134702, 0.0127762", \ + "0.0182737, 0.0167275, 0.0165473, 0.0164707, 0.0163183, 0.0154623, 0.0146659", \ + "0.0265113, 0.0229385, 0.0225933, 0.0223425, 0.021974, 0.0218386, 0.0212727", \ + "0.0429087, 0.0364307, 0.0358975, 0.0353907, 0.0346731, 0.0342117, 0.0338376" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0125797, 0.013131, 0.0132464, 0.0132743, 0.0130523, 0.012662, 0.0120553", \ + "0.0128481, 0.0128336, 0.0130234, 0.0134531, 0.0129717, 0.0127679, 0.012668", \ + "0.0138249, 0.0132599, 0.0132481, 0.0133034, 0.0134969, 0.0130409, 0.0121035", \ + "0.0157419, 0.0144034, 0.014418, 0.0144392, 0.0141432, 0.0140095, 0.0131531", \ + "0.0198508, 0.017096, 0.0172961, 0.0169305, 0.0174116, 0.0172996, 0.0155968", \ + "0.0279079, 0.0229928, 0.0228005, 0.0228828, 0.022647, 0.0227976, 0.0228929", \ + "0.0433231, 0.0360655, 0.0355814, 0.034977, 0.0345936, 0.0341808, 0.034038" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120039, 0.0133273, 0.0132676, 0.0131931, 0.012944, 0.0124758, 0.0125295", \ + "0.0121064, 0.012618, 0.0127765, 0.0131377, 0.0125392, 0.0120861, 0.012081", \ + "0.0126484, 0.0127771, 0.0128039, 0.0128119, 0.0132416, 0.0123278, 0.0114516", \ + "0.0143123, 0.0137807, 0.0138617, 0.0137181, 0.0133099, 0.0134702, 0.0127762", \ + "0.0182737, 0.0167275, 0.0165473, 0.0164707, 0.0163183, 0.0154623, 0.0146659", \ + "0.0265113, 0.0229385, 0.0225933, 0.0223425, 0.021974, 0.0218386, 0.0212727", \ + "0.0429087, 0.0364307, 0.0358975, 0.0353907, 0.0346731, 0.0342117, 0.0338376" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0125797, 0.013131, 0.0132464, 0.0132743, 0.0130523, 0.012662, 0.0120553", \ + "0.0128481, 0.0128336, 0.0130234, 0.0134531, 0.0129717, 0.0127679, 0.012668", \ + "0.0138249, 0.0132599, 0.0132481, 0.0133034, 0.0134969, 0.0130409, 0.0121035", \ + "0.0157419, 0.0144034, 0.014418, 0.0144392, 0.0141432, 0.0140095, 0.0131531", \ + "0.0198508, 0.017096, 0.0172961, 0.0169305, 0.0174116, 0.0172996, 0.0155968", \ + "0.0279079, 0.0229928, 0.0228005, 0.0228828, 0.022647, 0.0227976, 0.0228929", \ + "0.0433231, 0.0360655, 0.0355814, 0.034977, 0.0345936, 0.0341808, 0.034038" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00320645; + rise_capacitance : 0.00318757; + rise_capacitance_range (0.00318757, 0.00318757); + fall_capacitance : 0.00322532; + fall_capacitance_range (0.00322532, 0.00322532); + internal_power () { + when : "(A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00316943; + rise_capacitance : 0.00318821; + rise_capacitance_range (0.00318821, 0.00318821); + fall_capacitance : 0.00315065; + fall_capacitance_range (0.00315065, 0.00315065); + internal_power () { + when : "(A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.89792e-05, -5.10684e-05, -5.80991e-05, -5.0404e-05, -5.56573e-05, -5.4995e-05, -4.82872e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.89792e-05, 5.10684e-05, 5.80991e-05, 5.0404e-05, 5.56573e-05, 5.4995e-05, 4.82872e-05" \ + ); + } + } + internal_power () { + when : "(!A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.89792e-05, -5.10684e-05, -5.80991e-05, -5.0404e-05, -5.56573e-05, -5.4995e-05, -4.82872e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.89792e-05, 5.10684e-05, 5.80991e-05, 5.0404e-05, 5.56573e-05, 5.4995e-05, 4.82872e-05" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00279078; + rise_capacitance : 0.00278935; + rise_capacitance_range (0.00278935, 0.00278935); + fall_capacitance : 0.0027922; + fall_capacitance_range (0.0027922, 0.0027922); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000624105, 0.000624354, 0.000626004, 0.000629044, 0.000632227, 0.000632916, 0.000630389" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000417728, 0.000419148, 0.000425303, 0.00043157, 0.000440678, 0.000436047, 0.000440959" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000624105, 0.000624354, 0.000626004, 0.000629044, 0.000632227, 0.000632916, 0.000630389" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000417728, 0.000419148, 0.000425303, 0.00043157, 0.000440678, 0.000436047, 0.000440959" \ + ); + } + } + } + } + cell (sg13g2_a21oi_1) { + area : 9.072; + cell_footprint : "a21oi"; + cell_leakage_power : 291.771; + leakage_power () { + value : 220.958; + when : "!A1*!A2*!B1"; + } + leakage_power () { + value : 293.417; + when : "!A1*!A2*B1"; + } + leakage_power () { + value : 280.253; + when : "!A1*A2*!B1"; + } + leakage_power () { + value : 347.811; + when : "!A1*A2*B1"; + } + leakage_power () { + value : 158.874; + when : "A1*!A2*!B1"; + } + leakage_power () { + value : 347.811; + when : "A1*!A2*B1"; + } + leakage_power () { + value : 302.597; + when : "A1*A2*!B1"; + } + leakage_power () { + value : 382.443; + when : "A1*A2*B1"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0321622, 0.115654, 0.172422, 0.266026, 0.42282, 0.684054, 1.11929", \ + "0.0482756, 0.142513, 0.199997, 0.294, 0.45114, 0.712836, 1.14746", \ + "0.0555531, 0.163707, 0.224283, 0.319777, 0.476973, 0.738653, 1.17414", \ + "0.0641387, 0.198282, 0.266236, 0.368398, 0.529775, 0.791823, 1.22736", \ + "0.0717511, 0.243602, 0.326587, 0.444115, 0.620906, 0.893964, 1.33277", \ + "0.0813151, 0.304445, 0.410346, 0.556546, 0.763412, 1.06844, 1.5322", \ + "0.0850113, 0.381032, 0.518535, 0.707709, 0.970977, 1.33462, 1.86419" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0183996, 0.133321, 0.213627, 0.346377, 0.568628, 0.938762, 1.55607", \ + "0.0285059, 0.137324, 0.215305, 0.348008, 0.568629, 0.93921, 1.5565", \ + "0.0378697, 0.147755, 0.222952, 0.350549, 0.569427, 0.940287, 1.55651", \ + "0.0578869, 0.171623, 0.245683, 0.368659, 0.579901, 0.941874, 1.55864", \ + "0.0886282, 0.218797, 0.295616, 0.417838, 0.621355, 0.969437, 1.56811", \ + "0.135514, 0.295038, 0.381482, 0.513232, 0.718611, 1.05691, 1.63194", \ + "0.212151, 0.416202, 0.524223, 0.679054, 0.905593, 1.25473, 1.81791" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0296864, 0.0950541, 0.139113, 0.211406, 0.332446, 0.534195, 0.869819", \ + "0.0516377, 0.133149, 0.178672, 0.251398, 0.372267, 0.573903, 0.909467", \ + "0.0648245, 0.162307, 0.213071, 0.289613, 0.4116, 0.612981, 0.948549", \ + "0.0862794, 0.209372, 0.270889, 0.358727, 0.490468, 0.696, 1.03192", \ + "0.112917, 0.271883, 0.350678, 0.459775, 0.615555, 0.843145, 1.19132", \ + "0.151706, 0.358514, 0.46006, 0.602374, 0.799108, 1.07587, 1.47188", \ + "0.208117, 0.483677, 0.614231, 0.795987, 1.0541, 1.40829, 1.8995" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0207545, 0.105827, 0.165519, 0.26423, 0.429175, 0.704221, 1.1626", \ + "0.0350718, 0.115909, 0.171191, 0.266235, 0.429176, 0.704222, 1.16261", \ + "0.0462425, 0.133241, 0.186756, 0.276909, 0.434133, 0.705283, 1.16262", \ + "0.0654435, 0.166692, 0.22257, 0.310913, 0.460516, 0.719298, 1.16655", \ + "0.0961975, 0.221868, 0.286895, 0.38281, 0.531705, 0.778478, 1.20255", \ + "0.14555, 0.310233, 0.389663, 0.502426, 0.670434, 0.923823, 1.33261", \ + "0.228276, 0.448798, 0.549261, 0.692053, 0.895157, 1.18901, 1.62041" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0376557, 0.120767, 0.177714, 0.271732, 0.429152, 0.691459, 1.12846", \ + "0.0566269, 0.148352, 0.205786, 0.300012, 0.457437, 0.720277, 1.15652", \ + "0.0659535, 0.170045, 0.230239, 0.325769, 0.483362, 0.745887, 1.18312", \ + "0.0791192, 0.205936, 0.272934, 0.374908, 0.536377, 0.799242, 1.23634", \ + "0.0927202, 0.253531, 0.335273, 0.4516, 0.62786, 0.901216, 1.34164", \ + "0.113038, 0.319559, 0.422617, 0.566218, 0.772846, 1.0761, 1.54128", \ + "0.135873, 0.405983, 0.539074, 0.723512, 0.982923, 1.34462, 1.87395" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0238851, 0.139802, 0.220583, 0.353965, 0.57712, 0.948945, 1.5689", \ + "0.0332857, 0.14359, 0.222084, 0.354374, 0.577121, 0.949308, 1.56891", \ + "0.0428931, 0.153665, 0.229487, 0.35812, 0.578218, 0.950198, 1.56892", \ + "0.0623276, 0.176942, 0.251842, 0.3756, 0.588216, 0.952293, 1.56893", \ + "0.0911095, 0.223761, 0.301169, 0.424138, 0.628905, 0.979097, 1.58084", \ + "0.135039, 0.299371, 0.388702, 0.517761, 0.726052, 1.06597, 1.64364", \ + "0.20592, 0.416692, 0.526088, 0.680932, 0.908218, 1.26114, 1.8287" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0322608, 0.0973388, 0.141307, 0.213714, 0.334684, 0.536232, 0.872111", \ + "0.0524949, 0.128274, 0.173445, 0.246252, 0.367381, 0.568976, 0.904753", \ + "0.0647637, 0.152633, 0.201286, 0.276735, 0.39882, 0.600601, 0.936466", \ + "0.08428, 0.194331, 0.250245, 0.333513, 0.461991, 0.667088, 1.00358", \ + "0.107611, 0.250508, 0.320818, 0.419681, 0.565511, 0.784803, 1.13003", \ + "0.141565, 0.331286, 0.421105, 0.547805, 0.72506, 0.978749, 1.35663", \ + "0.188929, 0.444846, 0.564866, 0.727461, 0.956145, 1.27449, 1.71826" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0202518, 0.105831, 0.1655, 0.264087, 0.429176, 0.704236, 1.16257", \ + "0.0299462, 0.111997, 0.169062, 0.26533, 0.429177, 0.704237, 1.16258", \ + "0.0396405, 0.12332, 0.179089, 0.272146, 0.432435, 0.706852, 1.16259", \ + "0.0581689, 0.147843, 0.203727, 0.294553, 0.449139, 0.7138, 1.16472", \ + "0.0886724, 0.192683, 0.251931, 0.345844, 0.497186, 0.752253, 1.18807", \ + "0.136208, 0.268298, 0.337266, 0.439175, 0.598816, 0.851776, 1.27359", \ + "0.212298, 0.395408, 0.478308, 0.599021, 0.780262, 1.05121, 1.47734" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.030797, 0.115209, 0.172371, 0.266508, 0.423906, 0.685959, 1.12333", \ + "0.0508795, 0.148982, 0.206605, 0.300732, 0.458528, 0.721321, 1.15767", \ + "0.0620007, 0.177373, 0.23922, 0.335088, 0.4925, 0.754932, 1.19284", \ + "0.0792811, 0.222709, 0.294732, 0.400438, 0.563004, 0.825301, 1.26226", \ + "0.100097, 0.282486, 0.373028, 0.500538, 0.685236, 0.96246, 1.40239", \ + "0.129538, 0.362685, 0.479956, 0.641599, 0.869362, 1.19259, 1.66911", \ + "0.170481, 0.470349, 0.621194, 0.832787, 1.12525, 1.52956, 2.09851" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0243193, 0.139812, 0.220483, 0.353703, 0.577235, 0.948652, 1.56875", \ + "0.038777, 0.146502, 0.223135, 0.356434, 0.577236, 0.949271, 1.56881", \ + "0.0493364, 0.16265, 0.235564, 0.360722, 0.578727, 0.949351, 1.57044", \ + "0.0678289, 0.195976, 0.269602, 0.388491, 0.594981, 0.953882, 1.57064", \ + "0.0936432, 0.254103, 0.33688, 0.459269, 0.658325, 0.997118, 1.58723", \ + "0.138278, 0.341844, 0.44177, 0.58493, 0.794993, 1.12978, 1.68662", \ + "0.215414, 0.475579, 0.604696, 0.782683, 1.03343, 1.39699, 1.96128" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168698, 0.0533812, 0.0767146, 0.115261, 0.179927, 0.287168, 0.466174", \ + "0.0314138, 0.0918322, 0.120282, 0.161593, 0.226885, 0.334179, 0.512883", \ + "0.0389726, 0.117499, 0.151853, 0.199832, 0.270655, 0.379994, 0.558688", \ + "0.051415, 0.157203, 0.202745, 0.263489, 0.347816, 0.469455, 0.65481", \ + "0.0631097, 0.207227, 0.269555, 0.351965, 0.461358, 0.61072, 0.822008", \ + "0.0765911, 0.274976, 0.358668, 0.471208, 0.622042, 0.818723, 1.08531", \ + "0.092695, 0.358466, 0.476286, 0.629076, 0.833956, 1.10796, 1.46384" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123302, 0.0569197, 0.0889613, 0.142367, 0.232124, 0.381107, 0.629844", \ + "0.0302693, 0.0743125, 0.102535, 0.150652, 0.235024, 0.381425, 0.629845", \ + "0.0433749, 0.0927629, 0.122125, 0.169029, 0.248913, 0.388528, 0.6312", \ + "0.065787, 0.125918, 0.159403, 0.208929, 0.287956, 0.420121, 0.649981", \ + "0.101758, 0.180643, 0.221571, 0.280474, 0.366315, 0.499763, 0.719113", \ + "0.159898, 0.267763, 0.321359, 0.396759, 0.501669, 0.652711, 0.879196", \ + "0.256994, 0.41431, 0.483409, 0.580885, 0.718073, 0.904792, 1.17461" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0244392, 0.108414, 0.165274, 0.259017, 0.415932, 0.676831, 1.11231", \ + "0.0401522, 0.141935, 0.199549, 0.293207, 0.450319, 0.711983, 1.14661", \ + "0.049009, 0.169572, 0.23177, 0.32754, 0.484292, 0.745674, 1.18047", \ + "0.0618586, 0.213247, 0.286216, 0.392321, 0.554731, 0.816036, 1.25109", \ + "0.0782445, 0.270077, 0.362244, 0.490985, 0.676125, 0.952974, 1.39154", \ + "0.100815, 0.347076, 0.466482, 0.630067, 0.858419, 1.18181, 1.65764", \ + "0.132418, 0.450041, 0.603583, 0.817053, 1.11142, 1.51658, 2.08527" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0193342, 0.133444, 0.213658, 0.346213, 0.568713, 0.938702, 1.55593", \ + "0.0337132, 0.140764, 0.216727, 0.348115, 0.568714, 0.93922, 1.55651", \ + "0.043353, 0.157387, 0.229546, 0.353894, 0.569927, 0.939881, 1.55652", \ + "0.0611602, 0.190605, 0.263783, 0.382122, 0.586865, 0.943681, 1.55805", \ + "0.0859418, 0.24864, 0.330893, 0.453171, 0.651235, 0.987764, 1.57474", \ + "0.128868, 0.336116, 0.436028, 0.578791, 0.789215, 1.12089, 1.67517", \ + "0.205682, 0.468061, 0.598551, 0.779086, 1.02492, 1.38823, 1.94927" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0165804, 0.053051, 0.0763347, 0.114719, 0.178981, 0.286123, 0.464831", \ + "0.0306453, 0.0913717, 0.119801, 0.161067, 0.226105, 0.333183, 0.51164", \ + "0.0377118, 0.11681, 0.151197, 0.199147, 0.269855, 0.37897, 0.557374", \ + "0.0490169, 0.156042, 0.201696, 0.262491, 0.346865, 0.468297, 0.653396", \ + "0.0584266, 0.205254, 0.267728, 0.350406, 0.459772, 0.609212, 0.820446", \ + "0.066977, 0.271174, 0.355585, 0.46835, 0.619738, 0.816477, 1.08385", \ + "0.0695006, 0.351353, 0.470143, 0.624106, 0.829666, 1.10492, 1.46146" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00915628, 0.0532071, 0.0853426, 0.138868, 0.228343, 0.377697, 0.626419", \ + "0.0224351, 0.0704523, 0.0989066, 0.147105, 0.231489, 0.378044, 0.626532", \ + "0.0326826, 0.0882987, 0.118163, 0.165523, 0.245432, 0.38509, 0.628443", \ + "0.0505798, 0.120504, 0.1546, 0.204933, 0.28442, 0.416597, 0.646672", \ + "0.0796195, 0.172923, 0.216309, 0.275075, 0.362398, 0.496568, 0.715747", \ + "0.127612, 0.256719, 0.313485, 0.391357, 0.496518, 0.648996, 0.875572", \ + "0.211181, 0.39923, 0.471523, 0.570858, 0.712969, 0.900673, 1.16872" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0206365, 0.0832041, 0.125275, 0.19468, 0.310592, 0.503908, 0.826136", \ + "0.0352026, 0.118955, 0.162843, 0.232662, 0.348686, 0.542515, 0.864165", \ + "0.0430894, 0.145724, 0.195464, 0.269266, 0.386194, 0.579353, 0.901555", \ + "0.0535771, 0.186263, 0.247334, 0.333132, 0.460218, 0.65643, 0.978142", \ + "0.0662636, 0.23747, 0.317837, 0.426005, 0.577975, 0.79714, 1.13041", \ + "0.0816083, 0.304494, 0.410351, 0.55369, 0.748063, 1.01671, 1.39819", \ + "0.099588, 0.391085, 0.528552, 0.718851, 0.977823, 1.32642, 1.8065" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0148958, 0.0993416, 0.159589, 0.259167, 0.425798, 0.703676, 1.16686", \ + "0.0295104, 0.110356, 0.165477, 0.260951, 0.425939, 0.70444, 1.16687", \ + "0.039168, 0.128749, 0.181825, 0.271969, 0.430474, 0.704441, 1.16721", \ + "0.0565567, 0.162374, 0.218519, 0.306774, 0.455622, 0.716418, 1.16907", \ + "0.0812813, 0.220169, 0.285698, 0.381016, 0.529496, 0.775841, 1.20393", \ + "0.123703, 0.30369, 0.390563, 0.505037, 0.670449, 0.923092, 1.33187", \ + "0.199552, 0.432378, 0.545445, 0.700526, 0.903588, 1.19513, 1.62482" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0163539, 0.0528836, 0.0761624, 0.114578, 0.178867, 0.285956, 0.464715", \ + "0.0304692, 0.0910974, 0.119527, 0.160804, 0.225931, 0.33296, 0.511448", \ + "0.0378508, 0.116573, 0.150925, 0.19892, 0.269641, 0.378719, 0.557135", \ + "0.0501322, 0.155731, 0.20152, 0.262259, 0.346551, 0.468058, 0.653159", \ + "0.0618677, 0.20617, 0.268105, 0.350319, 0.459756, 0.609037, 0.820208", \ + "0.0756764, 0.274401, 0.357707, 0.469785, 0.620345, 0.816749, 1.08367", \ + "0.0895892, 0.361693, 0.478325, 0.629668, 0.833564, 1.10698, 1.46232" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00927023, 0.0532142, 0.0853014, 0.138956, 0.228288, 0.377699, 0.626401", \ + "0.0226077, 0.070599, 0.0989979, 0.147185, 0.231413, 0.378046, 0.626694", \ + "0.0326631, 0.0885357, 0.118295, 0.165622, 0.245411, 0.385111, 0.62839", \ + "0.0502487, 0.120828, 0.15494, 0.204953, 0.284521, 0.416698, 0.646802", \ + "0.0784897, 0.172416, 0.216127, 0.275149, 0.362557, 0.49601, 0.715836", \ + "0.125462, 0.253957, 0.311504, 0.389021, 0.496004, 0.648775, 0.87616", \ + "0.208166, 0.393088, 0.465804, 0.566592, 0.709472, 0.89679, 1.16792" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.030797, 0.115209, 0.172371, 0.266508, 0.423906, 0.685959, 1.12333", \ + "0.0508795, 0.148982, 0.206605, 0.300732, 0.458528, 0.721321, 1.15767", \ + "0.0620007, 0.177373, 0.23922, 0.335088, 0.4925, 0.754932, 1.19284", \ + "0.0792811, 0.222709, 0.294732, 0.400438, 0.563004, 0.825301, 1.26226", \ + "0.100097, 0.282486, 0.373028, 0.500538, 0.685236, 0.96246, 1.40239", \ + "0.129538, 0.362685, 0.479956, 0.641599, 0.869362, 1.19259, 1.66911", \ + "0.170481, 0.470349, 0.621194, 0.832787, 1.12525, 1.52956, 2.09851" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0243193, 0.139812, 0.220483, 0.353703, 0.577235, 0.948652, 1.56875", \ + "0.038777, 0.146502, 0.223135, 0.356434, 0.577236, 0.949271, 1.56881", \ + "0.0493364, 0.16265, 0.235564, 0.360722, 0.578727, 0.949351, 1.57044", \ + "0.0678289, 0.195976, 0.269602, 0.388491, 0.594981, 0.953882, 1.57064", \ + "0.0936432, 0.254103, 0.33688, 0.459269, 0.658325, 0.997118, 1.58723", \ + "0.138278, 0.341844, 0.44177, 0.58493, 0.794993, 1.12978, 1.68662", \ + "0.215414, 0.475579, 0.604696, 0.782683, 1.03343, 1.39699, 1.96128" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168698, 0.0533812, 0.0767146, 0.115261, 0.179927, 0.287168, 0.466174", \ + "0.0314138, 0.0918322, 0.120282, 0.161593, 0.226885, 0.334179, 0.512883", \ + "0.0389726, 0.117499, 0.151853, 0.199832, 0.270655, 0.379994, 0.558688", \ + "0.051415, 0.157203, 0.202745, 0.263489, 0.347816, 0.469455, 0.65481", \ + "0.0631097, 0.207227, 0.269555, 0.351965, 0.461358, 0.61072, 0.822008", \ + "0.0765911, 0.274976, 0.358668, 0.471208, 0.622042, 0.818723, 1.08531", \ + "0.092695, 0.358466, 0.476286, 0.629076, 0.833956, 1.10796, 1.46384" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123302, 0.0569197, 0.0889613, 0.142367, 0.232124, 0.381107, 0.629844", \ + "0.0302693, 0.0743125, 0.102535, 0.150652, 0.235024, 0.381425, 0.629845", \ + "0.0433749, 0.0927629, 0.122125, 0.169029, 0.248913, 0.388528, 0.6312", \ + "0.065787, 0.125918, 0.159403, 0.208929, 0.287956, 0.420121, 0.649981", \ + "0.101758, 0.180643, 0.221571, 0.280474, 0.366315, 0.499763, 0.719113", \ + "0.159898, 0.267763, 0.321359, 0.396759, 0.501669, 0.652711, 0.879196", \ + "0.256994, 0.41431, 0.483409, 0.580885, 0.718073, 0.904792, 1.17461" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00446138, 0.00489714, 0.00489926, 0.00484862, 0.00474191, 0.00444206, 0.00408854", \ + "0.00421776, 0.00462461, 0.00465168, 0.00478058, 0.00462607, 0.00446811, 0.00410883", \ + "0.0043874, 0.00451142, 0.00464428, 0.00459936, 0.00454066, 0.00451922, 0.00399654", \ + "0.00519537, 0.00478442, 0.00470608, 0.00467522, 0.00474853, 0.00437292, 0.00425857", \ + "0.0077019, 0.00606588, 0.00573057, 0.00544584, 0.00503251, 0.00498531, 0.00458172", \ + "0.013417, 0.00992302, 0.00897399, 0.00810539, 0.00723412, 0.00622835, 0.00604434", \ + "0.025418, 0.0198941, 0.0178925, 0.0157351, 0.0136994, 0.0116209, 0.00944735" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00438514, 0.00453334, 0.00451498, 0.00444042, 0.00429011, 0.00408939, 0.00368214", \ + "0.00426773, 0.00434776, 0.00445069, 0.00438676, 0.00417981, 0.00399074, 0.00359786", \ + "0.00463999, 0.00445521, 0.00438426, 0.00444452, 0.00421924, 0.00402732, 0.00355374", \ + "0.00577787, 0.00496471, 0.00481226, 0.00459324, 0.0045001, 0.00411098, 0.00367885", \ + "0.00851505, 0.00663747, 0.00614952, 0.00574072, 0.00518719, 0.00463192, 0.00469991", \ + "0.014477, 0.0111253, 0.00996065, 0.00874879, 0.00771451, 0.0066281, 0.00518136", \ + "0.0267096, 0.0217671, 0.0196417, 0.0170976, 0.0148293, 0.0122162, 0.00995863" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00557204, 0.00564159, 0.00561595, 0.00553842, 0.00542142, 0.00514439, 0.00518879", \ + "0.00547304, 0.00568442, 0.00561206, 0.00557127, 0.00544977, 0.00523996, 0.00527793", \ + "0.0056246, 0.00559155, 0.00567434, 0.00562679, 0.00542743, 0.00531472, 0.00529931", \ + "0.0063513, 0.00594676, 0.00580511, 0.00574621, 0.00560083, 0.00536818, 0.00528257", \ + "0.00873224, 0.00731097, 0.00697087, 0.0065698, 0.00611662, 0.00625663, 0.0052136", \ + "0.0142278, 0.0113053, 0.0104067, 0.00936494, 0.00854634, 0.00738642, 0.00697056", \ + "0.0257973, 0.021355, 0.0195263, 0.0173436, 0.0151049, 0.0129746, 0.010783" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00589518, 0.00595195, 0.00591765, 0.00583491, 0.005702, 0.00547828, 0.00506018", \ + "0.00553449, 0.00568052, 0.00580028, 0.00568154, 0.0055057, 0.00531254, 0.00492483", \ + "0.00566751, 0.00569972, 0.00566503, 0.00576617, 0.00549852, 0.00549853, 0.00486273", \ + "0.00645823, 0.00603573, 0.00595235, 0.00579232, 0.00572646, 0.0053527, 0.00490883", \ + "0.00885448, 0.00731969, 0.00694389, 0.00667503, 0.0063368, 0.0058277, 0.00608425", \ + "0.0144897, 0.0113498, 0.0102814, 0.00932914, 0.00842079, 0.00742315, 0.00617597", \ + "0.0262466, 0.0213087, 0.0193155, 0.0170513, 0.0148561, 0.0126515, 0.0107453" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00355124, 0.00395316, 0.00396352, 0.00391715, 0.00380326, 0.00355151, 0.00360203", \ + "0.00371616, 0.00373643, 0.0037445, 0.00388791, 0.00369682, 0.00353874, 0.00351077", \ + "0.00423075, 0.00386088, 0.00391325, 0.00375804, 0.00365113, 0.0035061, 0.00326683", \ + "0.0055039, 0.00454736, 0.00428257, 0.00408523, 0.00388456, 0.0037352, 0.00373809", \ + "0.00855099, 0.0065883, 0.00607021, 0.00541144, 0.00475364, 0.00474132, 0.00346403", \ + "0.0147376, 0.0112669, 0.0101534, 0.00908045, 0.00803994, 0.00643357, 0.00591876", \ + "0.0277916, 0.0223038, 0.0203053, 0.0180593, 0.0156763, 0.0132139, 0.0103866" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00358607, 0.00420339, 0.00419927, 0.00415814, 0.00410941, 0.00384197, 0.00357265", \ + "0.00366815, 0.00397497, 0.00402471, 0.00416548, 0.00415108, 0.00379307, 0.00343454", \ + "0.00416252, 0.00404585, 0.00409569, 0.00398469, 0.0041066, 0.00378215, 0.00338759", \ + "0.00554081, 0.00452581, 0.00445479, 0.00436805, 0.00402888, 0.00399141, 0.00386017", \ + "0.00869754, 0.00632319, 0.00584317, 0.00535127, 0.00504044, 0.00463173, 0.00383253", \ + "0.0153185, 0.0110895, 0.00990382, 0.00862411, 0.00740294, 0.00652678, 0.00570092", \ + "0.0287984, 0.0224383, 0.0200548, 0.0174472, 0.0148189, 0.0122465, 0.0103746" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00320561, 0.00374479, 0.00375205, 0.00370901, 0.00363556, 0.00335171, 0.00295938", \ + "0.00352893, 0.00354402, 0.00351703, 0.00361909, 0.00346108, 0.00333728, 0.00294561", \ + "0.0041511, 0.00365881, 0.00365169, 0.0036316, 0.00342767, 0.00336528, 0.00285008", \ + "0.0055356, 0.00437013, 0.00403068, 0.00390489, 0.00367191, 0.00343486, 0.0031668", \ + "0.00873569, 0.0064671, 0.00588197, 0.00524614, 0.00456254, 0.00452658, 0.0033655", \ + "0.0151163, 0.0112756, 0.0101382, 0.00896953, 0.00786045, 0.00611646, 0.00571576", \ + "0.0283325, 0.0224467, 0.020414, 0.01815, 0.0155547, 0.0131284, 0.0101261" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00147697, 0.00209531, 0.00209794, 0.002062, 0.00194298, 0.0017813, 0.0015", \ + "0.00155131, 0.00188703, 0.00192188, 0.00203817, 0.00189116, 0.00173726, 0.0014284", \ + "0.00207966, 0.00195188, 0.00199973, 0.00190057, 0.0020239, 0.00175103, 0.00144493", \ + "0.00350102, 0.00245351, 0.00234471, 0.00230118, 0.00204953, 0.00188872, 0.00159884", \ + "0.0067051, 0.0042596, 0.00381834, 0.00326485, 0.00299723, 0.00245714, 0.00183073", \ + "0.0134306, 0.00905261, 0.00785472, 0.00657908, 0.00539055, 0.00449958, 0.00365174", \ + "0.0270274, 0.0205132, 0.0180289, 0.0153464, 0.0128366, 0.01038, 0.00826175" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00321584, 0.00372397, 0.00375326, 0.0037101, 0.00359294, 0.00334001, 0.00335273", \ + "0.00361439, 0.00352438, 0.00357495, 0.00352045, 0.00347584, 0.00337718, 0.00323696", \ + "0.00425944, 0.00371612, 0.00367316, 0.00372175, 0.00355147, 0.00325565, 0.00325755", \ + "0.00574209, 0.0044881, 0.00421757, 0.00389207, 0.00389107, 0.00351922, 0.00334711", \ + "0.00914891, 0.00678524, 0.00611131, 0.00547179, 0.00475318, 0.00426996, 0.00370989", \ + "0.0159031, 0.0118615, 0.0107126, 0.00954141, 0.00822242, 0.0066341, 0.00526572", \ + "0.0299135, 0.0238403, 0.021637, 0.0192397, 0.0163616, 0.0137182, 0.011139" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00137417, 0.00198803, 0.00198624, 0.00199882, 0.00183435, 0.0016822, 0.0013483", \ + "0.00151705, 0.00175482, 0.00177701, 0.00190223, 0.0017974, 0.00159819, 0.00135322", \ + "0.00209791, 0.00184737, 0.00187068, 0.00179025, 0.00188192, 0.00169932, 0.00129901", \ + "0.00363037, 0.00239124, 0.00228297, 0.00217627, 0.00184546, 0.00176477, 0.00159282", \ + "0.00700933, 0.0044438, 0.0039184, 0.00332523, 0.00295512, 0.00240463, 0.00157944", \ + "0.0141303, 0.00952162, 0.00823733, 0.00682167, 0.00554814, 0.0046208, 0.00373908", \ + "0.0285454, 0.0219529, 0.0190867, 0.0162893, 0.0135251, 0.0107398, 0.00862351" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00355124, 0.00395316, 0.00396352, 0.00391715, 0.00380326, 0.00355151, 0.00360203", \ + "0.00371616, 0.00373643, 0.0037445, 0.00388791, 0.00369682, 0.00353874, 0.00351077", \ + "0.00423075, 0.00386088, 0.00391325, 0.00375804, 0.00365113, 0.0035061, 0.00326683", \ + "0.0055039, 0.00454736, 0.00428257, 0.00408523, 0.00388456, 0.0037352, 0.00373809", \ + "0.00855099, 0.0065883, 0.00607021, 0.00541144, 0.00475364, 0.00474132, 0.00346403", \ + "0.0147376, 0.0112669, 0.0101534, 0.00908045, 0.00803994, 0.00643357, 0.00591876", \ + "0.0277916, 0.0223038, 0.0203053, 0.0180593, 0.0156763, 0.0132139, 0.0103866" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00137417, 0.00198803, 0.00198624, 0.00199882, 0.00183435, 0.0016822, 0.0013483", \ + "0.00151705, 0.00175482, 0.00177701, 0.00190223, 0.0017974, 0.00159819, 0.00135322", \ + "0.00209791, 0.00184737, 0.00187068, 0.00179025, 0.00188192, 0.00169932, 0.00129901", \ + "0.00363037, 0.00239124, 0.00228297, 0.00217627, 0.00184546, 0.00176477, 0.00159282", \ + "0.00700933, 0.0044438, 0.0039184, 0.00332523, 0.00295512, 0.00240463, 0.00157944", \ + "0.0141303, 0.00952162, 0.00823733, 0.00682167, 0.00554814, 0.0046208, 0.00373908", \ + "0.0285454, 0.0219529, 0.0190867, 0.0162893, 0.0135251, 0.0107398, 0.00862351" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00304186; + rise_capacitance : 0.00309275; + rise_capacitance_range (0.00309275, 0.00309275); + fall_capacitance : 0.00299097; + fall_capacitance_range (0.00299097, 0.00299097); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000802682, -0.000796516, -0.000794888, -0.000795061, -0.000795293, -0.000794826, -0.000797789" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.001017, 0.00101621, 0.00101754, 0.00101896, 0.00102112, 0.00102158, 0.00102555" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000802682, -0.000796516, -0.000794888, -0.000795061, -0.000795293, -0.000794826, -0.000797789" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.001017, 0.00101621, 0.00101754, 0.00101896, 0.00102112, 0.00102158, 0.00102555" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00320298; + rise_capacitance : 0.00323063; + rise_capacitance_range (0.00323063, 0.00323063); + fall_capacitance : 0.00317534; + fall_capacitance_range (0.00317534, 0.00317534); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000214439, -0.000115984, -9.87772e-05, -8.02352e-05, -5.92365e-05, -4.7426e-05, -3.70453e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000214439, 0.000115984, 9.87772e-05, 8.02352e-05, 5.92365e-05, 4.7426e-05, 3.70453e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000214439, -0.000115984, -9.87772e-05, -8.02352e-05, -5.92365e-05, -4.7426e-05, -3.70453e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000214439, 0.000115984, 9.87772e-05, 8.02352e-05, 5.92365e-05, 4.7426e-05, 3.70453e-05" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00290709; + rise_capacitance : 0.00293442; + rise_capacitance_range (0.00293442, 0.00293442); + fall_capacitance : 0.00287976; + fall_capacitance_range (0.00287976, 0.00287976); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000592675, 0.000589541, 0.000594206, 0.000597351, 0.000600656, 0.000599982, 0.000597162" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000592675, -0.000589541, -0.000594206, -0.000597351, -0.000600656, -0.000599982, -0.000597162" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000592675, 0.000589541, 0.000594206, 0.000597351, 0.000600656, 0.000599982, 0.000597162" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000592675, -0.000589541, -0.000594206, -0.000597351, -0.000600656, -0.000599982, -0.000597162" \ + ); + } + } + } + } + cell (sg13g2_a21oi_2) { + area : 14.5152; + cell_footprint : "a21oi"; + cell_leakage_power : 583.541; + leakage_power () { + value : 441.917; + when : "!A1*!A2*!B1"; + } + leakage_power () { + value : 586.833; + when : "!A1*!A2*B1"; + } + leakage_power () { + value : 560.508; + when : "!A1*A2*!B1"; + } + leakage_power () { + value : 695.621; + when : "!A1*A2*B1"; + } + leakage_power () { + value : 317.749; + when : "A1*!A2*!B1"; + } + leakage_power () { + value : 695.621; + when : "A1*!A2*B1"; + } + leakage_power () { + value : 605.194; + when : "A1*A2*!B1"; + } + leakage_power () { + value : 764.885; + when : "A1*A2*B1"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0295307, 0.115831, 0.17305, 0.267391, 0.425347, 0.688628, 1.12723", \ + "0.0444033, 0.142519, 0.200475, 0.295216, 0.453519, 0.717223, 1.15545", \ + "0.0506609, 0.163533, 0.224451, 0.320713, 0.479104, 0.742783, 1.18168", \ + "0.057211, 0.197863, 0.266169, 0.369085, 0.531654, 0.795651, 1.23458", \ + "0.0629061, 0.242936, 0.326263, 0.444388, 0.622199, 0.896833, 1.33913", \ + "0.0696954, 0.30347, 0.410131, 0.556827, 0.764227, 1.07089, 1.53775", \ + "0.0696964, 0.379758, 0.517646, 0.707213, 0.970687, 1.33501, 1.86786" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0152183, 0.13369, 0.214643, 0.348547, 0.572623, 0.946371, 1.56905", \ + "0.0251155, 0.137649, 0.216342, 0.348826, 0.572624, 0.946716, 1.56906", \ + "0.0341912, 0.148006, 0.223942, 0.352919, 0.573774, 0.947552, 1.56912", \ + "0.0536327, 0.171329, 0.246554, 0.370593, 0.584012, 0.94957, 1.5698", \ + "0.0839852, 0.218639, 0.296131, 0.419078, 0.62485, 0.976678, 1.58045", \ + "0.129014, 0.29456, 0.383559, 0.514902, 0.722025, 1.06355, 1.64367", \ + "0.203928, 0.415243, 0.524432, 0.679636, 0.908086, 1.25702, 1.82855" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0273293, 0.0946945, 0.138886, 0.211354, 0.332707, 0.534966, 0.871422", \ + "0.0479238, 0.132712, 0.178298, 0.251342, 0.372616, 0.574728, 0.911402", \ + "0.060234, 0.161831, 0.212762, 0.289509, 0.411812, 0.613649, 0.950192", \ + "0.0804322, 0.208788, 0.270459, 0.358433, 0.49059, 0.696685, 1.03348", \ + "0.105421, 0.271048, 0.35025, 0.459568, 0.615646, 0.84384, 1.19292", \ + "0.141729, 0.357759, 0.459298, 0.602059, 0.799136, 1.07638, 1.47337", \ + "0.194979, 0.482464, 0.613495, 0.795523, 1.05377, 1.40892, 1.90118" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.01845, 0.105504, 0.165576, 0.264268, 0.429981, 0.705946, 1.16587", \ + "0.0320541, 0.115722, 0.171162, 0.26645, 0.429982, 0.705947, 1.16588", \ + "0.0428237, 0.133071, 0.186782, 0.277289, 0.435445, 0.706295, 1.16589", \ + "0.0609725, 0.166605, 0.222581, 0.311228, 0.461175, 0.721073, 1.16978", \ + "0.0898669, 0.221948, 0.286979, 0.382295, 0.532403, 0.779811, 1.20581", \ + "0.136988, 0.309433, 0.389442, 0.503043, 0.671032, 0.924076, 1.33663", \ + "0.216051, 0.448117, 0.549497, 0.691901, 0.895759, 1.19029, 1.62402" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0351884, 0.120315, 0.177221, 0.271163, 0.428416, 0.690237, 1.12703", \ + "0.0532638, 0.147854, 0.205272, 0.299426, 0.456821, 0.719254, 1.15484", \ + "0.0619907, 0.169721, 0.229873, 0.325281, 0.482767, 0.744835, 1.18183", \ + "0.0735961, 0.205513, 0.272648, 0.374479, 0.535736, 0.798403, 1.23507", \ + "0.0858833, 0.252954, 0.334764, 0.451212, 0.627112, 0.90064, 1.34036", \ + "0.104031, 0.31894, 0.422027, 0.565603, 0.772424, 1.07543, 1.54029", \ + "0.123934, 0.405031, 0.537972, 0.722655, 0.982835, 1.34268, 1.87301" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0206661, 0.139179, 0.2198, 0.353032, 0.576228, 0.9481, 1.56803", \ + "0.0300041, 0.142916, 0.221476, 0.353826, 0.57639, 0.948794, 1.56834", \ + "0.0390692, 0.153039, 0.228943, 0.357327, 0.577305, 0.950618, 1.56835", \ + "0.0581577, 0.176297, 0.251142, 0.375044, 0.587498, 0.951445, 1.56859", \ + "0.086159, 0.223121, 0.300436, 0.423628, 0.628175, 0.978545, 1.57992", \ + "0.128197, 0.298517, 0.387494, 0.517255, 0.725587, 1.06534, 1.64342", \ + "0.196897, 0.415654, 0.524991, 0.681598, 0.90832, 1.25918, 1.82812" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0302168, 0.0971965, 0.141247, 0.213878, 0.33517, 0.537351, 0.873914", \ + "0.0496604, 0.128204, 0.173484, 0.246487, 0.367914, 0.570171, 0.906657", \ + "0.06112, 0.152464, 0.201261, 0.276957, 0.39931, 0.601619, 0.938361", \ + "0.0792773, 0.194118, 0.250205, 0.33372, 0.462512, 0.668074, 1.00547", \ + "0.100692, 0.250028, 0.320757, 0.419819, 0.565503, 0.785774, 1.13182", \ + "0.132691, 0.330687, 0.420864, 0.547598, 0.725637, 0.979931, 1.35878", \ + "0.176953, 0.444455, 0.564812, 0.726819, 0.956573, 1.27532, 1.72017" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0178293, 0.105657, 0.165381, 0.264338, 0.429984, 0.705932, 1.16589", \ + "0.0272666, 0.111693, 0.168983, 0.265455, 0.430711, 0.706054, 1.1659", \ + "0.0368338, 0.123216, 0.178974, 0.27234, 0.433291, 0.708524, 1.16591", \ + "0.0548789, 0.147573, 0.203375, 0.294742, 0.44975, 0.715448, 1.16839", \ + "0.0847234, 0.192385, 0.252379, 0.346039, 0.497516, 0.754237, 1.19158", \ + "0.129898, 0.26794, 0.336893, 0.439489, 0.599642, 0.853485, 1.27684", \ + "0.203435, 0.395273, 0.477682, 0.598955, 0.78096, 1.05376, 1.48015" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0283052, 0.114821, 0.171955, 0.266063, 0.423544, 0.685405, 1.12198", \ + "0.0470479, 0.148594, 0.206211, 0.300401, 0.45786, 0.720394, 1.156", \ + "0.0574515, 0.176973, 0.238797, 0.334617, 0.491623, 0.753799, 1.19155", \ + "0.0732995, 0.222124, 0.294135, 0.399824, 0.562403, 0.824247, 1.2601", \ + "0.0927936, 0.281809, 0.372129, 0.499899, 0.684552, 0.96152, 1.40107", \ + "0.120155, 0.361905, 0.4794, 0.640969, 0.868329, 1.19136, 1.66756", \ + "0.158215, 0.469207, 0.619961, 0.83103, 1.12311, 1.52807, 2.09715" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.021178, 0.139197, 0.21984, 0.353126, 0.576343, 0.948261, 1.56795", \ + "0.0349574, 0.145894, 0.222579, 0.353856, 0.576403, 0.948517, 1.56796", \ + "0.0447175, 0.162149, 0.234938, 0.360126, 0.578035, 0.948671, 1.56972", \ + "0.0624794, 0.195526, 0.268998, 0.387977, 0.594505, 0.952862, 1.5705", \ + "0.0865444, 0.253278, 0.335818, 0.45852, 0.657906, 0.996444, 1.58648", \ + "0.129129, 0.34085, 0.441288, 0.584207, 0.795062, 1.12788, 1.68568", \ + "0.202904, 0.474225, 0.602634, 0.782182, 1.03182, 1.39963, 1.95907" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0152467, 0.0530739, 0.076362, 0.114806, 0.179247, 0.28615, 0.464371", \ + "0.0279922, 0.0914468, 0.119888, 0.161052, 0.226101, 0.3331, 0.511264", \ + "0.0343655, 0.117009, 0.151322, 0.199333, 0.269926, 0.379039, 0.557296", \ + "0.0451517, 0.156536, 0.202096, 0.262826, 0.347091, 0.46844, 0.653297", \ + "0.0545161, 0.206096, 0.268726, 0.351104, 0.460398, 0.609554, 0.820458", \ + "0.0667781, 0.273744, 0.357448, 0.470068, 0.620833, 0.817321, 1.08362", \ + "0.0810651, 0.357212, 0.474949, 0.627702, 0.832471, 1.10649, 1.46176" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0110817, 0.0567122, 0.0886992, 0.142036, 0.231506, 0.380292, 0.62861", \ + "0.0291313, 0.0741863, 0.102336, 0.150381, 0.234551, 0.381119, 0.628611", \ + "0.0419188, 0.0926235, 0.121935, 0.168731, 0.248517, 0.387927, 0.630046", \ + "0.0642232, 0.12577, 0.159179, 0.208551, 0.287596, 0.419497, 0.649028", \ + "0.099734, 0.180698, 0.221345, 0.280123, 0.365861, 0.499034, 0.718206", \ + "0.156891, 0.267702, 0.32134, 0.396403, 0.50102, 0.652194, 0.878138", \ + "0.252662, 0.414217, 0.482906, 0.580047, 0.718583, 0.903496, 1.17377" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0217605, 0.108708, 0.16599, 0.260413, 0.418572, 0.68172, 1.12036", \ + "0.0355284, 0.142227, 0.200229, 0.294773, 0.452853, 0.716788, 1.15464", \ + "0.0434123, 0.169868, 0.232532, 0.328938, 0.486749, 0.750095, 1.18884", \ + "0.0544802, 0.21349, 0.28697, 0.393766, 0.557458, 0.820555, 1.25856", \ + "0.069061, 0.270394, 0.36313, 0.492577, 0.679058, 0.957711, 1.39952", \ + "0.0892333, 0.347599, 0.467927, 0.63205, 0.861688, 1.187, 1.66593", \ + "0.117836, 0.450799, 0.605216, 0.818975, 1.1152, 1.5227, 2.09457" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.016297, 0.133803, 0.214777, 0.348514, 0.572961, 0.946366, 1.56912", \ + "0.0295395, 0.141106, 0.21769, 0.349138, 0.572962, 0.946895, 1.56913", \ + "0.0384597, 0.157752, 0.230565, 0.355862, 0.574411, 0.947508, 1.56913", \ + "0.0548914, 0.190876, 0.264879, 0.384526, 0.591115, 0.951149, 1.56914", \ + "0.0782794, 0.24885, 0.331497, 0.45497, 0.654996, 0.995045, 1.58811", \ + "0.119345, 0.335907, 0.437373, 0.57964, 0.793004, 1.12732, 1.68726", \ + "0.192821, 0.467919, 0.599393, 0.780204, 1.02808, 1.39532, 1.96089" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0149652, 0.052778, 0.0759862, 0.114275, 0.178374, 0.285235, 0.463457", \ + "0.0271771, 0.0909759, 0.119391, 0.160599, 0.225481, 0.332196, 0.510068", \ + "0.0329627, 0.116337, 0.150717, 0.198648, 0.269152, 0.378022, 0.555972", \ + "0.0424785, 0.155348, 0.20109, 0.261866, 0.346054, 0.467306, 0.651946", \ + "0.0493631, 0.20416, 0.266891, 0.349565, 0.45883, 0.608018, 0.818837", \ + "0.0547183, 0.269786, 0.354024, 0.467028, 0.618356, 0.815058, 1.08201", \ + "0.0547193, 0.349041, 0.46854, 0.622379, 0.82784, 1.10297, 1.45921" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00792106, 0.0528143, 0.0848639, 0.138319, 0.227584, 0.376626, 0.62489", \ + "0.0201304, 0.0700807, 0.0984665, 0.146607, 0.230809, 0.377071, 0.625091", \ + "0.0295247, 0.0877567, 0.117746, 0.165011, 0.244625, 0.384195, 0.626389", \ + "0.0465721, 0.120473, 0.154315, 0.204349, 0.283743, 0.415797, 0.645331", \ + "0.0740383, 0.17258, 0.214861, 0.275044, 0.361389, 0.495453, 0.714371", \ + "0.120291, 0.256139, 0.312599, 0.390289, 0.495954, 0.64733, 0.875196", \ + "0.201187, 0.398144, 0.470724, 0.570137, 0.712267, 0.899499, 1.16721" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0184815, 0.0831321, 0.125404, 0.195077, 0.31149, 0.505563, 0.829371", \ + "0.0311862, 0.11883, 0.163024, 0.232995, 0.349491, 0.544164, 0.867336", \ + "0.0379312, 0.145597, 0.195555, 0.269642, 0.386938, 0.580997, 0.904352", \ + "0.0467317, 0.185975, 0.247371, 0.333398, 0.460921, 0.657979, 0.980969", \ + "0.0576861, 0.237117, 0.317797, 0.426324, 0.578836, 0.798761, 1.13277", \ + "0.0704896, 0.304233, 0.410229, 0.554311, 0.748925, 1.01824, 1.40111", \ + "0.0851951, 0.390467, 0.52828, 0.71823, 0.979432, 1.32836, 1.80947" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0126969, 0.0993047, 0.159745, 0.259892, 0.427399, 0.706692, 1.17231", \ + "0.0259878, 0.110203, 0.16566, 0.261687, 0.427546, 0.707533, 1.17246", \ + "0.0352229, 0.128658, 0.181993, 0.272692, 0.431974, 0.707534, 1.17269", \ + "0.050769, 0.162338, 0.218641, 0.307664, 0.457108, 0.719389, 1.17445", \ + "0.0744985, 0.219835, 0.285721, 0.381568, 0.530777, 0.778578, 1.20914", \ + "0.114874, 0.303034, 0.390428, 0.50548, 0.671573, 0.925532, 1.33688", \ + "0.188276, 0.431658, 0.542603, 0.700577, 0.905474, 1.19845, 1.62907" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0147316, 0.0526038, 0.0758038, 0.114104, 0.178213, 0.285093, 0.46324", \ + "0.0270409, 0.0907083, 0.119171, 0.160393, 0.225264, 0.332015, 0.509946", \ + "0.0331949, 0.116025, 0.150449, 0.198406, 0.268932, 0.377812, 0.555749", \ + "0.0437506, 0.155437, 0.20091, 0.261629, 0.345802, 0.467093, 0.651745", \ + "0.0532078, 0.205174, 0.26722, 0.349504, 0.458825, 0.607847, 0.818652", \ + "0.0641556, 0.273129, 0.356676, 0.468603, 0.619155, 0.815304, 1.08204", \ + "0.073825, 0.359805, 0.476609, 0.628101, 0.832091, 1.10564, 1.46031" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00803593, 0.0528262, 0.0848801, 0.138332, 0.227601, 0.376658, 0.625001", \ + "0.0202857, 0.0702452, 0.0986265, 0.146692, 0.230807, 0.377109, 0.62614", \ + "0.0295307, 0.0881286, 0.117841, 0.165164, 0.244852, 0.384234, 0.6267", \ + "0.0462274, 0.120376, 0.15447, 0.20438, 0.283815, 0.415953, 0.645466", \ + "0.072905, 0.171611, 0.214884, 0.27503, 0.362242, 0.495761, 0.714809", \ + "0.117784, 0.253615, 0.310706, 0.389397, 0.495144, 0.647183, 0.875073", \ + "0.197876, 0.392137, 0.464976, 0.565048, 0.705593, 0.897478, 1.16634" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0283052, 0.114821, 0.171955, 0.266063, 0.423544, 0.685405, 1.12198", \ + "0.0470479, 0.148594, 0.206211, 0.300401, 0.45786, 0.720394, 1.156", \ + "0.0574515, 0.176973, 0.238797, 0.334617, 0.491623, 0.753799, 1.19155", \ + "0.0732995, 0.222124, 0.294135, 0.399824, 0.562403, 0.824247, 1.2601", \ + "0.0927936, 0.281809, 0.372129, 0.499899, 0.684552, 0.96152, 1.40107", \ + "0.120155, 0.361905, 0.4794, 0.640969, 0.868329, 1.19136, 1.66756", \ + "0.158215, 0.469207, 0.619961, 0.83103, 1.12311, 1.52807, 2.09715" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.021178, 0.139197, 0.21984, 0.353126, 0.576343, 0.948261, 1.56795", \ + "0.0349574, 0.145894, 0.222579, 0.353856, 0.576403, 0.948517, 1.56796", \ + "0.0447175, 0.162149, 0.234938, 0.360126, 0.578035, 0.948671, 1.56972", \ + "0.0624794, 0.195526, 0.268998, 0.387977, 0.594505, 0.952862, 1.5705", \ + "0.0865444, 0.253278, 0.335818, 0.45852, 0.657906, 0.996444, 1.58648", \ + "0.129129, 0.34085, 0.441288, 0.584207, 0.795062, 1.12788, 1.68568", \ + "0.202904, 0.474225, 0.602634, 0.782182, 1.03182, 1.39963, 1.95907" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0152467, 0.0530739, 0.076362, 0.114806, 0.179247, 0.28615, 0.464371", \ + "0.0279922, 0.0914468, 0.119888, 0.161052, 0.226101, 0.3331, 0.511264", \ + "0.0343655, 0.117009, 0.151322, 0.199333, 0.269926, 0.379039, 0.557296", \ + "0.0451517, 0.156536, 0.202096, 0.262826, 0.347091, 0.46844, 0.653297", \ + "0.0545161, 0.206096, 0.268726, 0.351104, 0.460398, 0.609554, 0.820458", \ + "0.0667781, 0.273744, 0.357448, 0.470068, 0.620833, 0.817321, 1.08362", \ + "0.0810651, 0.357212, 0.474949, 0.627702, 0.832471, 1.10649, 1.46176" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0110817, 0.0567122, 0.0886992, 0.142036, 0.231506, 0.380292, 0.62861", \ + "0.0291313, 0.0741863, 0.102336, 0.150381, 0.234551, 0.381119, 0.628611", \ + "0.0419188, 0.0926235, 0.121935, 0.168731, 0.248517, 0.387927, 0.630046", \ + "0.0642232, 0.12577, 0.159179, 0.208551, 0.287596, 0.419497, 0.649028", \ + "0.099734, 0.180698, 0.221345, 0.280123, 0.365861, 0.499034, 0.718206", \ + "0.156891, 0.267702, 0.32134, 0.396403, 0.50102, 0.652194, 0.878138", \ + "0.252662, 0.414217, 0.482906, 0.580047, 0.718583, 0.903496, 1.17377" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00871082, 0.00972452, 0.00971092, 0.00960122, 0.00933592, 0.00880762, 0.00802702", \ + "0.00832252, 0.00923162, 0.00922272, 0.00926022, 0.00913012, 0.00877472, 0.00793272", \ + "0.00872668, 0.00891678, 0.00919048, 0.00912968, 0.00902298, 0.00888638, 0.00803288", \ + "0.0104543, 0.0094571, 0.0093131, 0.0092798, 0.0093547, 0.0087935, 0.0081553", \ + "0.0156338, 0.0120683, 0.0113781, 0.0107239, 0.0100215, 0.0103753, 0.00927182", \ + "0.0271706, 0.0198116, 0.0180905, 0.0162107, 0.0144321, 0.0123474, 0.0119845", \ + "0.0513121, 0.0397326, 0.03579, 0.0314744, 0.0272369, 0.022748, 0.0191161" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00801796, 0.00834736, 0.00833119, 0.00813377, 0.00787317, 0.00743201, 0.00662149", \ + "0.00781935, 0.00800066, 0.00821459, 0.00797455, 0.00767433, 0.00727012, 0.00650184", \ + "0.0086324, 0.00820479, 0.00807067, 0.00827638, 0.00780549, 0.00718839, 0.00654422", \ + "0.01105, 0.00924809, 0.00894002, 0.00845784, 0.00831549, 0.00757584, 0.00661872", \ + "0.0165824, 0.0126056, 0.0116507, 0.0107055, 0.00967628, 0.00857895, 0.00809296", \ + "0.0285829, 0.0215863, 0.0192239, 0.0168404, 0.0146789, 0.0124514, 0.00993848", \ + "0.0531593, 0.042788, 0.0386659, 0.0334763, 0.0288395, 0.0237526, 0.0192814" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.011186, 0.0113659, 0.011287, 0.0111148, 0.0108922, 0.0103828, 0.00954497", \ + "0.0110161, 0.0113593, 0.0112848, 0.0112183, 0.0109605, 0.0105649, 0.00983412", \ + "0.0113422, 0.0113271, 0.0114773, 0.0112691, 0.0109387, 0.0110127, 0.00975792", \ + "0.0128679, 0.0120048, 0.0117581, 0.0116237, 0.0114828, 0.010786, 0.00999084", \ + "0.0177364, 0.0147102, 0.0139993, 0.0133188, 0.0123076, 0.0126393, 0.0104772", \ + "0.0288124, 0.0227485, 0.0209299, 0.0188136, 0.0172383, 0.0148015, 0.014306", \ + "0.052027, 0.0428059, 0.0391246, 0.0347014, 0.0303437, 0.025843, 0.0216042" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0112711, 0.0114391, 0.0113137, 0.011173, 0.01092, 0.0104227, 0.00971957", \ + "0.0105432, 0.0108673, 0.0111106, 0.0107743, 0.0106773, 0.0102228, 0.009373", \ + "0.0108327, 0.0109046, 0.0108132, 0.0110333, 0.0104734, 0.0104785, 0.00928343", \ + "0.0124397, 0.0115502, 0.0113628, 0.0110363, 0.0109533, 0.0102146, 0.00959877", \ + "0.0173422, 0.0140786, 0.0134372, 0.0128256, 0.0120208, 0.0110688, 0.0116299", \ + "0.0287188, 0.0221398, 0.0199784, 0.0180466, 0.0163573, 0.0145404, 0.0120025", \ + "0.0523946, 0.0421362, 0.0380992, 0.0334777, 0.0291487, 0.0247414, 0.0207771" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00712241, 0.00799064, 0.0080177, 0.00792912, 0.00774694, 0.00724539, 0.00639069", \ + "0.00756706, 0.00766895, 0.00759204, 0.00760352, 0.0074239, 0.00709793, 0.00629474", \ + "0.00866388, 0.00782287, 0.00791311, 0.0076228, 0.00742258, 0.00706445, 0.00660097", \ + "0.0112979, 0.00923025, 0.0086129, 0.008299, 0.00788816, 0.00751762, 0.00691815", \ + "0.0174742, 0.0132926, 0.0121967, 0.0109183, 0.00971104, 0.00938176, 0.00833968", \ + "0.029975, 0.0226613, 0.0204869, 0.0182817, 0.016225, 0.0127034, 0.0115024", \ + "0.0562298, 0.0447667, 0.0407581, 0.0362576, 0.0313564, 0.0269147, 0.0208766" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00646853, 0.00787531, 0.0078797, 0.00781584, 0.00767937, 0.00713913, 0.00639713", \ + "0.0068416, 0.0074861, 0.0075444, 0.00782094, 0.00784989, 0.00724051, 0.00632495", \ + "0.00793363, 0.00758206, 0.0077022, 0.00745925, 0.0077292, 0.00736758, 0.00630467", \ + "0.0108937, 0.00855282, 0.00837434, 0.00821135, 0.0076737, 0.00738784, 0.00695969", \ + "0.0172265, 0.0121327, 0.0111994, 0.01022, 0.00960225, 0.00877691, 0.00719324", \ + "0.0310387, 0.0217376, 0.0193075, 0.0167142, 0.0143289, 0.012642, 0.010946", \ + "0.0576773, 0.044513, 0.0396187, 0.034311, 0.0291114, 0.0238507, 0.0203425" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00622351, 0.0074066, 0.00741864, 0.00732328, 0.00718159, 0.00657905, 0.00577695", \ + "0.00702505, 0.00702479, 0.00691566, 0.00694815, 0.00685132, 0.00648341, 0.00568485", \ + "0.00840073, 0.00721914, 0.00727459, 0.00704738, 0.00682609, 0.00658517, 0.00561054", \ + "0.011248, 0.00866536, 0.00804891, 0.00775551, 0.0074087, 0.00655558, 0.0056997", \ + "0.0177271, 0.0128551, 0.0116261, 0.0103929, 0.00902529, 0.00886183, 0.00654886", \ + "0.0306047, 0.0224788, 0.0202213, 0.0177524, 0.0155968, 0.0121892, 0.0106881", \ + "0.0571336, 0.0447716, 0.040688, 0.0360644, 0.0308954, 0.0260478, 0.0206471" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00224743, 0.00369325, 0.0036989, 0.00362396, 0.00336653, 0.00297447, 0.00233686", \ + "0.00263154, 0.0032876, 0.003338, 0.00359233, 0.00347677, 0.00294512, 0.00242524", \ + "0.00378926, 0.00337674, 0.00351802, 0.00336002, 0.00348163, 0.00310586, 0.0021325", \ + "0.00683065, 0.00445021, 0.00420493, 0.0040923, 0.00346617, 0.00323425, 0.00316698", \ + "0.0132735, 0.00801824, 0.00699773, 0.00609136, 0.00540209, 0.00425488, 0.00306398", \ + "0.027236, 0.0176051, 0.0151244, 0.0125678, 0.0102665, 0.00842904, 0.00694919", \ + "0.0541516, 0.0405073, 0.0355162, 0.0301556, 0.0251039, 0.0202322, 0.0159136" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00624926, 0.00739304, 0.00740155, 0.00733097, 0.00706309, 0.00664655, 0.00669639", \ + "0.00715848, 0.00695642, 0.00702762, 0.0069601, 0.00684205, 0.00670638, 0.00648733", \ + "0.00858877, 0.00734052, 0.00723786, 0.00732857, 0.00680939, 0.00637705, 0.00656472", \ + "0.0116467, 0.00893849, 0.00836246, 0.00775286, 0.00764421, 0.00687938, 0.00663721", \ + "0.0186024, 0.0134966, 0.012147, 0.0108413, 0.00920752, 0.00846359, 0.00707674", \ + "0.0322304, 0.0236809, 0.0213105, 0.0190249, 0.0163732, 0.0129405, 0.0103152", \ + "0.0603862, 0.0476463, 0.0430813, 0.0382583, 0.0327571, 0.0275347, 0.0219363" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00205333, 0.00346301, 0.00348471, 0.00341569, 0.00316631, 0.00277467, 0.00228491", \ + "0.00258214, 0.003009, 0.00305707, 0.00331577, 0.00312096, 0.00271422, 0.00259742", \ + "0.00384463, 0.00317611, 0.00319744, 0.00307713, 0.00325663, 0.00281963, 0.00193245", \ + "0.00706416, 0.0044066, 0.00407574, 0.00383241, 0.00334728, 0.00304402, 0.00236974", \ + "0.0139217, 0.00834622, 0.00719193, 0.00622153, 0.00546368, 0.00420947, 0.00272008", \ + "0.0283139, 0.0185918, 0.0160029, 0.0132814, 0.0106136, 0.00863853, 0.0069979", \ + "0.0573055, 0.0434618, 0.0376514, 0.0321182, 0.0261928, 0.0215326, 0.0166614" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00712241, 0.00799064, 0.0080177, 0.00792912, 0.00774694, 0.00724539, 0.00639069", \ + "0.00756706, 0.00766895, 0.00759204, 0.00760352, 0.0074239, 0.00709793, 0.00629474", \ + "0.00866388, 0.00782287, 0.00791311, 0.0076228, 0.00742258, 0.00706445, 0.00660097", \ + "0.0112979, 0.00923025, 0.0086129, 0.008299, 0.00788816, 0.00751762, 0.00691815", \ + "0.0174742, 0.0132926, 0.0121967, 0.0109183, 0.00971104, 0.00938176, 0.00833968", \ + "0.029975, 0.0226613, 0.0204869, 0.0182817, 0.016225, 0.0127034, 0.0115024", \ + "0.0562298, 0.0447667, 0.0407581, 0.0362576, 0.0313564, 0.0269147, 0.0208766" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00205333, 0.00346301, 0.00348471, 0.00341569, 0.00316631, 0.00277467, 0.00228491", \ + "0.00258214, 0.003009, 0.00305707, 0.00331577, 0.00312096, 0.00271422, 0.00259742", \ + "0.00384463, 0.00317611, 0.00319744, 0.00307713, 0.00325663, 0.00281963, 0.00193245", \ + "0.00706416, 0.0044066, 0.00407574, 0.00383241, 0.00334728, 0.00304402, 0.00236974", \ + "0.0139217, 0.00834622, 0.00719193, 0.00622153, 0.00546368, 0.00420947, 0.00272008", \ + "0.0283139, 0.0185918, 0.0160029, 0.0132814, 0.0106136, 0.00863853, 0.0069979", \ + "0.0573055, 0.0434618, 0.0376514, 0.0321182, 0.0261928, 0.0215326, 0.0166614" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00585199; + rise_capacitance : 0.0059533; + rise_capacitance_range (0.0059533, 0.0059533); + fall_capacitance : 0.00575067; + fall_capacitance_range (0.00575067, 0.00575067); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00162848, -0.00161121, -0.00160767, -0.00160401, -0.00161179, -0.00160651, -0.00161082" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00222298, 0.00222198, 0.00221992, 0.0022323, 0.00223118, 0.00223394, 0.00224011" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00162848, -0.00161121, -0.00160767, -0.00160401, -0.00161179, -0.00160651, -0.00161082" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00222298, 0.00222198, 0.00221992, 0.0022323, 0.00223118, 0.00223394, 0.00224011" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0064223; + rise_capacitance : 0.00647686; + rise_capacitance_range (0.00647686, 0.00647686); + fall_capacitance : 0.00636775; + fall_capacitance_range (0.00636775, 0.00636775); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000430516, -0.000229078, -0.000186988, -0.000149359, -0.000107244, -8.27454e-05, -6.35704e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000430516, 0.000229078, 0.000186988, 0.000149359, 0.000107244, 8.27454e-05, 6.35704e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000430516, -0.000229078, -0.000186988, -0.000149359, -0.000107244, -8.27454e-05, -6.35704e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000430516, 0.000229078, 0.000186988, 0.000149359, 0.000107244, 8.27454e-05, 6.35704e-05" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00570629; + rise_capacitance : 0.00576214; + rise_capacitance_range (0.00576214, 0.00576214); + fall_capacitance : 0.00565045; + fall_capacitance_range (0.00565045, 0.00565045); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00108776, 0.00107946, 0.00108886, 0.00109248, 0.00109965, 0.00109813, 0.00109449" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00108776, -0.00107946, -0.00108886, -0.00109248, -0.00109965, -0.00109813, -0.00109449" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00108776, 0.00107946, 0.00108886, 0.00109248, 0.00109965, 0.00109813, 0.00109449" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00108776, -0.00107946, -0.00108886, -0.00109248, -0.00109965, -0.00109813, -0.00109449" \ + ); + } + } + } + } + cell (sg13g2_a221oi_1) { + area : 14.5152; + cell_footprint : "a221oi"; + cell_leakage_power : 456.178; + leakage_power () { + value : 362.878; + when : "!A1*!A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 428.921; + when : "!A1*!A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 422.166; + when : "!A1*!A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 483.309; + when : "!A1*!A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 300.793; + when : "!A1*!A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 483.315; + when : "!A1*!A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 438.157; + when : "!A1*!A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 517.941; + when : "!A1*!A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 422.178; + when : "!A1*A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 483.321; + when : "!A1*A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 481.467; + when : "!A1*A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 537.709; + when : "!A1*A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 360.093; + when : "!A1*A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 537.715; + when : "!A1*A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 492.557; + when : "!A1*A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 572.341; + when : "!A1*A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 300.793; + when : "A1*!A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 483.315; + when : "A1*!A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 360.081; + when : "A1*!A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 537.703; + when : "A1*!A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 238.708; + when : "A1*!A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 537.709; + when : "A1*!A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 492.551; + when : "A1*!A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 572.335; + when : "A1*!A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 307.387; + when : "A1*A2*!B1*!B2*!C1*!Y"; + } + leakage_power () { + value : 402.146; + when : "A1*A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 433.014; + when : "A1*A2*!B1*B2*!C1*!Y"; + } + leakage_power () { + value : 519.72; + when : "A1*A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 433.021; + when : "A1*A2*B1*!B2*!C1*!Y"; + } + leakage_power () { + value : 519.727; + when : "A1*A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 511.796; + when : "A1*A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 622.826; + when : "A1*A2*B1*B2*C1*!Y"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+(B1*B2)+C1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b1 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0700881, 0.326218, 0.498527, 0.783366, 1.26014, 2.05467, 3.37882", \ + "0.0902623, 0.350173, 0.523064, 0.808215, 1.28491, 2.07961, 3.40406", \ + "0.101729, 0.368428, 0.541396, 0.826649, 1.30359, 2.09871, 3.42464", \ + "0.117194, 0.403039, 0.577771, 0.863269, 1.34126, 2.13539, 3.46199", \ + "0.129421, 0.456193, 0.640259, 0.931768, 1.41038, 2.20525, 3.53017", \ + "0.146345, 0.540536, 0.74278, 1.05419, 1.54836, 2.34771, 3.67251", \ + "0.169465, 0.66024, 0.900643, 1.24988, 1.78535, 2.61815, 3.95992" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0464357, 0.401867, 0.643339, 1.04274, 1.71133, 2.82555, 4.6825", \ + "0.0512476, 0.401868, 0.643568, 1.04275, 1.71134, 2.82556, 4.68279", \ + "0.0581046, 0.40363, 0.644034, 1.04276, 1.71135, 2.82571, 4.6828", \ + "0.0730212, 0.414226, 0.649253, 1.04427, 1.71136, 2.82572, 4.68453", \ + "0.10451, 0.448866, 0.676578, 1.06101, 1.71728, 2.82659, 4.68454", \ + "0.163335, 0.522114, 0.748191, 1.12303, 1.75984, 2.84505, 4.6974", \ + "0.254053, 0.65779, 0.893323, 1.27044, 1.89483, 2.94771, 4.74014" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0376643, 0.173744, 0.262742, 0.408838, 0.65252, 1.05769, 1.73223", \ + "0.0659134, 0.213333, 0.302276, 0.448403, 0.692139, 1.09725, 1.77217", \ + "0.083449, 0.250368, 0.341287, 0.487638, 0.731053, 1.13606, 1.81115", \ + "0.111334, 0.31444, 0.415614, 0.56903, 0.814507, 1.21915, 1.89366", \ + "0.147348, 0.405227, 0.528643, 0.70452, 0.967945, 1.38024, 2.05449", \ + "0.198893, 0.531713, 0.689648, 0.908521, 1.2198, 1.67551, 2.3734", \ + "0.273044, 0.703092, 0.907666, 1.19258, 1.58596, 2.13923, 2.93337" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.039739, 0.215149, 0.335192, 0.533287, 0.865219, 1.41796, 2.33992", \ + "0.055074, 0.218524, 0.335799, 0.533288, 0.86522, 1.41797, 2.34002", \ + "0.0697276, 0.23196, 0.343811, 0.535747, 0.865442, 1.41821, 2.34003", \ + "0.0949487, 0.267164, 0.375149, 0.557284, 0.874542, 1.42009, 2.34004", \ + "0.135608, 0.336927, 0.447666, 0.625143, 0.925672, 1.44673, 2.34643", \ + "0.201865, 0.450999, 0.580887, 0.768458, 1.0677, 1.56314, 2.41734", \ + "0.310454, 0.633876, 0.791511, 1.01408, 1.34699, 1.85239, 2.67625" \ + ); + } + } + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0604942, 0.317413, 0.49053, 0.776176, 1.25477, 2.05228, 3.38146", \ + "0.0799123, 0.341281, 0.514753, 0.80101, 1.27987, 2.07718, 3.40667", \ + "0.0900289, 0.359427, 0.533014, 0.819606, 1.29819, 2.09622, 3.42719", \ + "0.102604, 0.393644, 0.569285, 0.855814, 1.33556, 2.13281, 3.4644", \ + "0.110595, 0.445422, 0.631141, 0.923876, 1.40446, 2.20247, 3.53236", \ + "0.121101, 0.527548, 0.732378, 1.04592, 1.5424, 2.3441, 3.67378", \ + "0.135749, 0.646416, 0.888496, 1.23846, 1.77563, 2.61404, 3.96006" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0380165, 0.394175, 0.636566, 1.03767, 1.70766, 2.82788, 4.69267", \ + "0.0434978, 0.39419, 0.636567, 1.03768, 1.70849, 2.82789, 4.69298", \ + "0.0505095, 0.396093, 0.637195, 1.03769, 1.70884, 2.82813, 4.69299", \ + "0.065969, 0.407241, 0.642731, 1.03928, 1.70885, 2.82814, 4.69482", \ + "0.0983239, 0.441342, 0.670538, 1.05612, 1.71546, 2.82815, 4.69483", \ + "0.158334, 0.514024, 0.742208, 1.11801, 1.75741, 2.84735, 4.70887", \ + "0.248708, 0.653099, 0.891336, 1.26401, 1.89222, 2.95045, 4.75012" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.036959, 0.172333, 0.261068, 0.406557, 0.650036, 1.05501, 1.72985", \ + "0.0647531, 0.212056, 0.30058, 0.446243, 0.689507, 1.09463, 1.76992", \ + "0.081795, 0.248862, 0.3395, 0.485343, 0.728354, 1.13339, 1.80957", \ + "0.108705, 0.312584, 0.413685, 0.566575, 0.811744, 1.21652, 1.89114", \ + "0.142488, 0.40256, 0.525788, 0.701656, 0.965131, 1.37746, 2.05197", \ + "0.189666, 0.527033, 0.685457, 0.904498, 1.21643, 1.67247, 2.37069", \ + "0.255604, 0.694726, 0.901557, 1.18756, 1.5819, 2.13645, 2.93074" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0313238, 0.208523, 0.328912, 0.526679, 0.858939, 1.41222, 2.33388", \ + "0.0454207, 0.211885, 0.329619, 0.526917, 0.85894, 1.41223, 2.33389", \ + "0.0585735, 0.22539, 0.33733, 0.530002, 0.858941, 1.41224, 2.33445", \ + "0.0805487, 0.260442, 0.368708, 0.550914, 0.868612, 1.41396, 2.33446", \ + "0.117177, 0.330596, 0.440784, 0.61837, 0.919157, 1.43942, 2.34056", \ + "0.1767, 0.441184, 0.570377, 0.76088, 1.06139, 1.55728, 2.41248", \ + "0.273475, 0.61963, 0.779006, 1.005, 1.33952, 1.84557, 2.67004" \ + ); + } + } + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0550882, 0.26753, 0.410601, 0.646674, 1.04207, 1.70109, 2.79941", \ + "0.0769402, 0.294615, 0.437989, 0.674727, 1.07011, 1.73048, 2.82762", \ + "0.0883694, 0.316494, 0.460068, 0.697004, 1.09263, 1.75269, 2.85014", \ + "0.102494, 0.356126, 0.503219, 0.740787, 1.13682, 1.79619, 2.89582", \ + "0.110757, 0.415831, 0.57472, 0.821621, 1.22108, 1.88104, 2.98131", \ + "0.119988, 0.505038, 0.688551, 0.960253, 1.38025, 2.05144, 3.1514", \ + "0.13239, 0.625839, 0.851865, 1.17155, 1.64078, 2.35985, 3.49017" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0318135, 0.327835, 0.529154, 0.862223, 1.4199, 2.35007, 3.89845", \ + "0.0383088, 0.328575, 0.530462, 0.862224, 1.41991, 2.35008, 3.89917", \ + "0.0465848, 0.330977, 0.530463, 0.862304, 1.41992, 2.35009, 3.89918", \ + "0.0638989, 0.345445, 0.538829, 0.86565, 1.41993, 2.35015, 3.89919", \ + "0.0976745, 0.386507, 0.573914, 0.890056, 1.43171, 2.35658, 3.90118", \ + "0.15973, 0.46915, 0.657747, 0.966313, 1.48956, 2.38354, 3.91002", \ + "0.254411, 0.617348, 0.821114, 1.13447, 1.64972, 2.51682, 3.99123" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0385473, 0.174621, 0.263443, 0.409039, 0.652627, 1.05767, 1.73254", \ + "0.0672655, 0.214307, 0.302894, 0.448726, 0.692103, 1.09729, 1.77286", \ + "0.0851373, 0.251284, 0.341856, 0.487823, 0.731189, 1.13618, 1.81195", \ + "0.113195, 0.315372, 0.416298, 0.569209, 0.814497, 1.21917, 1.89388", \ + "0.14943, 0.406272, 0.529143, 0.704605, 0.968113, 1.38023, 2.0547", \ + "0.200201, 0.532955, 0.690547, 0.908592, 1.21983, 1.67536, 2.37357", \ + "0.271812, 0.704534, 0.909285, 1.19408, 1.58735, 2.13999, 2.93377" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0330175, 0.210582, 0.331095, 0.529164, 0.861218, 1.41449, 2.3362", \ + "0.0468278, 0.214071, 0.331471, 0.529165, 0.861219, 1.4145, 2.33625", \ + "0.059772, 0.227106, 0.339468, 0.531745, 0.861471, 1.41451, 2.33661", \ + "0.0817658, 0.261767, 0.370483, 0.552632, 0.871756, 1.41607, 2.33662", \ + "0.117779, 0.332008, 0.442383, 0.619973, 0.920654, 1.44236, 2.34241", \ + "0.176615, 0.442779, 0.573108, 0.762686, 1.06313, 1.5587, 2.41452", \ + "0.271537, 0.620346, 0.778768, 1.00534, 1.34027, 1.84862, 2.67157" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0604942, 0.317413, 0.49053, 0.776176, 1.25477, 2.05228, 3.38146", \ + "0.0799123, 0.341281, 0.514753, 0.80101, 1.27987, 2.07718, 3.40667", \ + "0.0900289, 0.359427, 0.533014, 0.819606, 1.29819, 2.09622, 3.42719", \ + "0.102604, 0.393644, 0.569285, 0.855814, 1.33556, 2.13281, 3.4644", \ + "0.110595, 0.445422, 0.631141, 0.923876, 1.40446, 2.20247, 3.53236", \ + "0.121101, 0.527548, 0.732378, 1.04592, 1.5424, 2.3441, 3.67378", \ + "0.135749, 0.646416, 0.888496, 1.23846, 1.77563, 2.61404, 3.96006" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0380165, 0.394175, 0.636566, 1.03767, 1.70766, 2.82788, 4.69267", \ + "0.0434978, 0.39419, 0.636567, 1.03768, 1.70849, 2.82789, 4.69298", \ + "0.0505095, 0.396093, 0.637195, 1.03769, 1.70884, 2.82813, 4.69299", \ + "0.065969, 0.407241, 0.642731, 1.03928, 1.70885, 2.82814, 4.69482", \ + "0.0983239, 0.441342, 0.670538, 1.05612, 1.71546, 2.82815, 4.69483", \ + "0.158334, 0.514024, 0.742208, 1.11801, 1.75741, 2.84735, 4.70887", \ + "0.248708, 0.653099, 0.891336, 1.26401, 1.89222, 2.95045, 4.75012" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0385473, 0.174621, 0.263443, 0.409039, 0.652627, 1.05767, 1.73254", \ + "0.0672655, 0.214307, 0.302894, 0.448726, 0.692103, 1.09729, 1.77286", \ + "0.0851373, 0.251284, 0.341856, 0.487823, 0.731189, 1.13618, 1.81195", \ + "0.113195, 0.315372, 0.416298, 0.569209, 0.814497, 1.21917, 1.89388", \ + "0.14943, 0.406272, 0.529143, 0.704605, 0.968113, 1.38023, 2.0547", \ + "0.200201, 0.532955, 0.690547, 0.908592, 1.21983, 1.67536, 2.37357", \ + "0.271812, 0.704534, 0.909285, 1.19408, 1.58735, 2.13999, 2.93377" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0330175, 0.210582, 0.331095, 0.529164, 0.861218, 1.41449, 2.3362", \ + "0.0468278, 0.214071, 0.331471, 0.529165, 0.861219, 1.4145, 2.33625", \ + "0.059772, 0.227106, 0.339468, 0.531745, 0.861471, 1.41451, 2.33661", \ + "0.0817658, 0.261767, 0.370483, 0.552632, 0.871756, 1.41607, 2.33662", \ + "0.117779, 0.332008, 0.442383, 0.619973, 0.920654, 1.44236, 2.34241", \ + "0.176615, 0.442779, 0.573108, 0.762686, 1.06313, 1.5587, 2.41452", \ + "0.271537, 0.620346, 0.778768, 1.00534, 1.34027, 1.84862, 2.67157" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b1 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0780381, 0.332675, 0.504556, 0.788866, 1.26464, 2.05748, 3.37892", \ + "0.0997901, 0.357212, 0.529264, 0.813821, 1.28941, 2.08185, 3.40399", \ + "0.112446, 0.375856, 0.548066, 0.832707, 1.30882, 2.10149, 3.42345", \ + "0.130096, 0.411279, 0.585077, 0.869656, 1.34622, 2.13888, 3.46138", \ + "0.146068, 0.465195, 0.64851, 0.938673, 1.4159, 2.20866, 3.53048", \ + "0.171662, 0.551909, 0.752195, 1.06287, 1.55559, 2.35174, 3.67283", \ + "0.211131, 0.67783, 0.914482, 1.2625, 1.7935, 2.62419, 3.96143" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0550184, 0.410206, 0.650893, 1.05011, 1.7174, 2.82948, 4.67886", \ + "0.0590096, 0.410229, 0.651021, 1.05012, 1.71741, 2.82949, 4.68306", \ + "0.0657721, 0.411776, 0.651582, 1.05013, 1.71742, 2.8295, 4.68307", \ + "0.0801938, 0.422349, 0.657039, 1.05081, 1.71743, 2.82954, 4.68392", \ + "0.110479, 0.455962, 0.684004, 1.068, 1.72336, 2.82955, 4.68393", \ + "0.165953, 0.52924, 0.754903, 1.12959, 1.76553, 2.85034, 4.68999", \ + "0.250153, 0.662092, 0.898831, 1.27761, 1.90029, 2.95207, 4.74042" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0398738, 0.176024, 0.26482, 0.411058, 0.654726, 1.05985, 1.73453", \ + "0.0643915, 0.208207, 0.297297, 0.443568, 0.687287, 1.09242, 1.76741", \ + "0.0803341, 0.237712, 0.328367, 0.475032, 0.718827, 1.12393, 1.79874", \ + "0.106018, 0.291132, 0.388673, 0.540095, 0.785707, 1.19099, 1.86598", \ + "0.137787, 0.370113, 0.482921, 0.65018, 0.907985, 1.31895, 1.99433", \ + "0.182862, 0.484329, 0.62586, 0.823837, 1.11515, 1.55599, 2.24886", \ + "0.246638, 0.643333, 0.826009, 1.07995, 1.43444, 1.94429, 2.70174" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0395549, 0.215203, 0.335062, 0.533311, 0.865211, 1.41844, 2.34005", \ + "0.0492587, 0.217278, 0.33564, 0.533312, 0.865212, 1.41845, 2.34006", \ + "0.0603088, 0.225668, 0.340534, 0.535163, 0.86663, 1.41846, 2.34007", \ + "0.0817701, 0.249448, 0.360605, 0.548376, 0.87114, 1.41991, 2.3401", \ + "0.119791, 0.300455, 0.410958, 0.593114, 0.903816, 1.4363, 2.34457", \ + "0.181961, 0.392244, 0.510414, 0.69576, 0.999608, 1.51241, 2.38977", \ + "0.279556, 0.549359, 0.684748, 0.886292, 1.20592, 1.71184, 2.55913" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0686507, 0.323908, 0.496655, 0.781723, 1.2593, 2.05515, 3.38157", \ + "0.0897119, 0.348252, 0.520966, 0.806636, 1.28418, 2.07996, 3.40666", \ + "0.101252, 0.366947, 0.539874, 0.825514, 1.30299, 2.09914, 3.42604", \ + "0.116549, 0.401925, 0.576698, 0.862268, 1.3406, 2.13618, 3.46461", \ + "0.12894, 0.455571, 0.639296, 0.930921, 1.40999, 2.20573, 3.53304", \ + "0.149354, 0.540405, 0.742218, 1.05424, 1.5473, 2.3487, 3.67424", \ + "0.181955, 0.664, 0.902352, 1.24924, 1.78535, 2.61861, 3.96163" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.046524, 0.402708, 0.644515, 1.04504, 1.71514, 2.83188, 4.69301", \ + "0.0512977, 0.402929, 0.644516, 1.04505, 1.71515, 2.83194, 4.69333", \ + "0.0580689, 0.404557, 0.645852, 1.04506, 1.71516, 2.83198, 4.69334", \ + "0.0729112, 0.415265, 0.650526, 1.04659, 1.71517, 2.83199, 4.69495", \ + "0.103447, 0.44989, 0.67819, 1.06337, 1.72119, 2.83233, 4.69496", \ + "0.159133, 0.522368, 0.749206, 1.12502, 1.76384, 2.85121, 4.70837", \ + "0.242372, 0.655009, 0.892638, 1.26999, 1.8977, 2.95385, 4.7504" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0391663, 0.1746, 0.263164, 0.408891, 0.652087, 1.0572, 1.73206", \ + "0.0634218, 0.206858, 0.295541, 0.44135, 0.684688, 1.09009, 1.76502", \ + "0.0790942, 0.236316, 0.326597, 0.472734, 0.716222, 1.12131, 1.79633", \ + "0.103951, 0.289485, 0.386717, 0.537713, 0.78296, 1.18852, 1.8633", \ + "0.134183, 0.368145, 0.480538, 0.647312, 0.905074, 1.31603, 1.99173", \ + "0.175882, 0.480789, 0.622721, 0.820907, 1.1124, 1.55344, 2.24614", \ + "0.232567, 0.635363, 0.820956, 1.07558, 1.43162, 1.94263, 2.69975" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0310995, 0.208375, 0.328651, 0.526943, 0.858946, 1.41213, 2.33388", \ + "0.0404088, 0.210668, 0.329126, 0.526944, 0.858967, 1.41214, 2.33395", \ + "0.0503107, 0.219008, 0.333949, 0.528704, 0.858977, 1.41215, 2.33396", \ + "0.0698321, 0.242444, 0.354128, 0.542032, 0.865088, 1.41314, 2.33397", \ + "0.105046, 0.293027, 0.404113, 0.586731, 0.897674, 1.43008, 2.33739", \ + "0.161179, 0.383988, 0.502624, 0.689648, 0.993005, 1.50632, 2.38334", \ + "0.249629, 0.538227, 0.674659, 0.878254, 1.19945, 1.70617, 2.55354" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.061762, 0.272763, 0.415338, 0.65128, 1.04537, 1.70272, 2.79956", \ + "0.085253, 0.300347, 0.443056, 0.679434, 1.07337, 1.73203, 2.82763", \ + "0.0983658, 0.32265, 0.465465, 0.701566, 1.09611, 1.75363, 2.84904", \ + "0.11533, 0.362943, 0.509087, 0.745529, 1.1405, 1.798, 2.89472", \ + "0.128566, 0.423521, 0.581207, 0.827127, 1.22517, 1.88332, 2.98032", \ + "0.147554, 0.51473, 0.696943, 0.967057, 1.38627, 2.05433, 3.15114", \ + "0.177642, 0.64222, 0.864515, 1.18344, 1.65067, 2.3642, 3.4908" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0387183, 0.334649, 0.535742, 0.867968, 1.42381, 2.35197, 3.8972", \ + "0.0444423, 0.335895, 0.536458, 0.868846, 1.42496, 2.35198, 3.89721", \ + "0.0524457, 0.337741, 0.536459, 0.868847, 1.42497, 2.35269, 3.89722", \ + "0.0693319, 0.351827, 0.544986, 0.871069, 1.42498, 2.3527, 3.89723", \ + "0.101727, 0.391973, 0.579859, 0.895496, 1.43658, 2.35917, 3.89946", \ + "0.159828, 0.472919, 0.662936, 0.971705, 1.49348, 2.38598, 3.90891", \ + "0.245979, 0.617983, 0.821344, 1.1403, 1.65712, 2.519, 3.99028" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0407643, 0.176877, 0.265578, 0.411262, 0.654688, 1.05986, 1.73476", \ + "0.0655631, 0.209153, 0.297935, 0.4439, 0.687296, 1.09254, 1.76793", \ + "0.0818082, 0.238675, 0.329009, 0.475226, 0.71881, 1.124, 1.79909", \ + "0.107892, 0.292119, 0.389333, 0.540245, 0.78559, 1.19117, 1.86605", \ + "0.140339, 0.371493, 0.483695, 0.650347, 0.907861, 1.31868, 1.99449", \ + "0.185886, 0.485595, 0.626625, 0.824462, 1.11562, 1.55659, 2.24846", \ + "0.247276, 0.645604, 0.827203, 1.08122, 1.43619, 1.94556, 2.70326" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0329719, 0.210595, 0.330872, 0.529416, 0.861225, 1.41443, 2.3362", \ + "0.041835, 0.212752, 0.331548, 0.529417, 0.861233, 1.41444, 2.33621", \ + "0.0517336, 0.220971, 0.336023, 0.530954, 0.861365, 1.41445, 2.33621", \ + "0.0708656, 0.244348, 0.356006, 0.54393, 0.867171, 1.41526, 2.33667", \ + "0.105149, 0.294955, 0.406098, 0.588731, 0.899444, 1.43201, 2.34068", \ + "0.16022, 0.385099, 0.504167, 0.692305, 0.995407, 1.50837, 2.38563", \ + "0.248988, 0.535423, 0.675198, 0.881678, 1.19904, 1.70779, 2.55567" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0686507, 0.323908, 0.496655, 0.781723, 1.2593, 2.05515, 3.38157", \ + "0.0897119, 0.348252, 0.520966, 0.806636, 1.28418, 2.07996, 3.40666", \ + "0.101252, 0.366947, 0.539874, 0.825514, 1.30299, 2.09914, 3.42604", \ + "0.116549, 0.401925, 0.576698, 0.862268, 1.3406, 2.13618, 3.46461", \ + "0.12894, 0.455571, 0.639296, 0.930921, 1.40999, 2.20573, 3.53304", \ + "0.149354, 0.540405, 0.742218, 1.05424, 1.5473, 2.3487, 3.67424", \ + "0.181955, 0.664, 0.902352, 1.24924, 1.78535, 2.61861, 3.96163" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.046524, 0.402708, 0.644515, 1.04504, 1.71514, 2.83188, 4.69301", \ + "0.0512977, 0.402929, 0.644516, 1.04505, 1.71515, 2.83194, 4.69333", \ + "0.0580689, 0.404557, 0.645852, 1.04506, 1.71516, 2.83198, 4.69334", \ + "0.0729112, 0.415265, 0.650526, 1.04659, 1.71517, 2.83199, 4.69495", \ + "0.103447, 0.44989, 0.67819, 1.06337, 1.72119, 2.83233, 4.69496", \ + "0.159133, 0.522368, 0.749206, 1.12502, 1.76384, 2.85121, 4.70837", \ + "0.242372, 0.655009, 0.892638, 1.26999, 1.8977, 2.95385, 4.7504" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0407643, 0.176877, 0.265578, 0.411262, 0.654688, 1.05986, 1.73476", \ + "0.0655631, 0.209153, 0.297935, 0.4439, 0.687296, 1.09254, 1.76793", \ + "0.0818082, 0.238675, 0.329009, 0.475226, 0.71881, 1.124, 1.79909", \ + "0.107892, 0.292119, 0.389333, 0.540245, 0.78559, 1.19117, 1.86605", \ + "0.140339, 0.371493, 0.483695, 0.650347, 0.907861, 1.31868, 1.99449", \ + "0.185886, 0.485595, 0.626625, 0.824462, 1.11562, 1.55659, 2.24846", \ + "0.247276, 0.645604, 0.827203, 1.08122, 1.43619, 1.94556, 2.70326" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0329719, 0.210595, 0.330872, 0.529416, 0.861225, 1.41443, 2.3362", \ + "0.041835, 0.212752, 0.331548, 0.529417, 0.861233, 1.41444, 2.33621", \ + "0.0517336, 0.220971, 0.336023, 0.530954, 0.861365, 1.41445, 2.33621", \ + "0.0708656, 0.244348, 0.356006, 0.54393, 0.867171, 1.41526, 2.33667", \ + "0.105149, 0.294955, 0.406098, 0.588731, 0.899444, 1.43201, 2.34068", \ + "0.16022, 0.385099, 0.504167, 0.692305, 0.995407, 1.50837, 2.38563", \ + "0.248988, 0.535423, 0.675198, 0.881678, 1.19904, 1.70779, 2.55567" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0627059, 0.319284, 0.491895, 0.777251, 1.25488, 2.0507, 3.37832", \ + "0.0825517, 0.345061, 0.51811, 0.804017, 1.28169, 2.07754, 3.40373", \ + "0.0946712, 0.368843, 0.54221, 0.827906, 1.30583, 2.10276, 3.43045", \ + "0.113208, 0.416233, 0.592015, 0.878231, 1.35642, 2.15251, 3.48277", \ + "0.13466, 0.492688, 0.681606, 0.975563, 1.45588, 2.25271, 3.58378", \ + "0.169566, 0.611124, 0.827349, 1.14908, 1.64973, 2.45331, 3.7806", \ + "0.217382, 0.777792, 1.0438, 1.42024, 1.97997, 2.83197, 4.18304" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0465161, 0.402707, 0.644358, 1.04504, 1.71512, 2.83188, 4.69173", \ + "0.0550097, 0.402708, 0.644754, 1.04505, 1.71513, 2.83189, 4.69265", \ + "0.0656224, 0.405623, 0.647537, 1.04506, 1.71514, 2.8319, 4.69266", \ + "0.0859714, 0.420836, 0.653118, 1.0463, 1.71515, 2.83191, 4.69304", \ + "0.119774, 0.467163, 0.690503, 1.06953, 1.72341, 2.83192, 4.69517", \ + "0.173561, 0.561484, 0.784962, 1.15123, 1.77929, 2.85737, 4.7054", \ + "0.258657, 0.722823, 0.964785, 1.3419, 1.95342, 2.99097, 4.76753" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0342469, 0.166428, 0.254827, 0.400472, 0.64384, 1.0487, 1.72317", \ + "0.0582936, 0.206291, 0.294787, 0.440458, 0.683824, 1.08886, 1.76333", \ + "0.0727859, 0.242776, 0.33364, 0.479677, 0.722773, 1.12761, 1.80263", \ + "0.0957042, 0.30536, 0.407156, 0.560681, 0.806155, 1.21058, 1.88476", \ + "0.122782, 0.392939, 0.517851, 0.69498, 0.959294, 1.37151, 2.04584", \ + "0.159913, 0.51325, 0.674798, 0.895836, 1.2092, 1.66587, 2.36437", \ + "0.209168, 0.673815, 0.88397, 1.17423, 1.57153, 2.12743, 2.92316" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0320209, 0.206749, 0.32655, 0.524678, 0.856372, 1.40952, 2.33079", \ + "0.0483828, 0.210588, 0.327496, 0.524679, 0.856373, 1.40953, 2.3308", \ + "0.0626723, 0.224578, 0.335861, 0.527452, 0.856374, 1.40954, 2.33131", \ + "0.0869883, 0.26034, 0.367706, 0.549424, 0.866318, 1.41086, 2.33132", \ + "0.127343, 0.329923, 0.440851, 0.617654, 0.917489, 1.43782, 2.33793", \ + "0.192431, 0.444081, 0.572433, 0.761158, 1.06038, 1.55534, 2.40868", \ + "0.299479, 0.626917, 0.783635, 1.00703, 1.34256, 1.8451, 2.66744" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0530803, 0.309961, 0.482916, 0.768766, 1.24733, 2.04485, 3.37402", \ + "0.0710339, 0.335691, 0.509317, 0.795559, 1.2741, 2.07171, 3.40259", \ + "0.0809093, 0.359474, 0.533105, 0.819709, 1.29837, 2.09637, 3.42737", \ + "0.095569, 0.406444, 0.582985, 0.869832, 1.3487, 2.14694, 3.47968", \ + "0.112975, 0.481815, 0.671952, 0.967112, 1.4483, 2.24663, 3.58059", \ + "0.141526, 0.597669, 0.816441, 1.13963, 1.64185, 2.44725, 3.77731", \ + "0.180053, 0.761724, 1.03087, 1.40996, 1.97211, 2.82577, 4.17923" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0380892, 0.394284, 0.636728, 1.03766, 1.709, 2.82788, 4.69267", \ + "0.0476517, 0.394421, 0.636729, 1.03767, 1.70901, 2.82789, 4.69268", \ + "0.0581774, 0.397264, 0.63927, 1.03768, 1.70902, 2.82813, 4.69269", \ + "0.079063, 0.413072, 0.645443, 1.03912, 1.71143, 2.82814, 4.69274", \ + "0.112383, 0.460143, 0.683463, 1.06269, 1.71755, 2.8313, 4.69431", \ + "0.164534, 0.553786, 0.778432, 1.1446, 1.77341, 2.85351, 4.70527", \ + "0.248568, 0.716592, 0.960591, 1.33598, 1.95179, 2.98769, 4.76755" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0337282, 0.165161, 0.253078, 0.398278, 0.641389, 1.04605, 1.72065", \ + "0.0573546, 0.205079, 0.293072, 0.438295, 0.681258, 1.08602, 1.76091", \ + "0.0712265, 0.241377, 0.331914, 0.477405, 0.720228, 1.12486, 1.80034", \ + "0.0928878, 0.303557, 0.405156, 0.558277, 0.803482, 1.20794, 1.88202", \ + "0.118237, 0.390301, 0.515102, 0.692177, 0.956429, 1.36877, 2.04317", \ + "0.150754, 0.508721, 0.670587, 0.891793, 1.20591, 1.66295, 2.36177", \ + "0.190035, 0.66636, 0.877102, 1.16909, 1.5675, 2.12465, 2.92019" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0245321, 0.200139, 0.320096, 0.518294, 0.850103, 1.40299, 2.32487", \ + "0.039211, 0.203965, 0.320988, 0.518295, 0.850104, 1.40303, 2.32488", \ + "0.0513815, 0.217956, 0.329255, 0.521282, 0.850806, 1.40304, 2.32489", \ + "0.0725622, 0.253303, 0.361132, 0.542762, 0.859732, 1.40529, 2.3249", \ + "0.107799, 0.321998, 0.433448, 0.610856, 0.911258, 1.43161, 2.33043", \ + "0.164601, 0.433998, 0.564256, 0.75365, 1.05307, 1.54925, 2.40267", \ + "0.258083, 0.612304, 0.772329, 0.997277, 1.33597, 1.83862, 2.66165" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0450314, 0.25789, 0.401301, 0.638101, 1.03471, 1.69579, 2.79728", \ + "0.0630751, 0.284651, 0.428506, 0.665862, 1.06255, 1.72355, 2.82505", \ + "0.0722696, 0.309178, 0.453222, 0.69076, 1.08765, 1.74974, 2.85105", \ + "0.0855935, 0.355418, 0.504014, 0.742428, 1.13961, 1.80235, 2.90395", \ + "0.0996238, 0.426958, 0.590307, 0.840136, 1.2417, 1.90356, 3.00545", \ + "0.120884, 0.533639, 0.726178, 1.00581, 1.43305, 2.10724, 3.21145", \ + "0.145568, 0.679451, 0.921089, 1.25832, 1.74744, 2.47776, 3.61682" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0304879, 0.326863, 0.52872, 0.862538, 1.42268, 2.35309, 3.9087", \ + "0.0404805, 0.327586, 0.529787, 0.862812, 1.42269, 2.35494, 3.90871", \ + "0.0507107, 0.331898, 0.530154, 0.862853, 1.4227, 2.35575, 3.90887", \ + "0.0715744, 0.350329, 0.541631, 0.867392, 1.42271, 2.35576, 3.90888", \ + "0.104045, 0.399229, 0.584047, 0.896812, 1.43652, 2.36328, 3.90889", \ + "0.154817, 0.492829, 0.681247, 0.986057, 1.50452, 2.39406, 3.91783", \ + "0.236736, 0.651692, 0.862966, 1.18044, 1.69207, 2.5497, 4.01465" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0334112, 0.164831, 0.252751, 0.397945, 0.64106, 1.04569, 1.7203", \ + "0.0569441, 0.204573, 0.292661, 0.437747, 0.680684, 1.08561, 1.7602", \ + "0.0710684, 0.240984, 0.331481, 0.476973, 0.71974, 1.12442, 1.79939", \ + "0.0932958, 0.303185, 0.404786, 0.557926, 0.803035, 1.20747, 1.88171", \ + "0.120603, 0.390168, 0.514973, 0.691927, 0.956089, 1.36846, 2.04279", \ + "0.157508, 0.510252, 0.671578, 0.892491, 1.20589, 1.66286, 2.36165", \ + "0.207088, 0.672398, 0.881887, 1.17228, 1.56908, 2.12487, 2.92043" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0245904, 0.200143, 0.320089, 0.518288, 0.850106, 1.40226, 2.32469", \ + "0.0392949, 0.204043, 0.320996, 0.518322, 0.850107, 1.40303, 2.32485", \ + "0.051561, 0.218103, 0.329397, 0.521285, 0.850108, 1.40304, 2.32518", \ + "0.0724108, 0.253447, 0.361275, 0.542878, 0.859659, 1.40535, 2.32519", \ + "0.106551, 0.321868, 0.433541, 0.611366, 0.911365, 1.431, 2.33045", \ + "0.161787, 0.43259, 0.563672, 0.755026, 1.05357, 1.54862, 2.40303", \ + "0.251896, 0.608022, 0.768564, 0.994987, 1.33103, 1.83765, 2.66244" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0627059, 0.319284, 0.491895, 0.777251, 1.25488, 2.0507, 3.37832", \ + "0.0825517, 0.345061, 0.51811, 0.804017, 1.28169, 2.07754, 3.40373", \ + "0.0946712, 0.368843, 0.54221, 0.827906, 1.30583, 2.10276, 3.43045", \ + "0.113208, 0.416233, 0.592015, 0.878231, 1.35642, 2.15251, 3.48277", \ + "0.13466, 0.492688, 0.681606, 0.975563, 1.45588, 2.25271, 3.58378", \ + "0.169566, 0.611124, 0.827349, 1.14908, 1.64973, 2.45331, 3.7806", \ + "0.217382, 0.777792, 1.0438, 1.42024, 1.97997, 2.83197, 4.18304" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0465161, 0.402707, 0.644358, 1.04504, 1.71512, 2.83188, 4.69173", \ + "0.0550097, 0.402708, 0.644754, 1.04505, 1.71513, 2.83189, 4.69265", \ + "0.0656224, 0.405623, 0.647537, 1.04506, 1.71514, 2.8319, 4.69266", \ + "0.0859714, 0.420836, 0.653118, 1.0463, 1.71515, 2.83191, 4.69304", \ + "0.119774, 0.467163, 0.690503, 1.06953, 1.72341, 2.83192, 4.69517", \ + "0.173561, 0.561484, 0.784962, 1.15123, 1.77929, 2.85737, 4.7054", \ + "0.258657, 0.722823, 0.964785, 1.3419, 1.95342, 2.99097, 4.76753" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0342469, 0.166428, 0.254827, 0.400472, 0.64384, 1.0487, 1.72317", \ + "0.0582936, 0.206291, 0.294787, 0.440458, 0.683824, 1.08886, 1.76333", \ + "0.0727859, 0.242776, 0.33364, 0.479677, 0.722773, 1.12761, 1.80263", \ + "0.0957042, 0.30536, 0.407156, 0.560681, 0.806155, 1.21058, 1.88476", \ + "0.122782, 0.392939, 0.517851, 0.69498, 0.959294, 1.37151, 2.04584", \ + "0.159913, 0.51325, 0.674798, 0.895836, 1.2092, 1.66587, 2.36437", \ + "0.209168, 0.673815, 0.88397, 1.17423, 1.57153, 2.12743, 2.92316" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0320209, 0.206749, 0.32655, 0.524678, 0.856372, 1.40952, 2.33079", \ + "0.0483828, 0.210588, 0.327496, 0.524679, 0.856373, 1.40953, 2.3308", \ + "0.0626723, 0.224578, 0.335861, 0.527452, 0.856374, 1.40954, 2.33131", \ + "0.0869883, 0.26034, 0.367706, 0.549424, 0.866318, 1.41086, 2.33132", \ + "0.127343, 0.329923, 0.440851, 0.617654, 0.917489, 1.43782, 2.33793", \ + "0.192431, 0.444081, 0.572433, 0.761158, 1.06038, 1.55534, 2.40868", \ + "0.299479, 0.626917, 0.783635, 1.00703, 1.34256, 1.8451, 2.66744" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0706353, 0.325297, 0.497259, 0.781543, 1.25727, 2.05011, 3.37165", \ + "0.0927629, 0.351815, 0.523859, 0.808263, 1.28409, 2.07789, 3.40016", \ + "0.106929, 0.376114, 0.548387, 0.832789, 1.30907, 2.10193, 3.42379", \ + "0.128712, 0.424476, 0.599029, 0.883871, 1.36035, 2.15296, 3.47776", \ + "0.155092, 0.502829, 0.689825, 0.98234, 1.46039, 2.25374, 3.57936", \ + "0.198888, 0.62482, 0.838333, 1.15787, 1.65534, 2.4557, 3.77722", \ + "0.264096, 0.798854, 1.06076, 1.43302, 1.98888, 2.837, 4.18143" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.055, 0.409967, 0.651372, 1.05005, 1.7174, 2.82948, 4.6808", \ + "0.0625306, 0.410219, 0.651373, 1.05006, 1.71741, 2.82949, 4.68204", \ + "0.0729477, 0.41294, 0.651565, 1.05007, 1.71758, 2.82965, 4.68247", \ + "0.0930514, 0.427955, 0.659743, 1.05227, 1.71759, 2.82966, 4.6829", \ + "0.126794, 0.473913, 0.696648, 1.07459, 1.72495, 2.83091, 4.6849", \ + "0.178089, 0.567753, 0.791114, 1.15645, 1.78093, 2.85452, 4.69619", \ + "0.257733, 0.72738, 0.97145, 1.3462, 1.95761, 2.98882, 4.75827" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0367429, 0.168696, 0.257155, 0.402747, 0.646048, 1.05091, 1.72539", \ + "0.058275, 0.201143, 0.289714, 0.435596, 0.67903, 1.08385, 1.75835", \ + "0.0717388, 0.230279, 0.320755, 0.467034, 0.710604, 1.11546, 1.79002", \ + "0.0928654, 0.282769, 0.380512, 0.53197, 0.777372, 1.18263, 1.85727", \ + "0.117087, 0.359197, 0.473172, 0.640877, 0.899236, 1.31031, 1.98559", \ + "0.14901, 0.468438, 0.612869, 0.812837, 1.10545, 1.54656, 2.2394", \ + "0.188104, 0.61872, 0.805847, 1.06402, 1.4216, 1.93323, 2.69264" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0316266, 0.206774, 0.326654, 0.524787, 0.856415, 1.40947, 2.33077", \ + "0.0422672, 0.209144, 0.327118, 0.524805, 0.856416, 1.40948, 2.33078", \ + "0.0534452, 0.217868, 0.332524, 0.526503, 0.859515, 1.40949, 2.33079", \ + "0.0749291, 0.242225, 0.352887, 0.540181, 0.862649, 1.41565, 2.3308", \ + "0.113081, 0.293251, 0.403509, 0.585342, 0.895493, 1.42766, 2.3354", \ + "0.174101, 0.385254, 0.502989, 0.688377, 0.991708, 1.50427, 2.38108", \ + "0.270915, 0.541885, 0.677869, 0.879205, 1.1972, 1.70357, 2.55076" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.061276, 0.316168, 0.488256, 0.773149, 1.24983, 2.04433, 3.36845", \ + "0.0819004, 0.342407, 0.514886, 0.800074, 1.27662, 2.07203, 3.39658", \ + "0.0942113, 0.366801, 0.539464, 0.824635, 1.30157, 2.09561, 3.42077", \ + "0.112897, 0.414848, 0.590001, 0.875396, 1.35217, 2.14703, 3.47471", \ + "0.135477, 0.492032, 0.680314, 0.973845, 1.45266, 2.24773, 3.57641", \ + "0.174254, 0.61129, 0.826847, 1.14862, 1.64765, 2.44976, 3.77402", \ + "0.231419, 0.78333, 1.04653, 1.42224, 1.9804, 2.83083, 4.17832" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0465146, 0.401673, 0.643065, 1.04274, 1.71133, 2.82555, 4.6825", \ + "0.0550249, 0.401674, 0.643322, 1.04275, 1.71134, 2.82556, 4.68251", \ + "0.0656796, 0.404886, 0.644219, 1.04276, 1.71135, 2.82557, 4.68252", \ + "0.0859673, 0.42031, 0.652053, 1.04416, 1.71136, 2.82558, 4.68268", \ + "0.118397, 0.466799, 0.689802, 1.06792, 1.71958, 2.829, 4.68461", \ + "0.167819, 0.560033, 0.783502, 1.14943, 1.77588, 2.85198, 4.69614", \ + "0.245543, 0.719886, 0.961822, 1.34017, 1.95264, 2.98644, 4.75832" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0362247, 0.167426, 0.255464, 0.400503, 0.643605, 1.04827, 1.72288", \ + "0.0574825, 0.199915, 0.288051, 0.433462, 0.676401, 1.08175, 1.75657", \ + "0.0706062, 0.228986, 0.319034, 0.464787, 0.708003, 1.11271, 1.78762", \ + "0.0909572, 0.281238, 0.37865, 0.529608, 0.774682, 1.18002, 1.85465", \ + "0.113524, 0.356966, 0.470905, 0.638258, 0.896421, 1.30746, 1.98302", \ + "0.141438, 0.464944, 0.609683, 0.80989, 1.10263, 1.54401, 2.23677", \ + "0.173183, 0.612793, 0.80066, 1.05986, 1.41816, 1.93111, 2.68999" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0241334, 0.200313, 0.320203, 0.518305, 0.850123, 1.40312, 2.32469", \ + "0.0338738, 0.202599, 0.320998, 0.518806, 0.850124, 1.40328, 2.3247", \ + "0.0437442, 0.211263, 0.3262, 0.519987, 0.850125, 1.40329, 2.32471", \ + "0.063182, 0.235528, 0.346294, 0.533682, 0.856365, 1.40627, 2.32472", \ + "0.09686, 0.285507, 0.397091, 0.578986, 0.889043, 1.42075, 2.32928", \ + "0.15129, 0.376413, 0.496159, 0.682085, 0.985256, 1.49774, 2.37477", \ + "0.236316, 0.530125, 0.668696, 0.873545, 1.19127, 1.69842, 2.54471" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.051644, 0.262587, 0.405339, 0.641181, 1.03549, 1.69328, 2.7893", \ + "0.0722041, 0.289952, 0.432753, 0.668876, 1.06347, 1.72114, 2.81701", \ + "0.083876, 0.315067, 0.458145, 0.69434, 1.08891, 1.74751, 2.84315", \ + "0.101001, 0.362311, 0.509611, 0.746543, 1.14128, 1.79951, 2.89685", \ + "0.120593, 0.436102, 0.597402, 0.845212, 1.2444, 1.90305, 2.99869", \ + "0.152127, 0.546073, 0.735202, 1.01246, 1.43718, 2.10822, 3.20632", \ + "0.195467, 0.698842, 0.936224, 1.26963, 1.7541, 2.48085, 3.6144" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0373502, 0.332953, 0.533547, 0.865975, 1.42263, 2.34837, 3.89277", \ + "0.0464253, 0.333672, 0.535077, 0.86606, 1.42286, 2.35009, 3.89364", \ + "0.0570061, 0.33754, 0.535315, 0.866065, 1.42287, 2.35085, 3.89557", \ + "0.0772526, 0.355765, 0.54634, 0.870532, 1.42292, 2.35086, 3.89558", \ + "0.108252, 0.404821, 0.588673, 0.899883, 1.43622, 2.35315, 3.89559", \ + "0.156304, 0.496892, 0.685471, 0.989302, 1.50486, 2.38948, 3.90482", \ + "0.2325, 0.653766, 0.862562, 1.18211, 1.69158, 2.54615, 4.00148" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0359064, 0.167093, 0.25505, 0.400325, 0.643284, 1.04794, 1.72255", \ + "0.057142, 0.199494, 0.287647, 0.432945, 0.675951, 1.08086, 1.75576", \ + "0.0703615, 0.228597, 0.318625, 0.464387, 0.707591, 1.11235, 1.78716", \ + "0.091144, 0.280657, 0.378179, 0.529266, 0.774192, 1.17952, 1.85416", \ + "0.115043, 0.357174, 0.470754, 0.638079, 0.895995, 1.30685, 1.98256", \ + "0.147598, 0.466063, 0.610246, 0.809609, 1.10303, 1.54387, 2.23635", \ + "0.188406, 0.618243, 0.804365, 1.06139, 1.41994, 1.93051, 2.69013" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0241608, 0.200305, 0.320047, 0.518297, 0.850094, 1.40312, 2.32469", \ + "0.033959, 0.20256, 0.321005, 0.518298, 0.850116, 1.40313, 2.32479", \ + "0.043906, 0.211366, 0.326255, 0.519998, 0.853484, 1.40314, 2.3248", \ + "0.0631027, 0.235235, 0.34629, 0.533778, 0.856375, 1.40441, 2.32481", \ + "0.0963695, 0.285648, 0.397285, 0.579133, 0.889189, 1.42104, 2.33522", \ + "0.148644, 0.375655, 0.495813, 0.682073, 0.986384, 1.49804, 2.37467", \ + "0.231873, 0.527389, 0.665179, 0.871868, 1.19105, 1.69865, 2.54515" \ + ); + } + } + timing () { + related_pin : "B2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0706353, 0.325297, 0.497259, 0.781543, 1.25727, 2.05011, 3.37165", \ + "0.0927629, 0.351815, 0.523859, 0.808263, 1.28409, 2.07789, 3.40016", \ + "0.106929, 0.376114, 0.548387, 0.832789, 1.30907, 2.10193, 3.42379", \ + "0.128712, 0.424476, 0.599029, 0.883871, 1.36035, 2.15296, 3.47776", \ + "0.155092, 0.502829, 0.689825, 0.98234, 1.46039, 2.25374, 3.57936", \ + "0.198888, 0.62482, 0.838333, 1.15787, 1.65534, 2.4557, 3.77722", \ + "0.264096, 0.798854, 1.06076, 1.43302, 1.98888, 2.837, 4.18143" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.055, 0.409967, 0.651372, 1.05005, 1.7174, 2.82948, 4.6808", \ + "0.0625306, 0.410219, 0.651373, 1.05006, 1.71741, 2.82949, 4.68204", \ + "0.0729477, 0.41294, 0.651565, 1.05007, 1.71758, 2.82965, 4.68247", \ + "0.0930514, 0.427955, 0.659743, 1.05227, 1.71759, 2.82966, 4.6829", \ + "0.126794, 0.473913, 0.696648, 1.07459, 1.72495, 2.83091, 4.6849", \ + "0.178089, 0.567753, 0.791114, 1.15645, 1.78093, 2.85452, 4.69619", \ + "0.257733, 0.72738, 0.97145, 1.3462, 1.95761, 2.98882, 4.75827" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0367429, 0.168696, 0.257155, 0.402747, 0.646048, 1.05091, 1.72539", \ + "0.058275, 0.201143, 0.289714, 0.435596, 0.67903, 1.08385, 1.75835", \ + "0.0717388, 0.230279, 0.320755, 0.467034, 0.710604, 1.11546, 1.79002", \ + "0.0928654, 0.282769, 0.380512, 0.53197, 0.777372, 1.18263, 1.85727", \ + "0.117087, 0.359197, 0.473172, 0.640877, 0.899236, 1.31031, 1.98559", \ + "0.14901, 0.468438, 0.612869, 0.812837, 1.10545, 1.54656, 2.2394", \ + "0.188104, 0.61872, 0.805847, 1.06402, 1.4216, 1.93323, 2.69264" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0316266, 0.206774, 0.326654, 0.524787, 0.856415, 1.40947, 2.33077", \ + "0.0422672, 0.209144, 0.327118, 0.524805, 0.856416, 1.40948, 2.33078", \ + "0.0534452, 0.217868, 0.332524, 0.526503, 0.859515, 1.40949, 2.33079", \ + "0.0749291, 0.242225, 0.352887, 0.540181, 0.862649, 1.41565, 2.3308", \ + "0.113081, 0.293251, 0.403509, 0.585342, 0.895493, 1.42766, 2.3354", \ + "0.174101, 0.385254, 0.502989, 0.688377, 0.991708, 1.50427, 2.38108", \ + "0.270915, 0.541885, 0.677869, 0.879205, 1.1972, 1.70357, 2.55076" \ + ); + } + } + timing () { + related_pin : "C1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0459806, 0.303055, 0.475619, 0.760233, 1.23704, 2.03161, 3.35718", \ + "0.0691726, 0.33227, 0.504931, 0.790139, 1.26709, 2.06202, 3.38658", \ + "0.0841091, 0.3624, 0.534723, 0.819898, 1.29651, 2.09143, 3.41589", \ + "0.107521, 0.422781, 0.597898, 0.882379, 1.35905, 2.15316, 3.48051", \ + "0.137215, 0.51794, 0.711257, 1.00596, 1.48335, 2.27582, 3.60146", \ + "0.183481, 0.655575, 0.886787, 1.21983, 1.72547, 2.52564, 3.8463", \ + "0.25385, 0.850313, 1.13824, 1.54172, 2.1288, 2.99935, 4.34926" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0461163, 0.401676, 0.643159, 1.04273, 1.71133, 2.82555, 4.6808", \ + "0.0583138, 0.401927, 0.643226, 1.04274, 1.71134, 2.82557, 4.68283", \ + "0.0692792, 0.406432, 0.646884, 1.04275, 1.71135, 2.82558, 4.68284", \ + "0.0885235, 0.429731, 0.656678, 1.04533, 1.71136, 2.82559, 4.68285", \ + "0.117666, 0.492832, 0.711835, 1.0813, 1.72506, 2.82863, 4.68483", \ + "0.166559, 0.605906, 0.835458, 1.19827, 1.80955, 2.86646, 4.6914", \ + "0.247885, 0.788378, 1.05124, 1.441, 2.05496, 3.06602, 4.8008" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0191552, 0.0899088, 0.136558, 0.213457, 0.342253, 0.556744, 0.913715", \ + "0.0363, 0.134883, 0.183471, 0.260766, 0.389421, 0.603928, 0.96079", \ + "0.0449965, 0.169461, 0.224204, 0.305698, 0.435356, 0.649629, 1.00665", \ + "0.0581107, 0.224866, 0.293244, 0.387964, 0.527977, 0.746486, 1.10372", \ + "0.0686997, 0.299497, 0.390231, 0.511661, 0.679489, 0.921543, 1.2907", \ + "0.0779192, 0.396372, 0.523301, 0.688167, 0.906789, 1.2041, 1.62569", \ + "0.0787296, 0.521336, 0.693121, 0.92175, 1.22512, 1.61899, 2.15152" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.014267, 0.107184, 0.171931, 0.278752, 0.457822, 0.756346, 1.25379", \ + "0.032154, 0.118658, 0.177888, 0.280698, 0.457954, 0.756406, 1.2538", \ + "0.0460907, 0.138101, 0.194993, 0.291836, 0.462452, 0.756844, 1.25384", \ + "0.0698343, 0.17672, 0.235018, 0.329221, 0.489903, 0.770582, 1.26095", \ + "0.108948, 0.24241, 0.310185, 0.409271, 0.568007, 0.83205, 1.29245", \ + "0.172287, 0.35209, 0.434176, 0.552383, 0.723991, 0.991255, 1.42918", \ + "0.277841, 0.526775, 0.636698, 0.785321, 0.994704, 1.29566, 1.75007" \ + ); + } + } + timing () { + related_pin : "C1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0459806, 0.303055, 0.475619, 0.760233, 1.23704, 2.03161, 3.35718", \ + "0.0691726, 0.33227, 0.504931, 0.790139, 1.26709, 2.06202, 3.38658", \ + "0.0841091, 0.3624, 0.534723, 0.819898, 1.29651, 2.09143, 3.41589", \ + "0.107521, 0.422781, 0.597898, 0.882379, 1.35905, 2.15316, 3.48051", \ + "0.137215, 0.51794, 0.711257, 1.00596, 1.48335, 2.27582, 3.60146", \ + "0.183481, 0.655575, 0.886787, 1.21983, 1.72547, 2.52564, 3.8463", \ + "0.25385, 0.850313, 1.13824, 1.54172, 2.1288, 2.99935, 4.34926" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0461163, 0.401676, 0.643159, 1.04273, 1.71133, 2.82555, 4.6808", \ + "0.0583138, 0.401927, 0.643226, 1.04274, 1.71134, 2.82557, 4.68283", \ + "0.0692792, 0.406432, 0.646884, 1.04275, 1.71135, 2.82558, 4.68284", \ + "0.0885235, 0.429731, 0.656678, 1.04533, 1.71136, 2.82559, 4.68285", \ + "0.117666, 0.492832, 0.711835, 1.0813, 1.72506, 2.82863, 4.68483", \ + "0.166559, 0.605906, 0.835458, 1.19827, 1.80955, 2.86646, 4.6914", \ + "0.247885, 0.788378, 1.05124, 1.441, 2.05496, 3.06602, 4.8008" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0191552, 0.0899088, 0.136558, 0.213457, 0.342253, 0.556744, 0.913715", \ + "0.0363, 0.134883, 0.183471, 0.260766, 0.389421, 0.603928, 0.96079", \ + "0.0449965, 0.169461, 0.224204, 0.305698, 0.435356, 0.649629, 1.00665", \ + "0.0581107, 0.224866, 0.293244, 0.387964, 0.527977, 0.746486, 1.10372", \ + "0.0686997, 0.299497, 0.390231, 0.511661, 0.679489, 0.921543, 1.2907", \ + "0.0779192, 0.396372, 0.523301, 0.688167, 0.906789, 1.2041, 1.62569", \ + "0.0787296, 0.521336, 0.693121, 0.92175, 1.22512, 1.61899, 2.15152" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.014267, 0.107184, 0.171931, 0.278752, 0.457822, 0.756346, 1.25379", \ + "0.032154, 0.118658, 0.177888, 0.280698, 0.457954, 0.756406, 1.2538", \ + "0.0460907, 0.138101, 0.194993, 0.291836, 0.462452, 0.756844, 1.25384", \ + "0.0698343, 0.17672, 0.235018, 0.329221, 0.489903, 0.770582, 1.26095", \ + "0.108948, 0.24241, 0.310185, 0.409271, 0.568007, 0.83205, 1.29245", \ + "0.172287, 0.35209, 0.434176, 0.552383, 0.723991, 0.991255, 1.42918", \ + "0.277841, 0.526775, 0.636698, 0.785321, 0.994704, 1.29566, 1.75007" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0100716, 0.0102897, 0.010203, 0.0100493, 0.00977013, 0.00978009, 0.00980839", \ + "0.00966921, 0.0100813, 0.0101089, 0.00996138, 0.00973837, 0.00927086, 0.00986117", \ + "0.00961423, 0.0100306, 0.00999505, 0.00987339, 0.00968821, 0.00927637, 0.00954267", \ + "0.00981654, 0.00993629, 0.00986358, 0.0104748, 0.0096294, 0.00914983, 0.00998222", \ + "0.0111921, 0.0103427, 0.0101828, 0.0103121, 0.0096875, 0.00928391, 0.00962325", \ + "0.015745, 0.0126762, 0.0120349, 0.0112699, 0.0109182, 0.0104506, 0.0106733", \ + "0.0269449, 0.0199717, 0.0182195, 0.0165575, 0.0146475, 0.0132738, 0.0121383" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00794079, 0.00784528, 0.00776827, 0.00756879, 0.0073339, 0.00679206, 0.00610123", \ + "0.00767562, 0.00765432, 0.00797373, 0.00743469, 0.00718554, 0.0066607, 0.00595322", \ + "0.00789309, 0.00772802, 0.00766502, 0.0076249, 0.00716793, 0.00668404, 0.00596791", \ + "0.0087338, 0.00796372, 0.00777061, 0.00783577, 0.00751429, 0.00670061, 0.00584393", \ + "0.011064, 0.00905378, 0.00868546, 0.00812357, 0.0079851, 0.0072531, 0.00599032", \ + "0.0166989, 0.0122475, 0.0113069, 0.0103122, 0.00902453, 0.00812794, 0.00792368", \ + "0.0281257, 0.0209072, 0.0184683, 0.0162564, 0.0141116, 0.0117508, 0.00925579" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(!B1 * B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00974159, 0.0100639, 0.00997681, 0.00982519, 0.00951542, 0.00955862, 0.00956771", \ + "0.00938421, 0.00986076, 0.00986337, 0.00974605, 0.00948285, 0.00953955, 0.00964514", \ + "0.00935588, 0.00974, 0.00975114, 0.00967156, 0.00944396, 0.00949985, 0.00942782", \ + "0.00960663, 0.00970641, 0.00962722, 0.00985828, 0.0093498, 0.00901158, 0.0096658", \ + "0.0111209, 0.0100815, 0.00995813, 0.0100316, 0.0097942, 0.00948678, 0.00941085", \ + "0.0159126, 0.0124262, 0.0118099, 0.011092, 0.0110809, 0.0100526, 0.0104521", \ + "0.0273009, 0.0200141, 0.0182786, 0.0162945, 0.0143671, 0.0131122, 0.0121308" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00582761, 0.00573243, 0.00568537, 0.00548601, 0.00522439, 0.00478687, 0.00401314", \ + "0.00556806, 0.00556776, 0.00582078, 0.00538041, 0.00510278, 0.00464752, 0.00396085", \ + "0.0057834, 0.00561116, 0.00551864, 0.00557064, 0.00503671, 0.00459117, 0.00391735", \ + "0.00662284, 0.00591753, 0.00565918, 0.00584027, 0.00541317, 0.00462095, 0.00390748", \ + "0.0089704, 0.00704944, 0.00656643, 0.00599398, 0.00583535, 0.00485502, 0.00396533", \ + "0.014603, 0.0101558, 0.00911933, 0.00819474, 0.00694483, 0.00610483, 0.00512871", \ + "0.0260817, 0.0187658, 0.0163531, 0.0140786, 0.0119896, 0.00971732, 0.00709724" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(!B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0121775, 0.0124732, 0.012392, 0.0122552, 0.0122363, 0.0119654, 0.0116754", \ + "0.0118085, 0.0122987, 0.0123755, 0.0121692, 0.0118811, 0.0119796, 0.0118965", \ + "0.0117826, 0.012129, 0.0121623, 0.012076, 0.0118593, 0.011973, 0.0117223", \ + "0.0120333, 0.0120625, 0.0123386, 0.0122226, 0.0117609, 0.01192, 0.0117336", \ + "0.0135136, 0.0125639, 0.0123119, 0.0124674, 0.0121967, 0.0121783, 0.0119549", \ + "0.0182778, 0.0149156, 0.0143002, 0.0136168, 0.0131845, 0.0126619, 0.0134667", \ + "0.0297571, 0.0224437, 0.0206562, 0.0188488, 0.0169731, 0.015125, 0.0150917" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0047354, 0.00464348, 0.00459394, 0.0044097, 0.00416536, 0.00367385, 0.00289138", \ + "0.00445261, 0.00447429, 0.0044295, 0.0042282, 0.00397753, 0.00355739, 0.00288635", \ + "0.00464725, 0.00451055, 0.00446156, 0.00446819, 0.00402611, 0.00352549, 0.00289606", \ + "0.00548732, 0.00474853, 0.00458346, 0.00451137, 0.00441214, 0.00350908, 0.00282308", \ + "0.00783432, 0.0059603, 0.00551009, 0.00494502, 0.00472227, 0.00386067, 0.0029396", \ + "0.0133732, 0.00920696, 0.00822426, 0.00723241, 0.00600834, 0.00510573, 0.00408117", \ + "0.0250507, 0.0179657, 0.0155562, 0.0133688, 0.0111524, 0.00897661, 0.00613271" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0100716, 0.0102897, 0.010203, 0.0100493, 0.00977013, 0.00978009, 0.00980839", \ + "0.00966921, 0.0100813, 0.0101089, 0.00996138, 0.00973837, 0.00927086, 0.00986117", \ + "0.00961423, 0.0100306, 0.00999505, 0.00987339, 0.00968821, 0.00927637, 0.00954267", \ + "0.00981654, 0.00993629, 0.00986358, 0.0104748, 0.0096294, 0.00914983, 0.00998222", \ + "0.0111921, 0.0103427, 0.0101828, 0.0103121, 0.0096875, 0.00928391, 0.00962325", \ + "0.015745, 0.0126762, 0.0120349, 0.0112699, 0.0109182, 0.0104506, 0.0106733", \ + "0.0269449, 0.0199717, 0.0182195, 0.0165575, 0.0146475, 0.0132738, 0.0121383" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00582761, 0.00573243, 0.00568537, 0.00548601, 0.00522439, 0.00478687, 0.00401314", \ + "0.00556806, 0.00556776, 0.00582078, 0.00538041, 0.00510278, 0.00464752, 0.00396085", \ + "0.0057834, 0.00561116, 0.00551864, 0.00557064, 0.00503671, 0.00459117, 0.00391735", \ + "0.00662284, 0.00591753, 0.00565918, 0.00584027, 0.00541317, 0.00462095, 0.00390748", \ + "0.0089704, 0.00704944, 0.00656643, 0.00599398, 0.00583535, 0.00485502, 0.00396533", \ + "0.014603, 0.0101558, 0.00911933, 0.00819474, 0.00694483, 0.00610483, 0.00512871", \ + "0.0260817, 0.0187658, 0.0163531, 0.0140786, 0.0119896, 0.00971732, 0.00709724" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0102031, 0.0101716, 0.0100737, 0.0099152, 0.00963292, 0.0096273, 0.00932779", \ + "0.00996856, 0.0100681, 0.0100054, 0.00986431, 0.00960895, 0.00961804, 0.00947381", \ + "0.00993719, 0.0101133, 0.00995621, 0.00982954, 0.00956808, 0.00959556, 0.00964857", \ + "0.0101153, 0.0101036, 0.00993956, 0.0104928, 0.00956291, 0.00910743, 0.00972832", \ + "0.0113746, 0.0105511, 0.0103299, 0.0102891, 0.00978483, 0.00967987, 0.00962182", \ + "0.0157746, 0.0129877, 0.0122975, 0.0115963, 0.0113103, 0.0100849, 0.0102986", \ + "0.0266509, 0.0205995, 0.0187958, 0.0170836, 0.0151247, 0.0135107, 0.0121504" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0100007, 0.00988215, 0.00977324, 0.00960349, 0.00932465, 0.0088705, 0.00817329", \ + "0.00974793, 0.00969872, 0.00995884, 0.009451, 0.00921681, 0.0087211, 0.00803481", \ + "0.00979565, 0.00975503, 0.00975798, 0.00965874, 0.00936923, 0.00867183, 0.00807053", \ + "0.0103295, 0.00992805, 0.0097584, 0.00972578, 0.00935825, 0.00879165, 0.00810521", \ + "0.0122973, 0.0107891, 0.0105055, 0.0100611, 0.00990128, 0.00896121, 0.00814256", \ + "0.0173657, 0.0135685, 0.0126802, 0.0119385, 0.0109213, 0.0100699, 0.00958852", \ + "0.0285271, 0.0214714, 0.0193133, 0.0171613, 0.0153459, 0.0134891, 0.0110139" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(!B1 * B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00994816, 0.0099367, 0.00984314, 0.00968412, 0.00940161, 0.009407, 0.00943383", \ + "0.00970534, 0.00985663, 0.00976391, 0.00964799, 0.00936408, 0.00890383, 0.00925895", \ + "0.00968415, 0.0098721, 0.00977584, 0.00959542, 0.00932507, 0.00944317, 0.00944623", \ + "0.00989075, 0.00985734, 0.00970118, 0.0102591, 0.00933112, 0.00887242, 0.00960287", \ + "0.0112691, 0.0103424, 0.0101217, 0.00996687, 0.00960719, 0.00955108, 0.00934048", \ + "0.0158536, 0.0128296, 0.0120928, 0.011307, 0.0109869, 0.0103402, 0.0104762", \ + "0.0268942, 0.0205165, 0.0186637, 0.0167591, 0.0147437, 0.0132132, 0.0119409" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00788962, 0.00775606, 0.00769223, 0.00750532, 0.00726602, 0.00680053, 0.0060805", \ + "0.00763561, 0.00764738, 0.00763087, 0.00738277, 0.00712569, 0.00664879, 0.00602023", \ + "0.0076829, 0.00764761, 0.00768073, 0.00747319, 0.00711563, 0.00664484, 0.0059355", \ + "0.00823706, 0.00783398, 0.00764242, 0.00781182, 0.00731141, 0.00663292, 0.00590777", \ + "0.010204, 0.00871214, 0.00838229, 0.00790671, 0.00777485, 0.00693983, 0.00599604", \ + "0.015289, 0.0114946, 0.010629, 0.00990527, 0.0088288, 0.0080048, 0.00861934", \ + "0.0264699, 0.0192016, 0.0171701, 0.0150497, 0.0133303, 0.0114718, 0.00894606" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(!B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0123534, 0.0123543, 0.0122613, 0.0120706, 0.011764, 0.0117928, 0.0118223", \ + "0.0121277, 0.0123152, 0.0122481, 0.0121046, 0.0117814, 0.0118083, 0.0116345", \ + "0.0121091, 0.0122301, 0.0121383, 0.0120182, 0.0117383, 0.0118275, 0.0115218", \ + "0.0123133, 0.012191, 0.0121797, 0.0120725, 0.0117217, 0.0117954, 0.0115505", \ + "0.0136678, 0.0127509, 0.0124396, 0.0125587, 0.0119532, 0.0121307, 0.011822", \ + "0.0182251, 0.0151469, 0.0145802, 0.0137435, 0.0133231, 0.0125744, 0.0124189", \ + "0.029342, 0.0229805, 0.0210411, 0.0194502, 0.0175337, 0.0154271, 0.0157353" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00678387, 0.00666359, 0.00660569, 0.00643365, 0.00616956, 0.0056907, 0.00489345", \ + "0.00651336, 0.00653108, 0.00669567, 0.00628012, 0.00604872, 0.00552574, 0.00486613", \ + "0.00655619, 0.00654076, 0.00647212, 0.00643842, 0.00603871, 0.00555512, 0.00486996", \ + "0.00708934, 0.00669488, 0.00657337, 0.00650385, 0.0060283, 0.00553422, 0.0049405", \ + "0.00905479, 0.00762906, 0.00733744, 0.00692777, 0.00668678, 0.00582494, 0.0049679", \ + "0.0141687, 0.0104604, 0.0095769, 0.00892462, 0.00783213, 0.00694993, 0.00715679", \ + "0.0253945, 0.018488, 0.0163281, 0.0143386, 0.0123527, 0.0105354, 0.00808623" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0102031, 0.0101716, 0.0100737, 0.0099152, 0.00963292, 0.0096273, 0.00932779", \ + "0.00996856, 0.0100681, 0.0100054, 0.00986431, 0.00960895, 0.00961804, 0.00947381", \ + "0.00993719, 0.0101133, 0.00995621, 0.00982954, 0.00956808, 0.00959556, 0.00964857", \ + "0.0101153, 0.0101036, 0.00993956, 0.0104928, 0.00956291, 0.00910743, 0.00972832", \ + "0.0113746, 0.0105511, 0.0103299, 0.0102891, 0.00978483, 0.00967987, 0.00962182", \ + "0.0157746, 0.0129877, 0.0122975, 0.0115963, 0.0113103, 0.0100849, 0.0102986", \ + "0.0266509, 0.0205995, 0.0187958, 0.0170836, 0.0151247, 0.0135107, 0.0121504" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00788962, 0.00775606, 0.00769223, 0.00750532, 0.00726602, 0.00680053, 0.0060805", \ + "0.00763561, 0.00764738, 0.00763087, 0.00738277, 0.00712569, 0.00664879, 0.00602023", \ + "0.0076829, 0.00764761, 0.00768073, 0.00747319, 0.00711563, 0.00664484, 0.0059355", \ + "0.00823706, 0.00783398, 0.00764242, 0.00781182, 0.00731141, 0.00663292, 0.00590777", \ + "0.010204, 0.00871214, 0.00838229, 0.00790671, 0.00777485, 0.00693983, 0.00599604", \ + "0.015289, 0.0114946, 0.010629, 0.00990527, 0.0088288, 0.0080048, 0.00861934", \ + "0.0264699, 0.0192016, 0.0171701, 0.0150497, 0.0133303, 0.0114718, 0.00894606" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00832227, 0.00852837, 0.00844595, 0.00829879, 0.00800831, 0.00802411, 0.0078317", \ + "0.00792234, 0.00831915, 0.008322, 0.008223, 0.00796178, 0.00800183, 0.00802721", \ + "0.00796305, 0.00826135, 0.00834429, 0.00812357, 0.00790012, 0.00748896, 0.0080897", \ + "0.0084526, 0.00830955, 0.00818103, 0.00877454, 0.00789886, 0.00794742, 0.0079436", \ + "0.0103726, 0.00890979, 0.00869514, 0.00847628, 0.00804216, 0.00803897, 0.00832884", \ + "0.0151543, 0.01153, 0.0108058, 0.00995134, 0.00973041, 0.00891543, 0.00892054", \ + "0.0257049, 0.0188148, 0.0169371, 0.0151221, 0.0130079, 0.0116208, 0.0107687" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00533175, 0.00537951, 0.00529117, 0.00513463, 0.00483872, 0.00440424, 0.0036485", \ + "0.00510149, 0.00528478, 0.0055318, 0.00510106, 0.00482995, 0.00435688, 0.00373918", \ + "0.00530329, 0.00533051, 0.00527389, 0.0053001, 0.00481956, 0.00438408, 0.00378861", \ + "0.00620621, 0.00554643, 0.00536977, 0.00556173, 0.00485, 0.00436423, 0.00373256", \ + "0.00860461, 0.00655329, 0.00618868, 0.00568392, 0.00560361, 0.00497345, 0.00376913", \ + "0.0137872, 0.00958996, 0.00865592, 0.00776521, 0.00665749, 0.00590612, 0.00540938", \ + "0.0251168, 0.0176754, 0.0155646, 0.0133762, 0.0114775, 0.00934357, 0.00678495" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00798389, 0.00830366, 0.00823223, 0.00807794, 0.00778973, 0.00780182, 0.00780946", \ + "0.00763927, 0.00810201, 0.00811384, 0.00798635, 0.00777684, 0.00721395, 0.00787398", \ + "0.00771357, 0.00796025, 0.00811714, 0.00793181, 0.00770372, 0.00776066, 0.00767403", \ + "0.008293, 0.00806574, 0.00796527, 0.00814403, 0.00792459, 0.00773822, 0.00795206", \ + "0.0103702, 0.00870839, 0.00844127, 0.00828026, 0.0081274, 0.00797389, 0.00820161", \ + "0.0153552, 0.0113358, 0.0106068, 0.00971426, 0.00914986, 0.0088779, 0.00854776", \ + "0.0260635, 0.0187455, 0.0168752, 0.0149874, 0.0129763, 0.0114653, 0.0103536" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00321695, 0.00328173, 0.00320179, 0.00304128, 0.00280137, 0.0022966, 0.00155721", \ + "0.00296299, 0.00324639, 0.00334528, 0.00301391, 0.00277709, 0.00232035, 0.00162459", \ + "0.0032012, 0.00321983, 0.00320504, 0.00313773, 0.0028333, 0.00233027, 0.00162507", \ + "0.00409595, 0.00344385, 0.00325448, 0.00344726, 0.00298156, 0.00233108, 0.00164768", \ + "0.0065337, 0.00446895, 0.00411289, 0.00365096, 0.00345497, 0.00290789, 0.00160209", \ + "0.0117236, 0.00749908, 0.00659942, 0.00562691, 0.00455937, 0.00380531, 0.00277351", \ + "0.0230439, 0.015564, 0.0134499, 0.0113698, 0.0095364, 0.00723675, 0.00472423" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00800225, 0.00829624, 0.00823541, 0.00805102, 0.00779028, 0.00779062, 0.00767373", \ + "0.00765698, 0.00811397, 0.00816518, 0.00798557, 0.00773784, 0.0078423, 0.0076408", \ + "0.00774804, 0.00801932, 0.00816371, 0.00791467, 0.00769421, 0.00784671, 0.00756596", \ + "0.00841423, 0.00804742, 0.00817232, 0.00812855, 0.00768131, 0.00785429, 0.0077734", \ + "0.0106224, 0.00887542, 0.00853749, 0.0085484, 0.00791404, 0.00819497, 0.00767771", \ + "0.0158908, 0.011686, 0.0108874, 0.00995157, 0.00941032, 0.00868309, 0.00893728", \ + "0.0271659, 0.019537, 0.0175995, 0.0156797, 0.0135216, 0.0115315, 0.0110762" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00311245, 0.00317602, 0.00309286, 0.00295109, 0.00269774, 0.00217588, 0.00144116", \ + "0.00288133, 0.003093, 0.00307383, 0.00289698, 0.00257553, 0.00218666, 0.0014391", \ + "0.00315793, 0.00309011, 0.003131, 0.00290614, 0.00259327, 0.0021836, 0.00150334", \ + "0.00417014, 0.00334767, 0.00314526, 0.00322683, 0.00283868, 0.00222992, 0.0015213", \ + "0.0066602, 0.00445575, 0.00409423, 0.00347814, 0.00339781, 0.00288176, 0.00147576", \ + "0.0123027, 0.00773155, 0.00676902, 0.00587306, 0.00454604, 0.00359829, 0.00355842", \ + "0.0238105, 0.016284, 0.0140534, 0.0115782, 0.0097251, 0.00741767, 0.00483723" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00800225, 0.00829624, 0.00823541, 0.00805102, 0.00779028, 0.00779062, 0.00767373", \ + "0.00765698, 0.00811397, 0.00816518, 0.00798557, 0.00773784, 0.0078423, 0.0076408", \ + "0.00774804, 0.00801932, 0.00816371, 0.00791467, 0.00769421, 0.00784671, 0.00756596", \ + "0.00841423, 0.00804742, 0.00817232, 0.00812855, 0.00768131, 0.00785429, 0.0077734", \ + "0.0106224, 0.00887542, 0.00853749, 0.0085484, 0.00791404, 0.00819497, 0.00767771", \ + "0.0158908, 0.011686, 0.0108874, 0.00995157, 0.00941032, 0.00868309, 0.00893728", \ + "0.0271659, 0.019537, 0.0175995, 0.0156797, 0.0135216, 0.0115315, 0.0110762" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00311245, 0.00317602, 0.00309286, 0.00295109, 0.00269774, 0.00217588, 0.00144116", \ + "0.00288133, 0.003093, 0.00307383, 0.00289698, 0.00257553, 0.00218666, 0.0014391", \ + "0.00315793, 0.00309011, 0.003131, 0.00290614, 0.00259327, 0.0021836, 0.00150334", \ + "0.00417014, 0.00334767, 0.00314526, 0.00322683, 0.00283868, 0.00222992, 0.0015213", \ + "0.0066602, 0.00445575, 0.00409423, 0.00347814, 0.00339781, 0.00288176, 0.00147576", \ + "0.0123027, 0.00773155, 0.00676902, 0.00587306, 0.00454604, 0.00359829, 0.00355842", \ + "0.0238105, 0.016284, 0.0140534, 0.0115782, 0.0097251, 0.00741767, 0.00483723" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00843822, 0.00838629, 0.0082897, 0.0081376, 0.00784869, 0.00784359, 0.00787935", \ + "0.0081934, 0.00828088, 0.0082254, 0.0080852, 0.0078342, 0.00734531, 0.00764883", \ + "0.00823849, 0.00825577, 0.00818725, 0.00805549, 0.00783199, 0.00739034, 0.00774455", \ + "0.00869503, 0.00843268, 0.00826116, 0.00812066, 0.00779844, 0.0073499, 0.00779882", \ + "0.0105114, 0.00910858, 0.00880501, 0.00886296, 0.00824362, 0.00757841, 0.00812865", \ + "0.0151724, 0.0118537, 0.0110611, 0.010021, 0.00983333, 0.00856141, 0.00887709", \ + "0.0253847, 0.0193522, 0.0175311, 0.0155737, 0.0133524, 0.0118613, 0.0107364" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00747372, 0.00746012, 0.0073799, 0.00719349, 0.00694643, 0.00643741, 0.00567634", \ + "0.00709982, 0.00736632, 0.00752016, 0.00719135, 0.00694061, 0.00644024, 0.00580358", \ + "0.00714831, 0.0073622, 0.0074891, 0.00725326, 0.00723448, 0.00637247, 0.00570647", \ + "0.00777325, 0.0075106, 0.00738114, 0.0073861, 0.0070513, 0.00704081, 0.00571522", \ + "0.00977682, 0.00828241, 0.00804195, 0.00760434, 0.00748847, 0.00685615, 0.00583506", \ + "0.0146972, 0.0109073, 0.0101142, 0.00947462, 0.00849294, 0.00762156, 0.00750821", \ + "0.0251873, 0.0183996, 0.016352, 0.0144025, 0.0126844, 0.0109563, 0.00861915" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00816097, 0.00815308, 0.00806531, 0.00790815, 0.00762128, 0.0076231, 0.0076497", \ + "0.00793669, 0.00804258, 0.00799303, 0.00785045, 0.00759945, 0.00758702, 0.00737852", \ + "0.00800505, 0.00812823, 0.00799137, 0.00784163, 0.00757919, 0.00760392, 0.00764797", \ + "0.00851757, 0.0082019, 0.00800838, 0.00851168, 0.00755892, 0.00760348, 0.00777461", \ + "0.0104708, 0.0088903, 0.0085768, 0.00863507, 0.00796975, 0.00790988, 0.00811956", \ + "0.01528, 0.0116347, 0.0107852, 0.00980028, 0.00923712, 0.00832671, 0.00861684", \ + "0.0256068, 0.0192662, 0.0172715, 0.0153141, 0.0132088, 0.0115545, 0.0106302" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00535803, 0.00535995, 0.00528344, 0.00510109, 0.00486645, 0.00438304, 0.00358887", \ + "0.00498866, 0.00527465, 0.00539306, 0.00513891, 0.00481206, 0.00439698, 0.00367283", \ + "0.00504839, 0.00525689, 0.0053156, 0.00510547, 0.00479719, 0.00435095, 0.00369905", \ + "0.00566448, 0.00543428, 0.00526763, 0.00547113, 0.00494761, 0.00458643, 0.00378898", \ + "0.00768376, 0.00618066, 0.00600298, 0.00558788, 0.00541821, 0.00467724, 0.00365272", \ + "0.0126081, 0.00882828, 0.00808882, 0.00743085, 0.00641693, 0.00558647, 0.00595628", \ + "0.0231242, 0.0163119, 0.0142823, 0.0124784, 0.0106562, 0.00904074, 0.00654878" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00816472, 0.00816236, 0.00805897, 0.00790451, 0.00764363, 0.00759591, 0.00738641", \ + "0.00795675, 0.00806492, 0.00810421, 0.00785634, 0.00760415, 0.00759254, 0.00739595", \ + "0.00804162, 0.00806382, 0.00806036, 0.00783351, 0.00756411, 0.00766788, 0.0074557", \ + "0.00862292, 0.00817957, 0.0081398, 0.00810493, 0.00760645, 0.00768244, 0.00748141", \ + "0.0107121, 0.00908478, 0.00867396, 0.008602, 0.00784545, 0.00782602, 0.00787599", \ + "0.0157926, 0.0119588, 0.0111312, 0.0101041, 0.00952802, 0.00885955, 0.00853673", \ + "0.0266678, 0.0201055, 0.017975, 0.0160957, 0.0138933, 0.011653, 0.0106168" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00525431, 0.00525893, 0.00516421, 0.00501449, 0.0047599, 0.00428118, 0.00348663", \ + "0.00491503, 0.00518368, 0.00525433, 0.00495863, 0.00467191, 0.00425213, 0.00356653", \ + "0.00499867, 0.00513354, 0.005206, 0.00496729, 0.00509149, 0.00422098, 0.00351729", \ + "0.00568135, 0.00529456, 0.00514904, 0.00523748, 0.0047876, 0.00422929, 0.00363118", \ + "0.00784397, 0.0061833, 0.00593074, 0.00554272, 0.00530947, 0.00447168, 0.0043146", \ + "0.0130651, 0.00900483, 0.00820044, 0.00746289, 0.00652359, 0.00562237, 0.00587048", \ + "0.0241546, 0.0170266, 0.0148004, 0.0128031, 0.0109618, 0.00890491, 0.0066958" \ + ); + } + } + internal_power () { + related_pin : "B2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00816472, 0.00816236, 0.00805897, 0.00790451, 0.00764363, 0.00759591, 0.00738641", \ + "0.00795675, 0.00806492, 0.00810421, 0.00785634, 0.00760415, 0.00759254, 0.00739595", \ + "0.00804162, 0.00806382, 0.00806036, 0.00783351, 0.00756411, 0.00766788, 0.0074557", \ + "0.00862292, 0.00817957, 0.0081398, 0.00810493, 0.00760645, 0.00768244, 0.00748141", \ + "0.0107121, 0.00908478, 0.00867396, 0.008602, 0.00784545, 0.00782602, 0.00787599", \ + "0.0157926, 0.0119588, 0.0111312, 0.0101041, 0.00952802, 0.00885955, 0.00853673", \ + "0.0266678, 0.0201055, 0.017975, 0.0160957, 0.0138933, 0.011653, 0.0106168" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00525431, 0.00525893, 0.00516421, 0.00501449, 0.0047599, 0.00428118, 0.00348663", \ + "0.00491503, 0.00518368, 0.00525433, 0.00495863, 0.00467191, 0.00425213, 0.00356653", \ + "0.00499867, 0.00513354, 0.005206, 0.00496729, 0.00509149, 0.00422098, 0.00351729", \ + "0.00568135, 0.00529456, 0.00514904, 0.00523748, 0.0047876, 0.00422929, 0.00363118", \ + "0.00784397, 0.0061833, 0.00593074, 0.00554272, 0.00530947, 0.00447168, 0.0043146", \ + "0.0130651, 0.00900483, 0.00820044, 0.00746289, 0.00652359, 0.00562237, 0.00587048", \ + "0.0241546, 0.0170266, 0.0148004, 0.0128031, 0.0109618, 0.00890491, 0.0066958" \ + ); + } + } + internal_power () { + related_pin : "C1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00404999, 0.00439435, 0.0043294, 0.00418308, 0.00390825, 0.00391791, 0.00401534", \ + "0.00405395, 0.00415885, 0.00415401, 0.00402186, 0.00383779, 0.00386543, 0.00374094", \ + "0.00452405, 0.00425103, 0.00426332, 0.00402221, 0.00374166, 0.00382529, 0.00362855", \ + "0.00556667, 0.00454922, 0.00428905, 0.00470684, 0.00378762, 0.0038454, 0.0040621", \ + "0.00817868, 0.00581336, 0.00529228, 0.00487123, 0.00420828, 0.00412336, 0.00407159", \ + "0.0139351, 0.00970011, 0.00857958, 0.00728383, 0.00641424, 0.0057989, 0.00483166", \ + "0.0252484, 0.0180902, 0.016116, 0.0138332, 0.0113033, 0.00918217, 0.00781229" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00377764, 0.0042412, 0.00419046, 0.00401287, 0.00376257, 0.0033214, 0.00246177", \ + "0.00375859, 0.00421085, 0.0044463, 0.00435735, 0.0038562, 0.00339964, 0.00252456", \ + "0.00411234, 0.00425159, 0.00418179, 0.00445541, 0.00426707, 0.00339503, 0.00256798", \ + "0.00522181, 0.00451181, 0.00449962, 0.00416147, 0.00420234, 0.00344824, 0.00320738", \ + "0.00792221, 0.00551505, 0.0052447, 0.00492497, 0.00424182, 0.00411372, 0.00286023", \ + "0.0137602, 0.00870666, 0.00775436, 0.00691156, 0.00620883, 0.00488241, 0.00363289", \ + "0.0261488, 0.0173501, 0.0150256, 0.0127519, 0.0103905, 0.00887274, 0.00734138" \ + ); + } + } + internal_power () { + related_pin : "C1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00404999, 0.00439435, 0.0043294, 0.00418308, 0.00390825, 0.00391791, 0.00401534", \ + "0.00405395, 0.00415885, 0.00415401, 0.00402186, 0.00383779, 0.00386543, 0.00374094", \ + "0.00452405, 0.00425103, 0.00426332, 0.00402221, 0.00374166, 0.00382529, 0.00362855", \ + "0.00556667, 0.00454922, 0.00428905, 0.00470684, 0.00378762, 0.0038454, 0.0040621", \ + "0.00817868, 0.00581336, 0.00529228, 0.00487123, 0.00420828, 0.00412336, 0.00407159", \ + "0.0139351, 0.00970011, 0.00857958, 0.00728383, 0.00641424, 0.0057989, 0.00483166", \ + "0.0252484, 0.0180902, 0.016116, 0.0138332, 0.0113033, 0.00918217, 0.00781229" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00377764, 0.0042412, 0.00419046, 0.00401287, 0.00376257, 0.0033214, 0.00246177", \ + "0.00375859, 0.00421085, 0.0044463, 0.00435735, 0.0038562, 0.00339964, 0.00252456", \ + "0.00411234, 0.00425159, 0.00418179, 0.00445541, 0.00426707, 0.00339503, 0.00256798", \ + "0.00522181, 0.00451181, 0.00449962, 0.00416147, 0.00420234, 0.00344824, 0.00320738", \ + "0.00792221, 0.00551505, 0.0052447, 0.00492497, 0.00424182, 0.00411372, 0.00286023", \ + "0.0137602, 0.00870666, 0.00775436, 0.00691156, 0.00620883, 0.00488241, 0.00363289", \ + "0.0261488, 0.0173501, 0.0150256, 0.0127519, 0.0103905, 0.00887274, 0.00734138" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00316021; + rise_capacitance : 0.00310223; + rise_capacitance_range (0.00310223, 0.00310223); + fall_capacitance : 0.0032182; + fall_capacitance_range (0.0032182, 0.0032182); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00323155; + rise_capacitance : 0.00323254; + rise_capacitance_range (0.00323254, 0.00323254); + fall_capacitance : 0.00323056; + fall_capacitance_range (0.00323056, 0.00323056); + internal_power () { + when : "(B1 * B2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00291722; + rise_capacitance : 0.00286492; + rise_capacitance_range (0.00286492, 0.00286492); + fall_capacitance : 0.00296953; + fall_capacitance_range (0.00296953, 0.00296953); + internal_power () { + when : "C1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000823691, 0.000832075, 0.000836017, 0.000858426, 0.000889956, 0.000912989, 0.000925924" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00016013, -0.000154544, -0.000159421, -0.00016121, -0.000162168, -0.000158829, -0.000158892" \ + ); + } + } + internal_power () { + when : "(A1 * A2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000631957, 0.000629791, 0.000630601, 0.000638906, 0.000644828, 0.000647941, 0.0006432" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000631957, -0.000629791, -0.000630601, -0.000638906, -0.000644828, -0.000647941, -0.0006432" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000631957, 0.000629791, 0.000630601, 0.000638906, 0.000644828, 0.000647941, 0.0006432" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000631957, -0.000629791, -0.000630601, -0.000638906, -0.000644828, -0.000647941, -0.0006432" \ + ); + } + } + } + pin (B2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00300508; + rise_capacitance : 0.00300954; + rise_capacitance_range (0.00300954, 0.00300954); + fall_capacitance : 0.00300062; + fall_capacitance_range (0.00300062, 0.00300062); + internal_power () { + when : "C1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000829387, 0.000851473, 0.000864708, 0.00088935, 0.00091999, 0.000939642, 0.000947095" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000183947, -0.000178724, -0.000180947, -0.000182427, -0.000184246, -0.00018145, -0.000181195" \ + ); + } + } + internal_power () { + when : "(A1 * A2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00065231, 0.000652536, 0.000655449, 0.000658524, 0.000665078, 0.000671376, 0.000670275" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00065231, -0.000652536, -0.000655449, -0.000658524, -0.000665078, -0.000671376, -0.000670275" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00065231, 0.000652536, 0.000655449, 0.000658524, 0.000665078, 0.000671376, 0.000670275" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00065231, -0.000652536, -0.000655449, -0.000658524, -0.000665078, -0.000671376, -0.000670275" \ + ); + } + } + } + pin (C1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00267368; + rise_capacitance : 0.00258659; + rise_capacitance_range (0.00258659, 0.00258659); + fall_capacitance : 0.00276077; + fall_capacitance_range (0.00276077, 0.00276077); + internal_power () { + when : "(B1 * B2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000608352, 0.000603265, 0.000605899, 0.000611576, 0.000613982, 0.000613368, 0.000611773" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000713502, 0.000732305, 0.000742626, 0.000736849, 0.000746698, 0.000743391, 0.000751859" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000608352, 0.000603265, 0.000605899, 0.000611576, 0.000613982, 0.000613368, 0.000611773" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000713502, 0.000732305, 0.000742626, 0.000736849, 0.000746698, 0.000743391, 0.000751859" \ + ); + } + } + } + } + cell (sg13g2_a22oi_1) { + area : 10.8486; + cell_footprint : "a22oi"; + cell_leakage_power : 355.456; + leakage_power () { + value : 283.84; + when : "!A1*!A2*!B1*!B2"; + } + leakage_power () { + value : 221.756; + when : "!A1*!A2*!B1*B2"; + } + leakage_power () { + value : 343.135; + when : "!A1*!A2*B1*!B2"; + } + leakage_power () { + value : 451.267; + when : "!A1*!A2*B1*B2"; + } + leakage_power () { + value : 343.222; + when : "!A1*A2*!B1*!B2"; + } + leakage_power () { + value : 281.139; + when : "!A1*A2*!B1*B2"; + } + leakage_power () { + value : 402.518; + when : "!A1*A2*B1*!B2"; + } + leakage_power () { + value : 505.748; + when : "!A1*A2*B1*B2"; + } + leakage_power () { + value : 221.738; + when : "A1*!A2*!B1*!B2"; + } + leakage_power () { + value : 159.673; + when : "A1*!A2*!B1*B2"; + } + leakage_power () { + value : 281.043; + when : "A1*!A2*B1*!B2"; + } + leakage_power () { + value : 505.653; + when : "A1*!A2*B1*B2"; + } + leakage_power () { + value : 306.997; + when : "A1*A2*!B1*!B2"; + } + leakage_power () { + value : 433.573; + when : "A1*A2*!B1*B2"; + } + leakage_power () { + value : 433.572; + when : "A1*A2*B1*!B2"; + } + leakage_power () { + value : 512.419; + when : "A1*A2*B1*B2"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+(B1*B2))"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.033152, 0.0972185, 0.140795, 0.212805, 0.333208, 0.533723, 0.868137", \ + "0.0527812, 0.12908, 0.173907, 0.246311, 0.366822, 0.567484, 0.902035", \ + "0.0617709, 0.153542, 0.202423, 0.277441, 0.398709, 0.599644, 0.934599", \ + "0.0728319, 0.191561, 0.249307, 0.333323, 0.461833, 0.664913, 0.999427", \ + "0.0817132, 0.239575, 0.314223, 0.416916, 0.565169, 0.785323, 1.12841", \ + "0.0930263, 0.30133, 0.40074, 0.535743, 0.719744, 0.979887, 1.35999", \ + "0.0994556, 0.376334, 0.507574, 0.688082, 0.933568, 1.2628, 1.72281" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0197791, 0.106707, 0.167456, 0.268043, 0.436263, 0.71663, 1.18408", \ + "0.0320339, 0.112817, 0.170539, 0.268746, 0.436511, 0.716631, 1.18409", \ + "0.0434625, 0.126104, 0.181641, 0.275601, 0.438629, 0.717559, 1.18454", \ + "0.0660785, 0.154229, 0.21007, 0.300543, 0.455628, 0.724625, 1.18497", \ + "0.101596, 0.207856, 0.26777, 0.360441, 0.510948, 0.766627, 1.20783", \ + "0.155702, 0.290404, 0.364223, 0.469438, 0.627223, 0.879208, 1.30223", \ + "0.24157, 0.417961, 0.513176, 0.651404, 0.836132, 1.10866, 1.53338" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0368634, 0.101858, 0.145854, 0.21835, 0.339349, 0.540928, 0.87677", \ + "0.0625468, 0.140263, 0.185536, 0.258206, 0.379333, 0.580771, 0.916818", \ + "0.0785521, 0.170471, 0.220492, 0.296562, 0.418457, 0.619875, 0.955504", \ + "0.104252, 0.219423, 0.2796, 0.366503, 0.497557, 0.702931, 1.03884", \ + "0.137123, 0.285402, 0.362047, 0.469357, 0.623805, 0.85059, 1.19829", \ + "0.184704, 0.377038, 0.476039, 0.615623, 0.809831, 1.08493, 1.47983", \ + "0.254038, 0.509864, 0.636094, 0.81514, 1.07039, 1.42021, 1.91052" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0267888, 0.112601, 0.172216, 0.270925, 0.436297, 0.711167, 1.16952", \ + "0.0415955, 0.121737, 0.177428, 0.27262, 0.436298, 0.711168, 1.16953", \ + "0.0533211, 0.138941, 0.192691, 0.283145, 0.440768, 0.712579, 1.16954", \ + "0.0734711, 0.172588, 0.228079, 0.316608, 0.466624, 0.72572, 1.17338", \ + "0.106163, 0.228214, 0.292534, 0.387814, 0.537414, 0.78438, 1.20899", \ + "0.158822, 0.315381, 0.395657, 0.507888, 0.675352, 0.927889, 1.339", \ + "0.244858, 0.453405, 0.554003, 0.696396, 0.898469, 1.19261, 1.62572" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0369869, 0.100605, 0.144176, 0.216185, 0.336614, 0.5372, 0.871559", \ + "0.0593574, 0.133193, 0.177771, 0.249943, 0.370369, 0.571123, 0.905521", \ + "0.0704276, 0.15833, 0.206632, 0.28123, 0.402336, 0.603115, 0.938068", \ + "0.0855023, 0.197898, 0.254721, 0.337851, 0.465979, 0.66864, 1.00305", \ + "0.0996794, 0.248371, 0.321731, 0.422976, 0.569898, 0.789515, 1.13219", \ + "0.121123, 0.314917, 0.411465, 0.543875, 0.72622, 0.985873, 1.3639", \ + "0.144768, 0.399546, 0.526161, 0.702516, 0.943899, 1.27132, 1.72908" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0237847, 0.111056, 0.17188, 0.272478, 0.440729, 0.721224, 1.18871", \ + "0.0354386, 0.116775, 0.174796, 0.273315, 0.44073, 0.721225, 1.18872", \ + "0.0471093, 0.130045, 0.185656, 0.279914, 0.443105, 0.721474, 1.18924", \ + "0.0693861, 0.158207, 0.213773, 0.304597, 0.460123, 0.728585, 1.18956", \ + "0.103057, 0.211464, 0.271384, 0.36417, 0.515194, 0.770748, 1.21229", \ + "0.153873, 0.293686, 0.367998, 0.472592, 0.631111, 0.883629, 1.30603", \ + "0.234446, 0.417034, 0.514429, 0.649445, 0.835709, 1.11099, 1.53752" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0392177, 0.104021, 0.147993, 0.220462, 0.341457, 0.543026, 0.878856", \ + "0.0617982, 0.135152, 0.180149, 0.252944, 0.374116, 0.575685, 0.911493", \ + "0.0764199, 0.160153, 0.208328, 0.283522, 0.405534, 0.607267, 0.94312", \ + "0.0999506, 0.203221, 0.258187, 0.340616, 0.468995, 0.673733, 1.01018", \ + "0.129605, 0.262221, 0.330995, 0.42823, 0.572821, 0.791843, 1.13678", \ + "0.172207, 0.347055, 0.435064, 0.559166, 0.73434, 0.987381, 1.36436", \ + "0.231733, 0.469087, 0.584597, 0.743764, 0.96916, 1.28499, 1.72855" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0264948, 0.112582, 0.172377, 0.270929, 0.436297, 0.711163, 1.16958", \ + "0.0362719, 0.11821, 0.175584, 0.272046, 0.436298, 0.711164, 1.16959", \ + "0.0460763, 0.129526, 0.185079, 0.278598, 0.439191, 0.717102, 1.1696", \ + "0.0643902, 0.153778, 0.209394, 0.30057, 0.45538, 0.720304, 1.17199", \ + "0.0960003, 0.198571, 0.258556, 0.351323, 0.502861, 0.758887, 1.19451", \ + "0.145676, 0.273464, 0.34295, 0.445806, 0.604991, 0.857663, 1.27967", \ + "0.225198, 0.399014, 0.481761, 0.602824, 0.78282, 1.05663, 1.48405" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0271391, 0.0884764, 0.130282, 0.199065, 0.314232, 0.506188, 0.826099", \ + "0.0472377, 0.12492, 0.168351, 0.237437, 0.352626, 0.545001, 0.86455", \ + "0.0578599, 0.152697, 0.201502, 0.274371, 0.39026, 0.582, 0.901669", \ + "0.0732898, 0.195212, 0.254617, 0.338879, 0.464592, 0.659129, 0.978474", \ + "0.090348, 0.24864, 0.326735, 0.432905, 0.582867, 0.800303, 1.13109", \ + "0.111791, 0.318027, 0.420984, 0.561899, 0.753537, 1.0204, 1.39906", \ + "0.136136, 0.405451, 0.539342, 0.72645, 0.983776, 1.32892, 1.80683" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0207449, 0.10587, 0.165803, 0.264537, 0.430269, 0.706394, 1.16652", \ + "0.0364573, 0.115852, 0.171094, 0.266328, 0.431489, 0.707197, 1.16657", \ + "0.0478104, 0.134043, 0.186988, 0.27702, 0.434807, 0.707198, 1.16693", \ + "0.0678138, 0.168562, 0.223604, 0.311466, 0.459824, 0.719002, 1.16827", \ + "0.0946159, 0.226902, 0.292058, 0.386106, 0.533658, 0.7783, 1.20358", \ + "0.138956, 0.314254, 0.398105, 0.512572, 0.675595, 0.925403, 1.33222", \ + "0.214565, 0.446179, 0.558758, 0.710358, 0.912553, 1.20272, 1.62736" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.028097, 0.0926101, 0.136357, 0.208587, 0.32953, 0.530956, 0.866943", \ + "0.0450548, 0.122991, 0.168283, 0.241101, 0.362211, 0.563779, 0.899619", \ + "0.0546778, 0.14636, 0.195547, 0.271309, 0.393496, 0.595342, 0.931262", \ + "0.0699195, 0.186524, 0.24338, 0.327366, 0.456383, 0.661654, 0.998087", \ + "0.0873813, 0.240211, 0.312048, 0.412177, 0.558785, 0.779049, 1.12445", \ + "0.112319, 0.317486, 0.409707, 0.538079, 0.717587, 0.972052, 1.35065", \ + "0.144827, 0.424754, 0.548627, 0.714607, 0.944455, 1.2661, 1.71149" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0159505, 0.100757, 0.16029, 0.258826, 0.42378, 0.698717, 1.1568", \ + "0.0263432, 0.107446, 0.164085, 0.260024, 0.424451, 0.698718, 1.15681", \ + "0.0363675, 0.119068, 0.174385, 0.267176, 0.427142, 0.700857, 1.15682", \ + "0.0551408, 0.143852, 0.199092, 0.289854, 0.443967, 0.708253, 1.15952", \ + "0.0863705, 0.188747, 0.247833, 0.340936, 0.492077, 0.746982, 1.18239", \ + "0.134759, 0.263945, 0.33247, 0.435845, 0.594116, 0.847458, 1.26861", \ + "0.214076, 0.393087, 0.473732, 0.5933, 0.774253, 1.04663, 1.47183" \ + ); + } + } + timing () { + related_pin : "B2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0231361, 0.0851164, 0.126823, 0.195726, 0.310864, 0.502738, 0.822685", \ + "0.0392051, 0.120767, 0.164598, 0.233734, 0.349047, 0.541783, 0.861026", \ + "0.0474879, 0.147892, 0.197166, 0.270553, 0.38628, 0.578395, 0.89809", \ + "0.0583161, 0.18829, 0.248918, 0.334169, 0.460537, 0.655429, 0.974827", \ + "0.0694646, 0.238561, 0.318781, 0.426533, 0.577938, 0.795988, 1.12681", \ + "0.0800055, 0.302591, 0.408587, 0.551863, 0.745914, 1.01464, 1.39462", \ + "0.0846587, 0.379351, 0.518376, 0.709844, 0.971675, 1.3199, 1.79955" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170509, 0.101587, 0.161213, 0.260165, 0.425773, 0.701849, 1.16194", \ + "0.0326628, 0.111954, 0.166854, 0.261966, 0.425855, 0.702504, 1.16214", \ + "0.0434874, 0.1303, 0.183073, 0.272782, 0.430367, 0.702505, 1.16227", \ + "0.0629648, 0.164567, 0.219859, 0.307455, 0.455521, 0.71454, 1.1633", \ + "0.0910298, 0.223956, 0.288586, 0.382468, 0.529546, 0.774134, 1.19915", \ + "0.137946, 0.312158, 0.396112, 0.508507, 0.674494, 0.92191, 1.32808", \ + "0.219952, 0.447552, 0.557237, 0.711387, 0.911574, 1.19924, 1.62324" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0251783, 0.0903167, 0.1341, 0.206385, 0.327304, 0.528947, 0.864488", \ + "0.0427576, 0.127665, 0.173445, 0.246239, 0.367262, 0.568826, 0.904321", \ + "0.0529427, 0.155614, 0.207134, 0.284169, 0.406321, 0.607786, 0.943323", \ + "0.0702064, 0.200764, 0.263412, 0.352415, 0.48466, 0.690553, 1.02659", \ + "0.090702, 0.260139, 0.340837, 0.451544, 0.608712, 0.837172, 1.18578", \ + "0.119474, 0.342892, 0.447171, 0.591894, 0.790353, 1.06875, 1.46577", \ + "0.160365, 0.4602, 0.596392, 0.781428, 1.04297, 1.39943, 1.89194" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168087, 0.100732, 0.160304, 0.258734, 0.423777, 0.698687, 1.15688", \ + "0.0313572, 0.111651, 0.166416, 0.260873, 0.424258, 0.699133, 1.15689", \ + "0.042636, 0.129049, 0.182348, 0.272081, 0.428827, 0.701532, 1.1569", \ + "0.0612737, 0.16265, 0.218171, 0.306742, 0.45558, 0.713877, 1.16088", \ + "0.0920517, 0.218123, 0.282153, 0.377684, 0.527429, 0.773605, 1.19696", \ + "0.14351, 0.303478, 0.385014, 0.497228, 0.665624, 0.918111, 1.32848", \ + "0.230713, 0.446177, 0.544182, 0.68798, 0.889325, 1.18265, 1.61511" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0034448, 0.00379935, 0.00379085, 0.00374557, 0.00362345, 0.00340177, 0.0029828", \ + "0.0031355, 0.00354016, 0.0035617, 0.00372297, 0.00358964, 0.00333116, 0.00295906", \ + "0.00326297, 0.00341449, 0.00351907, 0.00364226, 0.00347165, 0.00340245, 0.00306004", \ + "0.00394975, 0.00366599, 0.00361984, 0.00351291, 0.00371865, 0.00337166, 0.00287236", \ + "0.00628482, 0.00488873, 0.0045655, 0.00431518, 0.00393366, 0.00378879, 0.00307576", \ + "0.0119074, 0.00871255, 0.00782165, 0.00696865, 0.00611845, 0.00518995, 0.00428174", \ + "0.0240322, 0.0187304, 0.0167348, 0.0147517, 0.0125285, 0.0103741, 0.00860308" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00023967, 0.00036235, 0.00032427, 0.00025756, 0.00015236, -7.79801e-05, -0.00047352", \ + "0.00031446, 0.00039309, 0.0005249, 0.00048576, 0.00028649, 7.689e-05, -0.00029192", \ + "0.00063629, 0.00053107, 0.00048151, 0.00061218, 0.00035077, 0.0002041, -0.00025071", \ + "0.00172924, 0.0010727, 0.00093739, 0.00070321, 0.00067749, 0.00029438, -0.00021117", \ + "0.00437145, 0.00277974, 0.00230199, 0.00186611, 0.00136936, 0.00091967, 0.00055472", \ + "0.0102436, 0.00732247, 0.00626589, 0.0050726, 0.00402086, 0.00287296, 0.00154013", \ + "0.022517, 0.0179883, 0.0159741, 0.0136131, 0.0111932, 0.00885776, 0.00653536" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00448961, 0.0045349, 0.00448757, 0.00442536, 0.00428519, 0.0040502, 0.00364774", \ + "0.0042881, 0.00446045, 0.00439905, 0.00451531, 0.00426208, 0.00402564, 0.00365969", \ + "0.00437046, 0.00439028, 0.00442928, 0.00442397, 0.00422054, 0.00404149, 0.0037797", \ + "0.00499966, 0.00471857, 0.00459896, 0.00442632, 0.00457447, 0.0041512, 0.00362164", \ + "0.00722607, 0.00597347, 0.00563902, 0.00534536, 0.00490814, 0.00465936, 0.00385755", \ + "0.0126639, 0.00990168, 0.00901988, 0.00808388, 0.00723178, 0.00623218, 0.00526592", \ + "0.0243743, 0.0200121, 0.0182185, 0.0160763, 0.013736, 0.0116691, 0.00956578" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00172661, 0.00177457, 0.00174424, 0.00166109, 0.00155379, 0.00130009, 0.000933", \ + "0.00167315, 0.00182827, 0.00196029, 0.00189351, 0.00170957, 0.00148628, 0.00110169", \ + "0.00183857, 0.00191933, 0.00187288, 0.00200686, 0.00176424, 0.00211504, 0.00109494", \ + "0.00260241, 0.00228575, 0.00220819, 0.00198701, 0.00202908, 0.00166736, 0.00122548", \ + "0.00491551, 0.00361769, 0.00331561, 0.00299, 0.00265841, 0.00217992, 0.00173701", \ + "0.0104592, 0.00766593, 0.00671773, 0.00581129, 0.00489018, 0.00399032, 0.00261947", \ + "0.0222517, 0.0177575, 0.0158566, 0.0136601, 0.0113587, 0.00932803, 0.00734673" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00176593, 0.0019701, 0.00195708, 0.00186901, 0.0017471, 0.00149988, 0.00111649", \ + "0.00185939, 0.00186273, 0.00183774, 0.00178719, 0.00179174, 0.00156144, 0.00107863", \ + "0.00238405, 0.00200248, 0.00192462, 0.00189812, 0.00171806, 0.00141473, 0.00103627", \ + "0.00365517, 0.00270333, 0.00241998, 0.00215136, 0.00214075, 0.00159735, 0.00108217", \ + "0.00668303, 0.00469953, 0.0041267, 0.00355496, 0.00285901, 0.00242096, 0.00140433", \ + "0.0127135, 0.00950583, 0.00836438, 0.00716121, 0.00590916, 0.00454193, 0.00319174", \ + "0.0253676, 0.0208158, 0.0187498, 0.0163675, 0.0137423, 0.0112795, 0.00853448" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.00176593, -0.0019701, -0.00195708, -0.00186901, -0.0017471, -0.00149988, -0.00111649", \ + "-0.00185939, -0.00186273, -0.00183774, -0.00178719, -0.00179174, -0.00156144, -0.00107863", \ + "-0.00238405, -0.00200248, -0.00192462, -0.00189812, -0.00171806, -0.00141473, -0.00103627", \ + "-0.00316155, -0.00270333, -0.00241998, -0.00215136, -0.00214075, -0.00159735, -0.00108217", \ + "-0.00049032, -0.00242749, -0.00280749, -0.0030786, -0.00285901, -0.00242096, -0.00140433", \ + "0.0056488, 0.0016576, 0.0005153, -0.00039389, -0.0013105, -0.00212934, -0.00319174", \ + "0.0184997, 0.0121229, 0.00977122, 0.00731642, 0.00488532, 0.00293922, 0.00099012" \ + ); + } + } + internal_power () { + related_pin : "B2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00155538, 0.00212092, 0.00211022, 0.0020616, 0.00193103, 0.00173297, 0.00131357", \ + "0.00166426, 0.00184023, 0.00187225, 0.00188329, 0.00181076, 0.00173487, 0.00126311", \ + "0.00224263, 0.0019004, 0.0019072, 0.00198182, 0.00188976, 0.00158221, 0.0012303", \ + "0.0035613, 0.00253999, 0.00232396, 0.00209312, 0.00207068, 0.0018538, 0.0011878", \ + "0.00666066, 0.00448788, 0.00393586, 0.00339168, 0.00277225, 0.00241578, 0.00145733", \ + "0.0129059, 0.00936211, 0.00816759, 0.00692666, 0.00590932, 0.0044285, 0.00318139", \ + "0.0259196, 0.0202167, 0.0180899, 0.015978, 0.0131836, 0.0107754, 0.00838782" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.00155538, -0.00212092, -0.00211022, -0.0020616, -0.00193103, -0.00173297, -0.00131357", \ + "-0.00166426, -0.00184023, -0.00187225, -0.00188329, -0.00181076, -0.00173487, -0.00126311", \ + "-0.00224263, -0.0019004, -0.0019072, -0.00198182, -0.00188976, -0.00158221, -0.0012303", \ + "-0.00251242, -0.00253999, -0.00232396, -0.00209312, -0.00207068, -0.0018538, -0.0011878", \ + "0.0003928, -0.00178303, -0.0023486, -0.00281792, -0.00277225, -0.00241578, -0.00145733", \ + "0.00699625, 0.00265245, 0.00164214, 0.00022089, -0.00083597, -0.00189288, -0.00318139", \ + "0.0200415, 0.0135472, 0.0111988, 0.00861191, 0.00630271, 0.00371691, 0.00165288" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00292282; + rise_capacitance : 0.00373301; + rise_capacitance_range (0.00373301, 0.00373301); + fall_capacitance : 0.00211262; + fall_capacitance_range (0.00211262, 0.00211262); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00393255, 0.00368696, 0.00363702, 0.00358811, 0.00355991, 0.00353093, 0.00350634" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00498507, 0.00496894, 0.00496075, 0.00495769, 0.00495468, 0.00495365, 0.00495422" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00329398; + rise_capacitance : 0.00336991; + rise_capacitance_range (0.00336991, 0.00336991); + fall_capacitance : 0.00321805; + fall_capacitance_range (0.00321805, 0.00321805); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00452474, 0.00427992, 0.00421912, 0.0041726, 0.00414776, 0.00412987, 0.00410547" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00410215, 0.00407956, 0.00407532, 0.0040737, 0.00406913, 0.00406962, 0.00406722" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00377379; + rise_capacitance : 0.00460885; + rise_capacitance_range (0.00460885, 0.00460885); + fall_capacitance : 0.00293873; + fall_capacitance_range (0.00293873, 0.00293873); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00902674, 0.00912363, 0.00918968, 0.00929385, 0.0094072, 0.0095092, 0.00959918" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00153909, 0.00156049, 0.00157114, 0.00156354, 0.00158135, 0.00157867, 0.00156752" \ + ); + } + } + } + pin (B2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00380548; + rise_capacitance : 0.0046161; + rise_capacitance_range (0.0046161, 0.0046161); + fall_capacitance : 0.00299485; + fall_capacitance_range (0.00299485, 0.00299485); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00706378, 0.00717753, 0.00724306, 0.00733958, 0.00747342, 0.00755655, 0.00764009" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0014726, 0.00150806, 0.00151225, 0.0015103, 0.00152972, 0.00152729, 0.00152305" \ + ); + } + } + } + } + cell (sg13g2_and2_1) { + area : 9.072; + cell_footprint : "AND2"; + cell_leakage_power : 284.751; + leakage_power () { + value : 341.224; + when : "A&B"; + } + leakage_power () { + value : 317.544; + when : "!A&B"; + } + leakage_power () { + value : 218.169; + when : "A&!B"; + } + leakage_power () { + value : 262.069; + when : "!A&!B"; + } + pin (X) { + direction : "output"; + function : "(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0473011, 0.09231, 0.1201, 0.165688, 0.241938, 0.368946, 0.579918", \ + "0.071948, 0.118221, 0.146194, 0.191965, 0.268223, 0.395125, 0.606347", \ + "0.086988, 0.134311, 0.162336, 0.208122, 0.284339, 0.41124, 0.62254", \ + "0.111757, 0.161749, 0.189646, 0.234759, 0.310724, 0.437494, 0.648877", \ + "0.142492, 0.198498, 0.226617, 0.27224, 0.347608, 0.4723, 0.683202", \ + "0.18611, 0.252862, 0.282248, 0.328344, 0.404665, 0.531021, 0.742035", \ + "0.248435, 0.330597, 0.36465, 0.414014, 0.492591, 0.622617, 0.834688" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130413, 0.0683948, 0.108201, 0.174442, 0.286079, 0.472093, 0.782111", \ + "0.0161132, 0.0692259, 0.108709, 0.1748, 0.286174, 0.472125, 0.782355", \ + "0.0188198, 0.0702222, 0.109303, 0.175361, 0.286597, 0.472269, 0.782356", \ + "0.0234063, 0.0721447, 0.11043, 0.176077, 0.287179, 0.472821, 0.782378", \ + "0.0307098, 0.0768773, 0.113234, 0.177539, 0.288029, 0.473757, 0.783122", \ + "0.042051, 0.089136, 0.121982, 0.183367, 0.292003, 0.476206, 0.785074", \ + "0.05992, 0.111904, 0.142405, 0.200316, 0.305119, 0.487326, 0.793197" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0395891, 0.0772559, 0.100677, 0.139204, 0.203511, 0.310732, 0.489076", \ + "0.0643009, 0.103618, 0.127268, 0.165864, 0.230103, 0.337299, 0.515706", \ + "0.078071, 0.119103, 0.142739, 0.181393, 0.245939, 0.353024, 0.531538", \ + "0.0978942, 0.141726, 0.165458, 0.203795, 0.267846, 0.374907, 0.553384", \ + "0.118979, 0.16846, 0.192317, 0.230533, 0.295095, 0.401331, 0.579718", \ + "0.145386, 0.204071, 0.22971, 0.269267, 0.334596, 0.441413, 0.619326", \ + "0.172428, 0.245357, 0.275414, 0.318241, 0.385354, 0.494741, 0.675744" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0100034, 0.0546322, 0.0866872, 0.140061, 0.229514, 0.378844, 0.627551", \ + "0.0132346, 0.0557735, 0.0873691, 0.140397, 0.229695, 0.378845, 0.627556", \ + "0.0160736, 0.0570419, 0.0881503, 0.140972, 0.230126, 0.378927, 0.629284", \ + "0.0207975, 0.0595515, 0.0896751, 0.141916, 0.230917, 0.37952, 0.629285", \ + "0.0272612, 0.064887, 0.0938561, 0.144154, 0.232141, 0.380581, 0.629286", \ + "0.037722, 0.075992, 0.102121, 0.150775, 0.237107, 0.383828, 0.630886", \ + "0.05463, 0.096641, 0.120708, 0.166005, 0.249868, 0.395847, 0.640891" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0500438, 0.0950307, 0.1228, 0.168571, 0.244518, 0.37162, 0.58259", \ + "0.0723037, 0.118558, 0.146449, 0.192169, 0.268384, 0.395186, 0.606461", \ + "0.0862488, 0.133848, 0.16181, 0.207483, 0.283704, 0.410561, 0.621835", \ + "0.108591, 0.158896, 0.187016, 0.232649, 0.308733, 0.435647, 0.646755", \ + "0.135794, 0.192248, 0.220694, 0.266398, 0.342447, 0.469068, 0.680546", \ + "0.174575, 0.241947, 0.271899, 0.31897, 0.396053, 0.52302, 0.73463", \ + "0.22641, 0.308386, 0.343229, 0.393292, 0.472754, 0.603691, 0.817819" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0129864, 0.0685986, 0.10797, 0.174589, 0.286011, 0.472066, 0.782124", \ + "0.0151324, 0.0689399, 0.108438, 0.174618, 0.28607, 0.472067, 0.782387", \ + "0.0171639, 0.0698927, 0.10896, 0.175028, 0.286308, 0.472068, 0.782388", \ + "0.0212186, 0.0719318, 0.11022, 0.175619, 0.286803, 0.472412, 0.782389", \ + "0.0281108, 0.0770303, 0.11356, 0.177506, 0.287751, 0.473203, 0.782617", \ + "0.038128, 0.08864, 0.122389, 0.184003, 0.292088, 0.475818, 0.78425", \ + "0.053542, 0.109121, 0.141317, 0.200085, 0.305572, 0.48764, 0.79343" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0430771, 0.0811891, 0.104693, 0.14331, 0.207783, 0.314848, 0.493338", \ + "0.0704389, 0.110011, 0.133687, 0.172355, 0.236812, 0.344066, 0.522458", \ + "0.0862768, 0.12739, 0.151115, 0.189786, 0.254394, 0.361594, 0.540144", \ + "0.109604, 0.153392, 0.177015, 0.215482, 0.279989, 0.387308, 0.565917", \ + "0.135539, 0.18333, 0.2086, 0.246062, 0.310543, 0.418258, 0.596937", \ + "0.16981, 0.225305, 0.250882, 0.290037, 0.354769, 0.462716, 0.640945", \ + "0.211542, 0.280039, 0.308643, 0.34985, 0.41628, 0.525907, 0.706655" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.010424, 0.0549423, 0.0869524, 0.140235, 0.229853, 0.378964, 0.627668", \ + "0.0132248, 0.0559266, 0.0875245, 0.140552, 0.229899, 0.379002, 0.627669", \ + "0.0159614, 0.0569964, 0.0882699, 0.14108, 0.230253, 0.379216, 0.62767", \ + "0.0201709, 0.0592674, 0.0896139, 0.141874, 0.230948, 0.379633, 0.628058", \ + "0.0260429, 0.0639323, 0.0931876, 0.143979, 0.232025, 0.3807, 0.628788", \ + "0.035346, 0.072775, 0.099924, 0.14885, 0.235563, 0.3831, 0.63075", \ + "0.050134, 0.089397, 0.114506, 0.160229, 0.245107, 0.391963, 0.638173" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00797265, 0.00850558, 0.00854958, 0.00843782, 0.00836144, 0.00817075, 0.00768225", \ + "0.00803152, 0.00824369, 0.00833137, 0.00847096, 0.00819747, 0.00801471, 0.00766701", \ + "0.0084794, 0.00858351, 0.00860265, 0.00859416, 0.00880426, 0.00853274, 0.00786799", \ + "0.00969276, 0.00960872, 0.0096634, 0.00955174, 0.00930931, 0.00940978, 0.00890404", \ + "0.0125116, 0.0120131, 0.0119651, 0.0119683, 0.0118741, 0.0114118, 0.0110948", \ + "0.018601, 0.0175291, 0.0172978, 0.0172437, 0.0171098, 0.0168864, 0.016647", \ + "0.0311161, 0.0289696, 0.0287605, 0.0285059, 0.0281964, 0.0279561, 0.0274957" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00692189, 0.00760279, 0.00757307, 0.00754143, 0.00743171, 0.0072258, 0.00680107", \ + "0.00691933, 0.00731427, 0.00735132, 0.00751661, 0.00741141, 0.00709377, 0.00669242", \ + "0.00745186, 0.00774998, 0.00781323, 0.00772994, 0.00791895, 0.00749024, 0.00748565", \ + "0.00858673, 0.00862965, 0.00870371, 0.00873116, 0.00850878, 0.00825852, 0.00817305", \ + "0.0114037, 0.0110806, 0.0111798, 0.0111161, 0.0111548, 0.0107752, 0.0100087", \ + "0.0173564, 0.0163075, 0.0161399, 0.0161219, 0.0160202, 0.015796, 0.0157754", \ + "0.0295126, 0.0274076, 0.0271673, 0.0269022, 0.0266261, 0.0265241, 0.0262165" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00984214, 0.0104098, 0.0103481, 0.0103344, 0.0101651, 0.00998142, 0.0099487", \ + "0.00969641, 0.0100136, 0.0100691, 0.0100848, 0.00993378, 0.00972121, 0.00937825", \ + "0.00990185, 0.0101373, 0.0101674, 0.0101133, 0.0102011, 0.0100938, 0.00942435", \ + "0.0108008, 0.0107524, 0.0108128, 0.010824, 0.0106156, 0.0107674, 0.0101253", \ + "0.0132337, 0.0128991, 0.0128332, 0.012832, 0.0128271, 0.0126311, 0.0122865", \ + "0.019028, 0.0180745, 0.0178419, 0.0178371, 0.0177856, 0.0176444, 0.0174847", \ + "0.0308526, 0.0290431, 0.0288208, 0.0284028, 0.0281866, 0.0278659, 0.0278224" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0070469, 0.00763723, 0.00766968, 0.00764228, 0.00755322, 0.00734142, 0.00694261", \ + "0.00708496, 0.00747495, 0.00753387, 0.00773779, 0.00755101, 0.00729321, 0.00688302", \ + "0.00756185, 0.00785461, 0.00793058, 0.00783854, 0.0080374, 0.00762266, 0.00726504", \ + "0.00874072, 0.00872711, 0.00879237, 0.00881961, 0.00870377, 0.00854855, 0.0083977", \ + "0.0115456, 0.0111938, 0.0113032, 0.0113291, 0.0114328, 0.0111803, 0.010694", \ + "0.0172379, 0.0162284, 0.0161935, 0.0161212, 0.0160037, 0.0160633, 0.0159538", \ + "0.0291365, 0.0271385, 0.0269247, 0.0265479, 0.0263362, 0.0261972, 0.026246" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00274403; + rise_capacitance : 0.00271729; + rise_capacitance_range (0.00271729, 0.00271729); + fall_capacitance : 0.00277077; + fall_capacitance_range (0.00277077, 0.00277077); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00276754; + rise_capacitance : 0.0028376; + rise_capacitance_range (0.0028376, 0.0028376); + fall_capacitance : 0.00269749; + fall_capacitance_range (0.00269749, 0.00269749); + } + } + cell (sg13g2_and2_2) { + area : 10.8864; + cell_footprint : "AND2"; + cell_leakage_power : 422.9; + leakage_power () { + value : 420.266; + when : "A&B"; + } + leakage_power () { + value : 475.394; + when : "!A&B"; + } + leakage_power () { + value : 376.019; + when : "A&!B"; + } + leakage_power () { + value : 419.919; + when : "!A&!B"; + } + pin (X) { + direction : "output"; + function : "(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0584582, 0.110218, 0.138569, 0.1844, 0.260833, 0.387841, 0.59928", \ + "0.0880998, 0.141115, 0.169508, 0.215405, 0.291728, 0.418842, 0.630464", \ + "0.107429, 0.162194, 0.190681, 0.236518, 0.312981, 0.440201, 0.651498", \ + "0.137683, 0.196289, 0.224744, 0.270483, 0.346579, 0.473453, 0.684946", \ + "0.177653, 0.241814, 0.270798, 0.316078, 0.391544, 0.51778, 0.727418", \ + "0.234401, 0.309502, 0.339467, 0.385796, 0.461141, 0.58703, 0.797828", \ + "0.31528, 0.404755, 0.440725, 0.490453, 0.567753, 0.69561, 0.905614" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0155125, 0.0716179, 0.110771, 0.176658, 0.288205, 0.474409, 0.784724", \ + "0.0187276, 0.0727484, 0.111345, 0.176998, 0.28823, 0.4747, 0.785337", \ + "0.0223196, 0.0742185, 0.11242, 0.177689, 0.288669, 0.474701, 0.785338", \ + "0.0280366, 0.0777784, 0.114584, 0.17882, 0.289492, 0.475221, 0.785339", \ + "0.0369884, 0.0846133, 0.119064, 0.181242, 0.290673, 0.476166, 0.786112", \ + "0.052046, 0.099329, 0.130232, 0.188596, 0.294812, 0.478501, 0.787599", \ + "0.075809, 0.127117, 0.155584, 0.208778, 0.308917, 0.488332, 0.79454" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.048392, 0.0915735, 0.115364, 0.154064, 0.218607, 0.326055, 0.504606", \ + "0.0785456, 0.123429, 0.14734, 0.186154, 0.250763, 0.358178, 0.537069", \ + "0.0965752, 0.143688, 0.167673, 0.206422, 0.270881, 0.378208, 0.556758", \ + "0.12344, 0.174078, 0.198534, 0.236529, 0.30069, 0.407551, 0.586652", \ + "0.152005, 0.210201, 0.235214, 0.273887, 0.338079, 0.44515, 0.623189", \ + "0.191748, 0.258096, 0.286254, 0.326649, 0.389442, 0.49529, 0.674186", \ + "0.237577, 0.316627, 0.34833, 0.392184, 0.459293, 0.568087, 0.747112" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0119443, 0.0575126, 0.08893, 0.142082, 0.23171, 0.381259, 0.630573", \ + "0.0154076, 0.0587038, 0.0897429, 0.142443, 0.231815, 0.381418, 0.631142", \ + "0.0190912, 0.0607566, 0.0910912, 0.143204, 0.232138, 0.381455, 0.633464", \ + "0.0247622, 0.064617, 0.0940463, 0.144913, 0.233244, 0.381987, 0.633465", \ + "0.0337788, 0.0726739, 0.0999097, 0.148679, 0.235272, 0.383389, 0.633466", \ + "0.047276, 0.086636, 0.111387, 0.157776, 0.24117, 0.386661, 0.633867", \ + "0.06924, 0.111206, 0.134029, 0.176087, 0.255684, 0.398409, 0.642809" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0609995, 0.112807, 0.141075, 0.186996, 0.263247, 0.390402, 0.601779", \ + "0.0863721, 0.139352, 0.167761, 0.213573, 0.290003, 0.416955, 0.628715", \ + "0.103562, 0.158134, 0.186585, 0.232607, 0.309007, 0.436011, 0.647526", \ + "0.131185, 0.189279, 0.217742, 0.263701, 0.340028, 0.466857, 0.678422", \ + "0.166598, 0.230196, 0.259418, 0.305236, 0.381243, 0.507907, 0.719587", \ + "0.21784, 0.291878, 0.322783, 0.369725, 0.446128, 0.572796, 0.783586", \ + "0.287519, 0.374949, 0.411002, 0.461547, 0.539842, 0.669364, 0.881662" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0154778, 0.0714578, 0.11064, 0.176658, 0.288196, 0.474442, 0.784587", \ + "0.0174822, 0.0724149, 0.111142, 0.176912, 0.288203, 0.476093, 0.78511", \ + "0.0198882, 0.073684, 0.11208, 0.177437, 0.288435, 0.476094, 0.785111", \ + "0.0242948, 0.0770946, 0.114054, 0.17848, 0.289097, 0.476095, 0.785112", \ + "0.0324455, 0.0830344, 0.118494, 0.181085, 0.29043, 0.476096, 0.785589", \ + "0.045594, 0.096276, 0.128412, 0.188384, 0.294793, 0.478291, 0.786911", \ + "0.066218, 0.120482, 0.151568, 0.206539, 0.308553, 0.488841, 0.794809" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0516296, 0.0952576, 0.119126, 0.157948, 0.222547, 0.330034, 0.508783", \ + "0.083707, 0.12879, 0.152756, 0.191624, 0.256177, 0.363646, 0.54237", \ + "0.103454, 0.15065, 0.17463, 0.213506, 0.278066, 0.385295, 0.563949", \ + "0.132787, 0.183494, 0.208133, 0.246737, 0.311151, 0.418472, 0.597074", \ + "0.165898, 0.223178, 0.248737, 0.287491, 0.351644, 0.458935, 0.637408", \ + "0.210945, 0.276951, 0.304446, 0.345315, 0.408787, 0.515953, 0.69433", \ + "0.270441, 0.348053, 0.37858, 0.421269, 0.487251, 0.59583, 0.774581" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0124033, 0.057742, 0.0892257, 0.142311, 0.231901, 0.381414, 0.630851", \ + "0.0154158, 0.0588929, 0.0899704, 0.14262, 0.232103, 0.382018, 0.630852", \ + "0.0188472, 0.0608321, 0.091153, 0.14328, 0.232329, 0.382019, 0.630953", \ + "0.0242267, 0.0642285, 0.0938062, 0.144822, 0.233133, 0.382183, 0.631101", \ + "0.0330428, 0.071711, 0.0992867, 0.148235, 0.235092, 0.383472, 0.631811", \ + "0.04553, 0.084511, 0.109766, 0.156254, 0.239866, 0.38608, 0.634091", \ + "0.064865, 0.105536, 0.128204, 0.170959, 0.251429, 0.395418, 0.64052" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0131744, 0.0139208, 0.014032, 0.0139551, 0.0137959, 0.0133283, 0.0134141", \ + "0.0134913, 0.0134287, 0.0136451, 0.0137559, 0.0136769, 0.0130756, 0.0132999", \ + "0.0142106, 0.0137731, 0.0137226, 0.013792, 0.0143, 0.013124, 0.0132807", \ + "0.015959, 0.0147399, 0.0148397, 0.0148105, 0.0144706, 0.0149256, 0.0143838", \ + "0.0195852, 0.0170994, 0.0169675, 0.0168253, 0.016648, 0.0159992, 0.0151885", \ + "0.0272741, 0.0226698, 0.0223833, 0.0220463, 0.0216664, 0.0212366, 0.0207198", \ + "0.0422378, 0.0347528, 0.0339928, 0.033767, 0.0331052, 0.032369, 0.0309605" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116019, 0.0129295, 0.012958, 0.0128769, 0.0127462, 0.0123954, 0.0115357", \ + "0.0118255, 0.0123443, 0.0125459, 0.0129151, 0.0124189, 0.0120513, 0.0115598", \ + "0.0125292, 0.0126094, 0.0126915, 0.0125442, 0.0127614, 0.0121169, 0.0124273", \ + "0.0144347, 0.0134974, 0.0136412, 0.0134524, 0.013051, 0.0125446, 0.013028", \ + "0.0179485, 0.0159686, 0.0159438, 0.0159474, 0.0161109, 0.0160152, 0.0144283", \ + "0.0253867, 0.02106, 0.0208599, 0.0210749, 0.0204353, 0.0199921, 0.0202837", \ + "0.0397896, 0.0329118, 0.0322001, 0.0315461, 0.030915, 0.0307363, 0.0301884" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0149892, 0.0156956, 0.0158179, 0.0157506, 0.0155458, 0.015148, 0.0151786", \ + "0.0150182, 0.0152406, 0.01545, 0.0155989, 0.0154613, 0.0153401, 0.014969", \ + "0.0154637, 0.0153674, 0.0153314, 0.0154494, 0.0157068, 0.0147986, 0.0149489", \ + "0.0167574, 0.0160328, 0.0160529, 0.0161207, 0.0156721, 0.0161134, 0.0153936", \ + "0.0199192, 0.0179384, 0.0177765, 0.0176604, 0.0176891, 0.0171286, 0.016745", \ + "0.0268325, 0.0230953, 0.0226958, 0.0225366, 0.0222927, 0.0221023, 0.0216068", \ + "0.0408594, 0.0347372, 0.0341528, 0.0332685, 0.0325993, 0.0319865, 0.0317629" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116885, 0.0128206, 0.0128626, 0.0128679, 0.0127148, 0.0124154, 0.0116174", \ + "0.0120282, 0.0124315, 0.0126384, 0.0129129, 0.0127097, 0.012346, 0.0113391", \ + "0.0127314, 0.0127499, 0.0128343, 0.0127795, 0.0131443, 0.0123087, 0.0115737", \ + "0.0144834, 0.0136938, 0.0138625, 0.0139376, 0.0134125, 0.0134885, 0.0127183", \ + "0.0182249, 0.0160482, 0.016133, 0.016112, 0.0161796, 0.0163008, 0.0147995", \ + "0.0252095, 0.0211348, 0.0211341, 0.0211287, 0.0207934, 0.0207966, 0.0207394", \ + "0.0393828, 0.0326473, 0.0319255, 0.031401, 0.0309079, 0.0309903, 0.0305978" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00272131; + rise_capacitance : 0.00270173; + rise_capacitance_range (0.00270173, 0.00270173); + fall_capacitance : 0.00274089; + fall_capacitance_range (0.00274089, 0.00274089); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00275377; + rise_capacitance : 0.00282954; + rise_capacitance_range (0.00282954, 0.00282954); + fall_capacitance : 0.00267799; + fall_capacitance_range (0.00267799, 0.00267799); + } + } + cell (sg13g2_and3_1) { + area : 12.7008; + cell_footprint : "AND3"; + cell_leakage_power : 329.157; + leakage_power () { + value : 472.361; + when : "A&B&C"; + } + leakage_power () { + value : 311.046; + when : "!A&!B&!C"; + } + leakage_power () { + value : 362.507; + when : "!A&!B&C"; + } + leakage_power () { + value : 266.141; + when : "!A&B&!C"; + } + leakage_power () { + value : 418.013; + when : "!A&B&C"; + } + leakage_power () { + value : 263.743; + when : "A&!B&!C"; + } + leakage_power () { + value : 318.608; + when : "A&!B&C"; + } + leakage_power () { + value : 220.838; + when : "A&B&!C"; + } + pin (X) { + direction : "output"; + function : "(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0626675, 0.112795, 0.141061, 0.186886, 0.262907, 0.38943, 0.59996", \ + "0.0886162, 0.139776, 0.168305, 0.214184, 0.290278, 0.416806, 0.627609", \ + "0.106091, 0.158417, 0.187135, 0.233086, 0.309458, 0.436001, 0.646695", \ + "0.134347, 0.189399, 0.218182, 0.264055, 0.340455, 0.467314, 0.678093", \ + "0.171946, 0.233037, 0.261852, 0.307497, 0.382726, 0.508705, 0.719398", \ + "0.22884, 0.299788, 0.330271, 0.376937, 0.453411, 0.579338, 0.788182", \ + "0.312384, 0.398589, 0.434417, 0.484429, 0.563265, 0.693112, 0.904667" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168894, 0.0714524, 0.110136, 0.175627, 0.286411, 0.471817, 0.780965", \ + "0.0191827, 0.0727602, 0.111049, 0.176107, 0.286647, 0.472243, 0.781135", \ + "0.0218157, 0.0738229, 0.112017, 0.176946, 0.287222, 0.472362, 0.781349", \ + "0.0262091, 0.0762094, 0.113472, 0.177843, 0.288134, 0.473047, 0.781455", \ + "0.0332155, 0.0812764, 0.116588, 0.179563, 0.289018, 0.473878, 0.782514", \ + "0.044437, 0.093321, 0.12545, 0.185513, 0.292792, 0.476032, 0.784123", \ + "0.062809, 0.116659, 0.148184, 0.20301, 0.306562, 0.487185, 0.792097" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0420986, 0.0804185, 0.103877, 0.142399, 0.206847, 0.313861, 0.492312", \ + "0.0679132, 0.108058, 0.131664, 0.170192, 0.234571, 0.341779, 0.520209", \ + "0.0821601, 0.124208, 0.148015, 0.186783, 0.25127, 0.358557, 0.537142", \ + "0.102384, 0.147939, 0.171681, 0.209986, 0.274024, 0.381015, 0.559618", \ + "0.122315, 0.173999, 0.198747, 0.236578, 0.30083, 0.40756, 0.586015", \ + "0.146105, 0.20763, 0.233851, 0.273925, 0.338789, 0.445003, 0.623255", \ + "0.163755, 0.240019, 0.271254, 0.314398, 0.381818, 0.492111, 0.673081" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0104202, 0.0548132, 0.0867816, 0.14001, 0.229666, 0.378787, 0.627312", \ + "0.013565, 0.0560156, 0.0875014, 0.14042, 0.229667, 0.378788, 0.627496", \ + "0.0164612, 0.0573812, 0.088322, 0.14108, 0.230051, 0.37897, 0.629145", \ + "0.0212608, 0.0602009, 0.0901071, 0.141953, 0.230903, 0.379549, 0.629146", \ + "0.0279095, 0.0662314, 0.0946128, 0.14469, 0.23212, 0.380572, 0.629147", \ + "0.038552, 0.077409, 0.103904, 0.151859, 0.23708, 0.383668, 0.63109", \ + "0.055751, 0.099728, 0.123503, 0.168097, 0.250528, 0.395528, 0.640985" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0690985, 0.119158, 0.147478, 0.193342, 0.269246, 0.395734, 0.606366", \ + "0.0923088, 0.143668, 0.17209, 0.217947, 0.293972, 0.420568, 0.631505", \ + "0.108175, 0.160999, 0.18964, 0.235671, 0.311923, 0.438564, 0.64924", \ + "0.134051, 0.189995, 0.218716, 0.264565, 0.341045, 0.467546, 0.678489", \ + "0.168664, 0.230397, 0.259715, 0.305975, 0.382168, 0.508504, 0.719621", \ + "0.221106, 0.293288, 0.325006, 0.371964, 0.44943, 0.575672, 0.787073", \ + "0.297687, 0.384734, 0.421451, 0.473108, 0.553337, 0.683237, 0.896701" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168995, 0.0715032, 0.110153, 0.17573, 0.286432, 0.471807, 0.780888", \ + "0.0186089, 0.0725509, 0.110826, 0.176013, 0.286539, 0.471946, 0.781261", \ + "0.0206729, 0.0736828, 0.111828, 0.176645, 0.286969, 0.47203, 0.781262", \ + "0.0244529, 0.0766568, 0.113506, 0.177603, 0.287747, 0.472637, 0.781263", \ + "0.0311871, 0.0816942, 0.117231, 0.179907, 0.288833, 0.47348, 0.782017", \ + "0.041591, 0.093734, 0.126794, 0.18657, 0.293122, 0.476025, 0.783653", \ + "0.057637, 0.115776, 0.147805, 0.204201, 0.307819, 0.487632, 0.792454" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.045713, 0.0845278, 0.108093, 0.146721, 0.211157, 0.31841, 0.496763", \ + "0.0738816, 0.114212, 0.137929, 0.176668, 0.241103, 0.348338, 0.526855", \ + "0.0901795, 0.132208, 0.155992, 0.194825, 0.259291, 0.366593, 0.545192", \ + "0.114016, 0.158939, 0.18288, 0.221554, 0.285972, 0.393274, 0.57189", \ + "0.138561, 0.189394, 0.213977, 0.252766, 0.317247, 0.424388, 0.602887", \ + "0.169678, 0.229252, 0.255161, 0.294939, 0.359413, 0.466924, 0.645699", \ + "0.201547, 0.274053, 0.303686, 0.345972, 0.41226, 0.52141, 0.701701" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.010832, 0.0551543, 0.0871745, 0.140223, 0.229756, 0.378955, 0.627589", \ + "0.0135869, 0.0561663, 0.0876444, 0.140572, 0.229894, 0.378991, 0.627649", \ + "0.0163669, 0.0574045, 0.0884537, 0.14111, 0.230229, 0.379008, 0.628332", \ + "0.0206812, 0.0598801, 0.0899567, 0.141976, 0.230881, 0.379688, 0.628333", \ + "0.0268002, 0.0654129, 0.0939841, 0.144248, 0.232046, 0.380587, 0.62851", \ + "0.036477, 0.07512, 0.101424, 0.149794, 0.235762, 0.383108, 0.630569", \ + "0.051662, 0.093561, 0.117685, 0.162541, 0.245866, 0.391962, 0.638095" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.071494, 0.121584, 0.149891, 0.195684, 0.271635, 0.398134, 0.608762", \ + "0.0921212, 0.143189, 0.171576, 0.217343, 0.29339, 0.419892, 0.630808", \ + "0.10577, 0.158186, 0.186815, 0.232769, 0.308913, 0.435431, 0.646033", \ + "0.128195, 0.183674, 0.212447, 0.25833, 0.334631, 0.461226, 0.671771", \ + "0.156026, 0.216999, 0.246556, 0.293113, 0.369364, 0.495843, 0.706632", \ + "0.197755, 0.269955, 0.301933, 0.350082, 0.427938, 0.554534, 0.765743", \ + "0.256136, 0.34338, 0.380492, 0.433568, 0.514589, 0.645951, 0.859963" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168418, 0.0715919, 0.110178, 0.175647, 0.286429, 0.471848, 0.78096", \ + "0.0180698, 0.0722562, 0.110606, 0.175902, 0.286489, 0.472092, 0.781359", \ + "0.0195384, 0.0733096, 0.111492, 0.17634, 0.286795, 0.472093, 0.78136", \ + "0.0225563, 0.0760451, 0.113122, 0.177229, 0.287359, 0.472239, 0.781361", \ + "0.0289524, 0.0812131, 0.117152, 0.179885, 0.28877, 0.472916, 0.781488", \ + "0.038993, 0.093819, 0.12725, 0.18762, 0.29409, 0.47611, 0.783569", \ + "0.05441, 0.114998, 0.148953, 0.206877, 0.310152, 0.490122, 0.793706" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0478606, 0.0873039, 0.111081, 0.149934, 0.214354, 0.321806, 0.500396", \ + "0.0778575, 0.118463, 0.142368, 0.181206, 0.245843, 0.353183, 0.53197", \ + "0.0958076, 0.138043, 0.161888, 0.200801, 0.265497, 0.372875, 0.55163", \ + "0.122178, 0.16723, 0.191108, 0.229823, 0.294304, 0.401732, 0.580457", \ + "0.150249, 0.201032, 0.225601, 0.264503, 0.328898, 0.436805, 0.614334", \ + "0.18789, 0.246794, 0.272332, 0.312064, 0.376577, 0.484501, 0.663062", \ + "0.234292, 0.304931, 0.334223, 0.37589, 0.442103, 0.552064, 0.731987" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0113124, 0.0559427, 0.0875424, 0.140787, 0.230085, 0.379247, 0.627787", \ + "0.0138406, 0.0565939, 0.0880014, 0.141016, 0.230175, 0.379462, 0.628188", \ + "0.0164486, 0.0577817, 0.0888068, 0.141423, 0.230527, 0.379463, 0.632165", \ + "0.0205302, 0.0602138, 0.0902786, 0.142449, 0.23108, 0.379759, 0.632166", \ + "0.026653, 0.0653335, 0.094048, 0.144463, 0.232257, 0.380803, 0.632167", \ + "0.035588, 0.074114, 0.100497, 0.149528, 0.235785, 0.383166, 0.632168", \ + "0.049352, 0.090178, 0.115227, 0.160806, 0.244877, 0.391346, 0.637623" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00995051, 0.0102909, 0.0103451, 0.0103537, 0.0102445, 0.0100215, 0.00997482", \ + "0.00994133, 0.0100465, 0.0101486, 0.0101177, 0.010151, 0.00987292, 0.00983812", \ + "0.01037, 0.0103657, 0.0103946, 0.0103974, 0.0105618, 0.0101088, 0.00976533", \ + "0.0115632, 0.011277, 0.0113455, 0.0113185, 0.0111148, 0.0113556, 0.0106123", \ + "0.0141339, 0.0135328, 0.0134517, 0.0133865, 0.0132996, 0.0128993, 0.012618", \ + "0.0198435, 0.0185324, 0.0183266, 0.0182112, 0.0181674, 0.0179354, 0.0175885", \ + "0.031661, 0.0293054, 0.0291044, 0.0286009, 0.0284473, 0.0281221, 0.0276492" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0062483, 0.00690582, 0.00691807, 0.00687162, 0.00681224, 0.00659116, 0.00613256", \ + "0.00616296, 0.00657497, 0.00662708, 0.00674923, 0.00669683, 0.00635501, 0.00601211", \ + "0.00661672, 0.00686666, 0.00696358, 0.00690879, 0.00705506, 0.00671517, 0.0066407", \ + "0.00764945, 0.00761901, 0.00776932, 0.00775867, 0.00753599, 0.00725677, 0.00709224", \ + "0.0102604, 0.00988617, 0.00995777, 0.00992127, 0.00994567, 0.00980437, 0.00906031", \ + "0.0158149, 0.0146799, 0.014629, 0.014626, 0.0144434, 0.0141085, 0.0141991", \ + "0.0274077, 0.0252118, 0.0248728, 0.0246406, 0.0243107, 0.0242975, 0.0240595" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109673, 0.0113219, 0.0113631, 0.0113633, 0.0112289, 0.0109941, 0.0109711", \ + "0.0107866, 0.010969, 0.0110555, 0.0111127, 0.0110749, 0.0107253, 0.0107599", \ + "0.0109622, 0.0110692, 0.011107, 0.0111075, 0.0111538, 0.0108443, 0.0108022", \ + "0.011857, 0.011692, 0.0117093, 0.0116969, 0.0115341, 0.011667, 0.0109733", \ + "0.0140024, 0.013541, 0.0134491, 0.0134912, 0.0135193, 0.0132195, 0.0130126", \ + "0.0193807, 0.0182303, 0.0181436, 0.0179571, 0.0179934, 0.0178333, 0.017696", \ + "0.0305386, 0.0285672, 0.0282775, 0.0279132, 0.0277694, 0.0274552, 0.0272703" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00727026, 0.00787669, 0.00796159, 0.00789371, 0.00781743, 0.00764458, 0.00717031", \ + "0.00722036, 0.00758937, 0.00765609, 0.00786691, 0.00780684, 0.00742631, 0.00704091", \ + "0.00759037, 0.00784479, 0.00793114, 0.00786231, 0.00805825, 0.0076169, 0.00742869", \ + "0.00868851, 0.00863048, 0.00869249, 0.00878162, 0.00858641, 0.00851461, 0.00829357", \ + "0.0112746, 0.0109834, 0.0109923, 0.0110606, 0.0111687, 0.0110649, 0.0104141", \ + "0.016688, 0.0156581, 0.0155427, 0.0155517, 0.0153834, 0.0153749, 0.0154071", \ + "0.027783, 0.025782, 0.0255265, 0.0252376, 0.0249228, 0.0247306, 0.024673" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126898, 0.0130266, 0.0130299, 0.013008, 0.012878, 0.012662, 0.0125581", \ + "0.0124165, 0.0126428, 0.0127415, 0.0127575, 0.0127253, 0.0123774, 0.0120098", \ + "0.012488, 0.0126985, 0.0127007, 0.0127271, 0.0126867, 0.0123718, 0.0122985", \ + "0.0130517, 0.0131019, 0.013142, 0.0131453, 0.012991, 0.0131932, 0.0127454", \ + "0.0150572, 0.0147034, 0.0146402, 0.014624, 0.0146757, 0.0144584, 0.014123", \ + "0.0205294, 0.0195306, 0.0193304, 0.0192786, 0.0192942, 0.0190858, 0.0190222", \ + "0.0320072, 0.0301954, 0.0300157, 0.0296656, 0.0293772, 0.0290896, 0.0289083" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00740664, 0.00802154, 0.00802396, 0.00803642, 0.00791716, 0.00776156, 0.0073531", \ + "0.00743785, 0.00776592, 0.00783427, 0.00806656, 0.00794495, 0.00767503, 0.00732213", \ + "0.0078093, 0.00801884, 0.00808636, 0.00801957, 0.00820443, 0.00777518, 0.00827039", \ + "0.00887682, 0.00885879, 0.00883661, 0.00892476, 0.00865788, 0.008619, 0.00830891", \ + "0.0115989, 0.0111693, 0.0111668, 0.0112268, 0.0112168, 0.0111657, 0.0104681", \ + "0.0170082, 0.0160088, 0.015821, 0.0158365, 0.0156183, 0.0157449, 0.0156544", \ + "0.028629, 0.0266175, 0.0264186, 0.0260955, 0.0258355, 0.0257807, 0.0255663" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00254558; + rise_capacitance : 0.00254831; + rise_capacitance_range (0.00254831, 0.00254831); + fall_capacitance : 0.00254285; + fall_capacitance_range (0.00254285, 0.00254285); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000783359, -0.000783927, -0.000788647, -0.000803489, -0.000820034, -0.000838628, -0.000856442" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000783359, 0.000783927, 0.000788647, 0.000803489, 0.000820034, 0.000838628, 0.000856442" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0027152; + rise_capacitance : 0.00275397; + rise_capacitance_range (0.00275397, 0.00275397); + fall_capacitance : 0.00267643; + fall_capacitance_range (0.00267643, 0.00267643); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00272629; + rise_capacitance : 0.0028015; + rise_capacitance_range (0.0028015, 0.0028015); + fall_capacitance : 0.00265107; + fall_capacitance_range (0.00265107, 0.00265107); + } + } + cell (sg13g2_and3_2) { + area : 12.7008; + cell_footprint : "AND3"; + cell_leakage_power : 477.154; + leakage_power () { + value : 551.397; + when : "A&B&C"; + } + leakage_power () { + value : 468.894; + when : "!A&!B&!C"; + } + leakage_power () { + value : 520.355; + when : "!A&!B&C"; + } + leakage_power () { + value : 423.989; + when : "!A&B&!C"; + } + leakage_power () { + value : 575.861; + when : "!A&B&C"; + } + leakage_power () { + value : 421.592; + when : "A&!B&!C"; + } + leakage_power () { + value : 476.457; + when : "A&!B&C"; + } + leakage_power () { + value : 378.687; + when : "A&B&!C"; + } + pin (X) { + direction : "output"; + function : "(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0788225, 0.1383, 0.167927, 0.214692, 0.291744, 0.419505, 0.632213", \ + "0.108607, 0.168703, 0.198417, 0.245318, 0.322343, 0.450242, 0.662681", \ + "0.13012, 0.191589, 0.221612, 0.268569, 0.345684, 0.473341, 0.685652", \ + "0.164583, 0.22948, 0.259353, 0.306441, 0.383597, 0.511275, 0.723825", \ + "0.210844, 0.281503, 0.311945, 0.358934, 0.435602, 0.563485, 0.775736", \ + "0.280036, 0.359901, 0.3927, 0.440362, 0.516079, 0.642893, 0.854393", \ + "0.383663, 0.477678, 0.515818, 0.568289, 0.645966, 0.773969, 0.98622" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0208322, 0.0782634, 0.116475, 0.181512, 0.292534, 0.479241, 0.791161", \ + "0.0232242, 0.0792865, 0.117159, 0.181815, 0.292675, 0.479242, 0.791191", \ + "0.0263415, 0.081018, 0.118627, 0.182775, 0.29321, 0.479337, 0.792897", \ + "0.0326217, 0.0845925, 0.120786, 0.184453, 0.294386, 0.480214, 0.792898", \ + "0.0413779, 0.0926003, 0.126366, 0.187445, 0.295949, 0.48144, 0.792899", \ + "0.05624, 0.105908, 0.137014, 0.195579, 0.300509, 0.483703, 0.794102", \ + "0.080577, 0.134558, 0.163585, 0.216324, 0.314178, 0.493916, 0.800642" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0506291, 0.0946222, 0.118503, 0.15729, 0.221839, 0.329232, 0.507857", \ + "0.0814701, 0.127243, 0.151316, 0.190196, 0.254561, 0.361934, 0.541161", \ + "0.0999517, 0.148063, 0.172214, 0.211026, 0.275465, 0.382738, 0.56145", \ + "0.126887, 0.178953, 0.203168, 0.241735, 0.305938, 0.413071, 0.591235", \ + "0.155801, 0.214783, 0.240637, 0.279284, 0.343977, 0.450848, 0.629307", \ + "0.191854, 0.261349, 0.28942, 0.329616, 0.393059, 0.500048, 0.678084", \ + "0.228918, 0.311971, 0.344677, 0.388921, 0.455717, 0.564077, 0.743072" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0123033, 0.0577045, 0.0892234, 0.142131, 0.231709, 0.38117, 0.630438", \ + "0.0155587, 0.0590594, 0.0899949, 0.142513, 0.231882, 0.381529, 0.631043", \ + "0.0191574, 0.0611419, 0.0913797, 0.1433, 0.232259, 0.38153, 0.631044", \ + "0.0248591, 0.0651871, 0.0940975, 0.145078, 0.233235, 0.381897, 0.631045", \ + "0.0339743, 0.0739098, 0.100922, 0.148935, 0.235372, 0.383298, 0.631599", \ + "0.047893, 0.08854, 0.113177, 0.158659, 0.241758, 0.386591, 0.63379", \ + "0.07052, 0.113925, 0.136468, 0.177543, 0.257345, 0.39854, 0.6427" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0851139, 0.144448, 0.174142, 0.221012, 0.297906, 0.425627, 0.638302", \ + "0.11091, 0.171208, 0.200957, 0.247786, 0.324852, 0.4526, 0.664907", \ + "0.129942, 0.191591, 0.221621, 0.26864, 0.345665, 0.473396, 0.685817", \ + "0.161398, 0.226233, 0.256575, 0.303745, 0.381001, 0.508904, 0.721331", \ + "0.203753, 0.27444, 0.305254, 0.352726, 0.429361, 0.557392, 0.769767", \ + "0.268754, 0.348325, 0.381501, 0.430216, 0.506925, 0.634607, 0.846571", \ + "0.363769, 0.457371, 0.49597, 0.548221, 0.627833, 0.7573, 0.971432" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0208357, 0.0782988, 0.11646, 0.181735, 0.292566, 0.479157, 0.791082", \ + "0.0223955, 0.0790933, 0.117016, 0.181753, 0.292611, 0.479348, 0.791083", \ + "0.0245792, 0.0806667, 0.118173, 0.182587, 0.293024, 0.47944, 0.791377", \ + "0.0294085, 0.0839045, 0.120525, 0.184185, 0.293992, 0.479926, 0.791378", \ + "0.0372195, 0.0909682, 0.125764, 0.187524, 0.295622, 0.481026, 0.792303", \ + "0.050782, 0.103663, 0.136308, 0.19545, 0.300324, 0.483578, 0.79376", \ + "0.072507, 0.129454, 0.160788, 0.214119, 0.314783, 0.494196, 0.800941" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0541089, 0.0985586, 0.122654, 0.161443, 0.226017, 0.333408, 0.512267", \ + "0.0865733, 0.132547, 0.156663, 0.195556, 0.260091, 0.367508, 0.546488", \ + "0.106703, 0.154874, 0.178974, 0.217808, 0.28247, 0.389869, 0.568511", \ + "0.136252, 0.188442, 0.21276, 0.251683, 0.316302, 0.423283, 0.60201", \ + "0.169442, 0.228478, 0.253676, 0.293105, 0.357252, 0.463965, 0.642672", \ + "0.21035, 0.279053, 0.306645, 0.34774, 0.411632, 0.518695, 0.697112", \ + "0.260743, 0.340707, 0.372589, 0.417113, 0.483753, 0.591113, 0.769924" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0127527, 0.0581498, 0.0895675, 0.142352, 0.231913, 0.381355, 0.630439", \ + "0.0155876, 0.059256, 0.0901631, 0.142741, 0.232066, 0.382254, 0.630616", \ + "0.0189313, 0.0613107, 0.0914917, 0.143439, 0.232384, 0.382255, 0.630828", \ + "0.0243781, 0.0651885, 0.0941343, 0.145077, 0.233363, 0.382256, 0.630835", \ + "0.0333523, 0.0728862, 0.0997581, 0.148801, 0.235114, 0.38339, 0.631569", \ + "0.046318, 0.086461, 0.111432, 0.157621, 0.240416, 0.386061, 0.633658", \ + "0.066336, 0.109034, 0.131885, 0.173604, 0.252851, 0.395478, 0.640658" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0875405, 0.14682, 0.176485, 0.223383, 0.30035, 0.428112, 0.640644", \ + "0.10967, 0.169751, 0.19946, 0.24628, 0.323322, 0.451147, 0.663538", \ + "0.125356, 0.18689, 0.216755, 0.263704, 0.340694, 0.468426, 0.680746", \ + "0.151923, 0.216132, 0.246572, 0.293728, 0.370933, 0.498791, 0.711204", \ + "0.18699, 0.256189, 0.288062, 0.335242, 0.412098, 0.540062, 0.752246", \ + "0.240235, 0.318986, 0.352297, 0.401504, 0.479254, 0.607431, 0.81924", \ + "0.315329, 0.407732, 0.446291, 0.499909, 0.581804, 0.71216, 0.926616" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0208336, 0.0782376, 0.116736, 0.181821, 0.292548, 0.479111, 0.791225", \ + "0.0218831, 0.0788056, 0.116841, 0.181822, 0.292656, 0.47914, 0.791226", \ + "0.0234591, 0.0802769, 0.117932, 0.182306, 0.292919, 0.479421, 0.793709", \ + "0.0268805, 0.0829375, 0.120055, 0.183757, 0.293783, 0.479657, 0.79371", \ + "0.0336141, 0.0891541, 0.125479, 0.187132, 0.295356, 0.480565, 0.793711", \ + "0.046278, 0.10212, 0.135475, 0.195101, 0.301078, 0.483885, 0.793712", \ + "0.066706, 0.12622, 0.158908, 0.21505, 0.317433, 0.496102, 0.802407" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0563209, 0.101388, 0.125545, 0.164526, 0.229272, 0.336787, 0.515564", \ + "0.0901111, 0.136416, 0.160677, 0.199718, 0.264445, 0.371992, 0.550785", \ + "0.111575, 0.159806, 0.184235, 0.223185, 0.287881, 0.395216, 0.57402", \ + "0.143361, 0.195282, 0.220191, 0.259008, 0.323651, 0.430908, 0.609658", \ + "0.178915, 0.239384, 0.265115, 0.303989, 0.368411, 0.475989, 0.654519", \ + "0.22784, 0.296391, 0.323896, 0.364374, 0.428337, 0.53545, 0.71397", \ + "0.289262, 0.368969, 0.400241, 0.443236, 0.509918, 0.618398, 0.796886" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0132298, 0.0586391, 0.0899645, 0.142786, 0.232211, 0.381492, 0.630754", \ + "0.0158186, 0.0595802, 0.0905617, 0.143107, 0.232311, 0.382266, 0.630945", \ + "0.0189785, 0.0614429, 0.0917653, 0.1438, 0.232575, 0.382267, 0.630946", \ + "0.0242092, 0.065218, 0.0945748, 0.145337, 0.233447, 0.382311, 0.630947", \ + "0.0333484, 0.0726797, 0.10002, 0.148781, 0.235264, 0.383454, 0.631787", \ + "0.045425, 0.085412, 0.110547, 0.156427, 0.240503, 0.3863, 0.633595", \ + "0.06366, 0.10607, 0.129216, 0.171375, 0.251814, 0.395295, 0.640573" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0156551, 0.0156481, 0.015739, 0.0156895, 0.0155277, 0.0149984, 0.0149412", \ + "0.01588, 0.0152912, 0.0154737, 0.0153818, 0.0153472, 0.014866, 0.0148492", \ + "0.0165581, 0.0154484, 0.0155395, 0.0156587, 0.0157133, 0.0150963, 0.0147545", \ + "0.0183654, 0.0165313, 0.0164602, 0.0163785, 0.0160858, 0.0165607, 0.0148282", \ + "0.0220981, 0.0187979, 0.0186719, 0.0185114, 0.0184227, 0.0176838, 0.017446", \ + "0.0292755, 0.0239085, 0.0235699, 0.0232984, 0.0227492, 0.022465, 0.0215917", \ + "0.0437368, 0.0357018, 0.0347991, 0.0341477, 0.0332098, 0.0325694, 0.0316802" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0109474, 0.0121598, 0.0122524, 0.012226, 0.0120774, 0.0116485, 0.0108972", \ + "0.0111184, 0.0116193, 0.0118214, 0.0122453, 0.0120545, 0.011467, 0.0109105", \ + "0.0117688, 0.0118269, 0.0119094, 0.0118073, 0.0121614, 0.0113527, 0.010739", \ + "0.0133636, 0.012463, 0.0123729, 0.0125653, 0.011823, 0.0116968, 0.0106637", \ + "0.0169235, 0.0148447, 0.0148216, 0.0147189, 0.0150367, 0.0149309, 0.0136602", \ + "0.024021, 0.0198456, 0.0193796, 0.0196025, 0.0189107, 0.0187153, 0.0185494", \ + "0.0378525, 0.0305927, 0.0298327, 0.0291233, 0.0291069, 0.0284358, 0.0279496" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0165847, 0.0165901, 0.0166737, 0.0166555, 0.0164448, 0.0158888, 0.0160307", \ + "0.0166135, 0.0162385, 0.016438, 0.0163306, 0.0166825, 0.0157998, 0.0157879", \ + "0.0170261, 0.0162539, 0.0162588, 0.0164053, 0.016421, 0.0159142, 0.0156397", \ + "0.0182533, 0.0168491, 0.0169157, 0.0168279, 0.0165203, 0.0170868, 0.0160036", \ + "0.0212975, 0.0186998, 0.0186397, 0.0185897, 0.0183913, 0.0178286, 0.0176211", \ + "0.0279743, 0.0234424, 0.023198, 0.0229303, 0.0224603, 0.0224109, 0.0217674", \ + "0.0418132, 0.0345212, 0.0337646, 0.0327517, 0.0322635, 0.0315456, 0.0312058" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0119459, 0.0130925, 0.0132278, 0.0131503, 0.0129838, 0.0126563, 0.0118372", \ + "0.0121673, 0.012586, 0.0127452, 0.0132335, 0.0126989, 0.0127193, 0.0116601", \ + "0.0128293, 0.0128472, 0.0128294, 0.0127092, 0.0130594, 0.0125832, 0.01174", \ + "0.0144793, 0.0136507, 0.013579, 0.0137647, 0.0133612, 0.013284, 0.0128966", \ + "0.018045, 0.015798, 0.0156346, 0.0158219, 0.0158813, 0.0156478, 0.014346", \ + "0.0248215, 0.0205955, 0.0204489, 0.0206869, 0.0201906, 0.020302, 0.0202876", \ + "0.0382306, 0.031615, 0.0308221, 0.0301628, 0.0298398, 0.0291834, 0.0287704" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0183395, 0.0182614, 0.0182652, 0.0182448, 0.0181001, 0.0177101, 0.0176131", \ + "0.0182318, 0.0179198, 0.0181632, 0.018026, 0.0179261, 0.0174012, 0.0173377", \ + "0.0184449, 0.0179627, 0.0179607, 0.0180918, 0.0179974, 0.0175993, 0.0181661", \ + "0.0193354, 0.0182871, 0.0184293, 0.0184228, 0.0180546, 0.018242, 0.0169187", \ + "0.021956, 0.0198022, 0.0200631, 0.0198476, 0.0196845, 0.0193234, 0.0189305", \ + "0.0284845, 0.0246321, 0.0242942, 0.0239912, 0.0238547, 0.0237908, 0.0232283", \ + "0.0423215, 0.036084, 0.0352487, 0.0343828, 0.0340306, 0.0333224, 0.0327773" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120748, 0.0130947, 0.0131997, 0.0131811, 0.0130653, 0.0125734, 0.0117962", \ + "0.0123198, 0.0126789, 0.0128658, 0.0133452, 0.0127657, 0.0127232, 0.0117528", \ + "0.0130046, 0.0129066, 0.0129676, 0.0129064, 0.0133874, 0.0127249, 0.0116745", \ + "0.0146429, 0.0137365, 0.013852, 0.0138889, 0.0136407, 0.0134572, 0.0125917", \ + "0.0183825, 0.016173, 0.0162497, 0.0161219, 0.0162004, 0.0163091, 0.0146102", \ + "0.02505, 0.0211036, 0.0208431, 0.0207181, 0.0206729, 0.0207532, 0.0204876", \ + "0.0388486, 0.0321785, 0.0315002, 0.0307995, 0.030506, 0.0301932, 0.0297877" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00253618; + rise_capacitance : 0.00254406; + rise_capacitance_range (0.00254406, 0.00254406); + fall_capacitance : 0.0025283; + fall_capacitance_range (0.0025283, 0.0025283); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000781607, -0.000782974, -0.000786777, -0.000800196, -0.000816965, -0.000836422, -0.000856478" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000781607, 0.000782974, 0.000786777, 0.000800196, 0.000816965, 0.000836422, 0.000856478" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00270242; + rise_capacitance : 0.00274902; + rise_capacitance_range (0.00274902, 0.00274902); + fall_capacitance : 0.00265581; + fall_capacitance_range (0.00265581, 0.00265581); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00273439; + rise_capacitance : 0.00281579; + rise_capacitance_range (0.00281579, 0.00281579); + fall_capacitance : 0.00265299; + fall_capacitance_range (0.00265299, 0.00265299); + } + } + cell (sg13g2_and4_1) { + area : 14.5152; + cell_footprint : "AND4"; + cell_leakage_power : 362.265; + leakage_power () { + value : 360.146; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 411.481; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 316.294; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 462.943; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 313.827; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 366.564; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 268.037; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 518.436; + when : "!A&B&C&D"; + } + leakage_power () { + value : 312.701; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 364.166; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 267.924; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 419.035; + when : "A&!B&C&D"; + } + leakage_power () { + value : 266.472; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 321.25; + when : "A&B&!C&D"; + } + leakage_power () { + value : 223.525; + when : "A&B&C&!D"; + } + leakage_power () { + value : 603.436; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0792171, 0.135074, 0.164525, 0.211048, 0.287725, 0.414793, 0.626305", \ + "0.105431, 0.161941, 0.191565, 0.2383, 0.314924, 0.442121, 0.655835", \ + "0.124771, 0.182037, 0.21202, 0.258826, 0.335709, 0.462853, 0.674149", \ + "0.156534, 0.216505, 0.246372, 0.293441, 0.370374, 0.497867, 0.709613", \ + "0.200083, 0.26516, 0.295051, 0.342181, 0.419111, 0.545877, 0.757686", \ + "0.268135, 0.342123, 0.374241, 0.422082, 0.49881, 0.624787, 0.836482", \ + "0.371626, 0.46114, 0.498319, 0.549653, 0.629302, 0.759448, 0.970794" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0213585, 0.0771413, 0.114964, 0.179414, 0.289634, 0.475018, 0.784931", \ + "0.0229952, 0.0781941, 0.11584, 0.179913, 0.289832, 0.475818, 0.787083", \ + "0.0252732, 0.079459, 0.117038, 0.180945, 0.290607, 0.475819, 0.787084", \ + "0.0298265, 0.0820062, 0.118651, 0.182198, 0.291759, 0.476425, 0.787085", \ + "0.0362873, 0.0872395, 0.122238, 0.184319, 0.29292, 0.477645, 0.787153", \ + "0.04742, 0.098098, 0.130903, 0.190446, 0.296552, 0.479694, 0.788501", \ + "0.065841, 0.122226, 0.153027, 0.207585, 0.310365, 0.490372, 0.796315" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0442673, 0.0826032, 0.105967, 0.144199, 0.208124, 0.314337, 0.491471", \ + "0.071159, 0.111245, 0.134786, 0.173114, 0.236969, 0.343401, 0.520481", \ + "0.0858225, 0.128098, 0.15172, 0.190191, 0.254181, 0.360662, 0.537884", \ + "0.106671, 0.152531, 0.175893, 0.214114, 0.27791, 0.384038, 0.561157", \ + "0.125959, 0.178663, 0.203463, 0.240554, 0.304317, 0.410625, 0.587135", \ + "0.146592, 0.209386, 0.236608, 0.276495, 0.340915, 0.446906, 0.623198", \ + "0.156566, 0.234681, 0.266758, 0.310451, 0.377703, 0.487126, 0.665375" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0110663, 0.0550095, 0.0865794, 0.139412, 0.228411, 0.376428, 0.623346", \ + "0.014103, 0.0562369, 0.0873377, 0.139761, 0.228415, 0.376429, 0.623347", \ + "0.0170856, 0.0576322, 0.0883288, 0.1404, 0.228741, 0.37656, 0.623643", \ + "0.0218705, 0.0607202, 0.0901756, 0.141539, 0.229522, 0.377081, 0.624011", \ + "0.028763, 0.0673856, 0.0952262, 0.144527, 0.230972, 0.378197, 0.624362", \ + "0.039868, 0.079667, 0.105236, 0.152063, 0.236262, 0.381424, 0.626556", \ + "0.057355, 0.102389, 0.126188, 0.169444, 0.250306, 0.393387, 0.63664" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0887643, 0.144504, 0.173972, 0.220659, 0.297199, 0.42417, 0.635625", \ + "0.111928, 0.168662, 0.198422, 0.245031, 0.321684, 0.448773, 0.660192", \ + "0.129135, 0.18735, 0.217223, 0.264087, 0.340825, 0.46796, 0.679483", \ + "0.158128, 0.21928, 0.249419, 0.296465, 0.373535, 0.500955, 0.712582", \ + "0.198493, 0.264592, 0.29611, 0.342994, 0.419988, 0.546904, 0.758923", \ + "0.263061, 0.338639, 0.371042, 0.420088, 0.497235, 0.624475, 0.836399", \ + "0.36216, 0.45274, 0.49117, 0.544034, 0.624612, 0.755003, 0.969339" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0213786, 0.0771249, 0.114992, 0.179641, 0.289608, 0.475148, 0.784986", \ + "0.0227421, 0.0779646, 0.115658, 0.179865, 0.28971, 0.475149, 0.785247", \ + "0.0245502, 0.07956, 0.116885, 0.180713, 0.290395, 0.475325, 0.787095", \ + "0.0285001, 0.0822301, 0.118766, 0.182179, 0.291413, 0.476194, 0.787096", \ + "0.0346748, 0.0875918, 0.123556, 0.18484, 0.292893, 0.477206, 0.787097", \ + "0.045215, 0.099009, 0.132381, 0.191713, 0.29705, 0.479508, 0.787956", \ + "0.061504, 0.121149, 0.153539, 0.209665, 0.311556, 0.490952, 0.796029" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0478902, 0.0867057, 0.11011, 0.148566, 0.21235, 0.318885, 0.49596", \ + "0.0768468, 0.117169, 0.140731, 0.179192, 0.243174, 0.349681, 0.527118", \ + "0.0934629, 0.135606, 0.159313, 0.197818, 0.26186, 0.368377, 0.545554", \ + "0.117356, 0.162712, 0.1864, 0.224784, 0.288823, 0.395218, 0.572453", \ + "0.141316, 0.192937, 0.217208, 0.256007, 0.319848, 0.426383, 0.603585", \ + "0.169264, 0.230105, 0.256162, 0.295274, 0.360183, 0.466362, 0.643771", \ + "0.191548, 0.266398, 0.296834, 0.339645, 0.406138, 0.514419, 0.694144" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011487, 0.0553763, 0.0869159, 0.139779, 0.228445, 0.376589, 0.62324", \ + "0.0141276, 0.0564203, 0.0874864, 0.139964, 0.228602, 0.376604, 0.623951", \ + "0.0169931, 0.0577112, 0.0883654, 0.140569, 0.228981, 0.376765, 0.623952", \ + "0.0213581, 0.060424, 0.0900616, 0.141533, 0.229494, 0.377343, 0.623953", \ + "0.0278435, 0.0666032, 0.0941108, 0.144025, 0.230785, 0.378174, 0.624376", \ + "0.037875, 0.076698, 0.102589, 0.150122, 0.235309, 0.380751, 0.6263", \ + "0.053783, 0.09673, 0.120509, 0.16431, 0.245937, 0.390324, 0.633834" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0940693, 0.14975, 0.179475, 0.225852, 0.302356, 0.429443, 0.640901", \ + "0.114834, 0.171427, 0.201049, 0.24769, 0.324318, 0.45132, 0.662778", \ + "0.129585, 0.187613, 0.217463, 0.264297, 0.340886, 0.468, 0.679401", \ + "0.154693, 0.215433, 0.245915, 0.293015, 0.369949, 0.497257, 0.708938", \ + "0.188695, 0.254769, 0.28598, 0.333511, 0.410531, 0.537964, 0.749298", \ + "0.243292, 0.319368, 0.353099, 0.402593, 0.48054, 0.608207, 0.819615", \ + "0.32556, 0.417068, 0.456635, 0.510527, 0.593136, 0.725031, 0.93889" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0213883, 0.0771256, 0.115108, 0.179593, 0.289662, 0.475075, 0.785062", \ + "0.0223797, 0.0778297, 0.115492, 0.179721, 0.28971, 0.475076, 0.785063", \ + "0.0237562, 0.0792139, 0.116665, 0.180492, 0.290219, 0.475223, 0.785211", \ + "0.0268357, 0.0816215, 0.118546, 0.181878, 0.291188, 0.475783, 0.78539", \ + "0.0328501, 0.0874503, 0.123093, 0.184907, 0.292735, 0.476839, 0.786181", \ + "0.043304, 0.099954, 0.133334, 0.192654, 0.29806, 0.479774, 0.78792", \ + "0.059395, 0.122016, 0.155952, 0.212434, 0.314648, 0.492934, 0.79746" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0503282, 0.0898205, 0.113445, 0.151895, 0.215944, 0.322531, 0.499782", \ + "0.0808685, 0.121557, 0.145286, 0.183824, 0.247992, 0.354445, 0.532421", \ + "0.0990695, 0.141407, 0.165171, 0.203822, 0.267989, 0.374601, 0.551888", \ + "0.125542, 0.170782, 0.194697, 0.233221, 0.297197, 0.403832, 0.581116", \ + "0.153407, 0.205009, 0.229794, 0.268281, 0.332658, 0.438835, 0.616365", \ + "0.186323, 0.24661, 0.273226, 0.312331, 0.37681, 0.483987, 0.660942", \ + "0.223632, 0.296467, 0.326407, 0.367617, 0.433744, 0.54225, 0.722113" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119882, 0.0560135, 0.0873482, 0.140075, 0.228825, 0.376921, 0.623715", \ + "0.0143939, 0.0567982, 0.0878484, 0.140365, 0.228994, 0.376922, 0.62423", \ + "0.0170607, 0.0580162, 0.0887063, 0.140807, 0.229184, 0.377131, 0.624255", \ + "0.0212836, 0.0605067, 0.0904432, 0.141818, 0.229832, 0.377436, 0.624256", \ + "0.027595, 0.0663892, 0.0945912, 0.144168, 0.231121, 0.378608, 0.624608", \ + "0.037221, 0.075825, 0.101974, 0.149765, 0.235002, 0.380941, 0.626575", \ + "0.051606, 0.093721, 0.117822, 0.162387, 0.244855, 0.389544, 0.633981" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.096619, 0.152251, 0.181907, 0.228366, 0.304885, 0.431999, 0.643404", \ + "0.116337, 0.17267, 0.20229, 0.248873, 0.325422, 0.45252, 0.663825", \ + "0.129329, 0.186862, 0.216559, 0.263404, 0.340079, 0.467003, 0.678437", \ + "0.150983, 0.211072, 0.241332, 0.288411, 0.365161, 0.492356, 0.703875", \ + "0.178074, 0.242874, 0.273988, 0.321775, 0.398771, 0.525977, 0.737333", \ + "0.21941, 0.294697, 0.329174, 0.379021, 0.457476, 0.585009, 0.796989", \ + "0.283557, 0.373906, 0.413775, 0.468699, 0.552817, 0.685788, 0.899977" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0213935, 0.0771224, 0.115071, 0.179524, 0.289672, 0.475189, 0.784939", \ + "0.0220777, 0.0776001, 0.115324, 0.179574, 0.289736, 0.47519, 0.78494", \ + "0.0230734, 0.0788682, 0.116339, 0.180222, 0.29003, 0.475191, 0.785195", \ + "0.0253291, 0.0809987, 0.118062, 0.181456, 0.290861, 0.47557, 0.785196", \ + "0.030575, 0.086287, 0.12263, 0.184535, 0.292406, 0.476369, 0.785782", \ + "0.040631, 0.098891, 0.133689, 0.193583, 0.298541, 0.479959, 0.78762", \ + "0.055766, 0.120162, 0.155725, 0.213233, 0.31702, 0.495684, 0.798508" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0519593, 0.0923172, 0.116131, 0.154823, 0.219093, 0.32582, 0.503174", \ + "0.0840052, 0.125211, 0.149164, 0.187917, 0.252223, 0.358901, 0.536656", \ + "0.103707, 0.146423, 0.170155, 0.208995, 0.273321, 0.37995, 0.557349", \ + "0.132288, 0.177778, 0.201833, 0.240487, 0.304614, 0.411132, 0.588552", \ + "0.163206, 0.215153, 0.24014, 0.278904, 0.343076, 0.449763, 0.62736", \ + "0.202389, 0.262483, 0.288657, 0.327847, 0.392843, 0.499657, 0.677249", \ + "0.25302, 0.324667, 0.353877, 0.396134, 0.4623, 0.571491, 0.750468" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0125533, 0.0567459, 0.0881167, 0.140739, 0.22935, 0.377392, 0.624121", \ + "0.0146983, 0.0573486, 0.0885083, 0.140949, 0.229477, 0.377467, 0.624573", \ + "0.0172167, 0.0586798, 0.0892131, 0.141381, 0.229736, 0.377491, 0.625169", \ + "0.0213291, 0.0611956, 0.0909585, 0.142351, 0.230324, 0.377858, 0.62517", \ + "0.0278508, 0.0664684, 0.0948642, 0.144584, 0.23157, 0.378896, 0.625171", \ + "0.036849, 0.076014, 0.10212, 0.150044, 0.235532, 0.381418, 0.62729", \ + "0.05034, 0.092146, 0.115962, 0.16127, 0.244331, 0.389192, 0.633977" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0104545, 0.010638, 0.0106811, 0.0106548, 0.0105902, 0.0103832, 0.0104162", \ + "0.0103417, 0.0103641, 0.0104726, 0.0104188, 0.0107557, 0.0102927, 0.0106657", \ + "0.0107452, 0.0106411, 0.0106126, 0.0106292, 0.01081, 0.0103549, 0.0102305", \ + "0.0118836, 0.0115274, 0.0115201, 0.0115423, 0.0113171, 0.0115125, 0.0111656", \ + "0.0143777, 0.0135333, 0.0134749, 0.0134558, 0.0134461, 0.0130711, 0.0128809", \ + "0.0196784, 0.0181758, 0.018044, 0.0177994, 0.0176744, 0.0173991, 0.0171247", \ + "0.0308646, 0.0283403, 0.0279987, 0.0274942, 0.0272776, 0.0270507, 0.0264928" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0066319, 0.00724618, 0.00727521, 0.00725251, 0.00717348, 0.00692848, 0.0065585", \ + "0.00654674, 0.00692659, 0.00699599, 0.00715961, 0.00695034, 0.00673544, 0.00635617", \ + "0.0068548, 0.00715806, 0.0072215, 0.00717202, 0.00730024, 0.006976, 0.0066352", \ + "0.00784858, 0.00783612, 0.00788668, 0.0079326, 0.00774763, 0.0074445, 0.00749", \ + "0.010404, 0.00997396, 0.0100153, 0.00990956, 0.00992096, 0.00989326, 0.00898963", \ + "0.0155356, 0.0146103, 0.0144711, 0.0144866, 0.0142962, 0.0140449, 0.0139659", \ + "0.0267007, 0.0244741, 0.0241912, 0.0238783, 0.0235601, 0.0235305, 0.0231156" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124172, 0.0126727, 0.0127024, 0.0126926, 0.0126189, 0.0124008, 0.0122307", \ + "0.012262, 0.0123149, 0.012444, 0.0123806, 0.012458, 0.0121277, 0.0120644", \ + "0.0124262, 0.0124162, 0.0123978, 0.0124392, 0.0124774, 0.0121634, 0.0121219", \ + "0.0131424, 0.0129286, 0.0129452, 0.0129393, 0.0127331, 0.0130284, 0.0121587", \ + "0.0152329, 0.0145805, 0.0147027, 0.0145882, 0.0146484, 0.0142169, 0.0141359", \ + "0.0201876, 0.018944, 0.0188483, 0.0186778, 0.0185721, 0.0184609, 0.0182886", \ + "0.0307961, 0.0285445, 0.0282814, 0.0279911, 0.0277556, 0.0274799, 0.0272867" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00694484, 0.0075595, 0.00758482, 0.007605, 0.00746009, 0.00730767, 0.00682925", \ + "0.00684271, 0.00722926, 0.00728631, 0.00742486, 0.0075587, 0.00706592, 0.0067872", \ + "0.00715232, 0.00740497, 0.00748466, 0.00742709, 0.00760361, 0.00720212, 0.00679807", \ + "0.00810047, 0.0080687, 0.00808068, 0.00815329, 0.00806167, 0.00789999, 0.00784295", \ + "0.0105446, 0.0102978, 0.0101691, 0.0103209, 0.0103277, 0.0103305, 0.00945654", \ + "0.0156957, 0.0146213, 0.0144418, 0.0144945, 0.0145424, 0.0143122, 0.0143931", \ + "0.0262354, 0.0241905, 0.0239469, 0.0236975, 0.0234337, 0.0233793, 0.0234492" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0137027, 0.0139208, 0.0139823, 0.013922, 0.0138216, 0.013634, 0.0136373", \ + "0.0134764, 0.0135841, 0.0136977, 0.0136331, 0.0139687, 0.0133273, 0.0132689", \ + "0.0135564, 0.0135919, 0.0135721, 0.0136468, 0.0136193, 0.0133125, 0.0129092", \ + "0.0140377, 0.013905, 0.0139749, 0.0140172, 0.0138522, 0.0140893, 0.0132935", \ + "0.015877, 0.0153653, 0.0153811, 0.0153562, 0.0154028, 0.0151302, 0.014846", \ + "0.0208863, 0.0198714, 0.0197142, 0.0195775, 0.0195169, 0.0193694, 0.0192065", \ + "0.0318797, 0.0298462, 0.0295535, 0.0291147, 0.0290185, 0.0288345, 0.0284889" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0077368, 0.00833158, 0.00836591, 0.00834003, 0.0082495, 0.00809849, 0.0076978", \ + "0.00765344, 0.00799991, 0.0080647, 0.00829469, 0.00803785, 0.00783702, 0.00764351", \ + "0.00796265, 0.00817511, 0.00821538, 0.00820457, 0.00828908, 0.00798193, 0.00773761", \ + "0.0090202, 0.00889051, 0.00890204, 0.00899176, 0.00874345, 0.00870171, 0.00873946", \ + "0.0114378, 0.0110808, 0.0110641, 0.0110932, 0.0112484, 0.0110339, 0.0104353", \ + "0.0165993, 0.0155443, 0.0154496, 0.0154097, 0.0153197, 0.01543, 0.0152869", \ + "0.0273433, 0.0254636, 0.0251984, 0.0249121, 0.0247208, 0.024629, 0.0247401" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134948, 0.013708, 0.0137531, 0.0137312, 0.0135912, 0.0134147, 0.0133342", \ + "0.013264, 0.0134043, 0.0135242, 0.0134534, 0.0135907, 0.0131412, 0.0127374", \ + "0.0132896, 0.0133893, 0.0133462, 0.0134075, 0.0134139, 0.0130553, 0.0127124", \ + "0.013624, 0.013614, 0.01367, 0.0137223, 0.0134917, 0.0137393, 0.0131008", \ + "0.0151637, 0.014815, 0.0148047, 0.0148142, 0.0147981, 0.0144989, 0.0142052", \ + "0.02015, 0.0191197, 0.0190315, 0.0189442, 0.0188938, 0.0187165, 0.0185836", \ + "0.0313994, 0.0295462, 0.0293004, 0.0288312, 0.0287719, 0.0285696, 0.0282295" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00780669, 0.00835375, 0.00838946, 0.00835744, 0.00826691, 0.00812194, 0.00771062", \ + "0.00784036, 0.00813784, 0.00819941, 0.00842382, 0.0081821, 0.00798911, 0.00776959", \ + "0.00817479, 0.00838686, 0.00837064, 0.0083291, 0.00852745, 0.00805689, 0.00797584", \ + "0.00921268, 0.00913054, 0.00911467, 0.00916491, 0.00887542, 0.00882139, 0.00847907", \ + "0.0117653, 0.0113426, 0.011351, 0.0113679, 0.0114095, 0.0113355, 0.0106943", \ + "0.0170718, 0.0160504, 0.0159259, 0.0157897, 0.0158205, 0.0157946, 0.0157509", \ + "0.0283698, 0.026493, 0.0260915, 0.0258002, 0.0256264, 0.0255472, 0.0254156" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00243801; + rise_capacitance : 0.00249968; + rise_capacitance_range (0.00249968, 0.00249968); + fall_capacitance : 0.00237634; + fall_capacitance_range (0.00237634, 0.00237634); + internal_power () { + when : "(B * C * !D) + (B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000323313, -0.000313714, -0.000312219, -0.000312862, -0.00031264, -0.000311813, -0.000313747" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000930991, 0.000927924, 0.000927061, 0.00093064, 0.000931537, 0.000933357, 0.000934636" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000323313, -0.000313714, -0.000312219, -0.000312862, -0.00031264, -0.000311813, -0.000313747" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000930991, 0.000927924, 0.000927061, 0.00093064, 0.000931537, 0.000933357, 0.000934636" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00244196; + rise_capacitance : 0.00252828; + rise_capacitance_range (0.00252828, 0.00252828); + fall_capacitance : 0.00235564; + fall_capacitance_range (0.00235564, 0.00235564); + internal_power () { + when : "(A * C * !D) + (A * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000407742, -0.000406251, -0.00040568, -0.000404329, -0.000405189, -0.000404226, -0.00040327" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000659448, 0.000658727, 0.000660536, 0.000663253, 0.000663959, 0.000665796, 0.000667833" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000407742, -0.000406251, -0.00040568, -0.000404329, -0.000405189, -0.000404226, -0.00040327" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000659448, 0.000658727, 0.000660536, 0.000663253, 0.000663959, 0.000665796, 0.000667833" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00282006; + rise_capacitance : 0.00291832; + rise_capacitance_range (0.00291832, 0.00291832); + fall_capacitance : 0.00272179; + fall_capacitance_range (0.00272179, 0.00272179); + internal_power () { + when : "(A * !B * D) + (!A * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00277177; + rise_capacitance : 0.00287389; + rise_capacitance_range (0.00287389, 0.00287389); + fall_capacitance : 0.00266966; + fall_capacitance_range (0.00266966, 0.00266966); + internal_power () { + when : "(A * !B * C) + (!A * C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00182003, 0.00181085, 0.00181025, 0.00180911, 0.00181053, 0.00180575, 0.00180163" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000132498, 7.68134e-05, 5.75755e-05, 4.2404e-05, 2.3512e-05, 9.84828e-06, 1.11067e-06" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00182003, 0.00181085, 0.00181025, 0.00180911, 0.00181053, 0.00180575, 0.00180163" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000132498, 7.68134e-05, 5.75755e-05, 4.2404e-05, 2.3512e-05, 9.84828e-06, 1.11067e-06" \ + ); + } + } + } + } + cell (sg13g2_and4_2) { + area : 16.3296; + cell_footprint : "AND4"; + cell_leakage_power : 515.194; + leakage_power () { + value : 518; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 569.335; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 474.149; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 620.797; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 471.682; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 524.418; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 425.891; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 676.291; + when : "!A&B&C&D"; + } + leakage_power () { + value : 470.556; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 522.02; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 425.779; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 576.889; + when : "A&!B&C&D"; + } + leakage_power () { + value : 424.327; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 479.104; + when : "A&B&!C&D"; + } + leakage_power () { + value : 381.38; + when : "A&B&C&!D"; + } + leakage_power () { + value : 682.478; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.100038, 0.166471, 0.19785, 0.245787, 0.32325, 0.450568, 0.662293", \ + "0.128994, 0.195587, 0.227097, 0.275169, 0.352539, 0.479919, 0.691421", \ + "0.152034, 0.219614, 0.251534, 0.299555, 0.376987, 0.504435, 0.716364", \ + "0.19023, 0.260355, 0.292084, 0.340422, 0.417991, 0.545378, 0.757151", \ + "0.242161, 0.317786, 0.350946, 0.399249, 0.476325, 0.60421, 0.815644", \ + "0.321461, 0.405719, 0.439919, 0.489764, 0.56674, 0.693087, 0.903852", \ + "0.44586, 0.543419, 0.583163, 0.635823, 0.715823, 0.8426, 1.05503" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0264107, 0.0858242, 0.12357, 0.186451, 0.294994, 0.479686, 0.789448", \ + "0.0279564, 0.0865104, 0.123593, 0.186671, 0.295295, 0.479769, 0.789449", \ + "0.0308537, 0.0882666, 0.125165, 0.187753, 0.295826, 0.479986, 0.793794", \ + "0.0369588, 0.0917909, 0.127562, 0.18978, 0.297379, 0.481031, 0.793795", \ + "0.0463056, 0.098894, 0.133701, 0.193143, 0.299398, 0.482352, 0.793796", \ + "0.060119, 0.113314, 0.144116, 0.201257, 0.304572, 0.485147, 0.793797", \ + "0.084662, 0.141057, 0.169908, 0.220797, 0.318722, 0.495201, 0.799394" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0523111, 0.0964834, 0.120236, 0.158793, 0.222859, 0.329509, 0.506889", \ + "0.0839044, 0.129723, 0.153737, 0.192348, 0.256363, 0.36284, 0.54025", \ + "0.102737, 0.150974, 0.175063, 0.213687, 0.277737, 0.384185, 0.561511", \ + "0.129906, 0.18259, 0.206728, 0.245216, 0.308927, 0.415214, 0.592416", \ + "0.158257, 0.218318, 0.243914, 0.282614, 0.346895, 0.453023, 0.629722", \ + "0.191517, 0.262846, 0.290702, 0.33105, 0.395284, 0.500807, 0.678011", \ + "0.221265, 0.306062, 0.339683, 0.384437, 0.451732, 0.55917, 0.737516" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012696, 0.0577198, 0.0889171, 0.141393, 0.230318, 0.378625, 0.62623", \ + "0.0157907, 0.0591383, 0.0896929, 0.14184, 0.230486, 0.379073, 0.626231", \ + "0.0193255, 0.0612382, 0.0912077, 0.142547, 0.23087, 0.379074, 0.626795", \ + "0.0250224, 0.0656695, 0.0940923, 0.144517, 0.231796, 0.3794, 0.626796", \ + "0.0343501, 0.0746205, 0.101343, 0.148709, 0.23416, 0.380837, 0.627572", \ + "0.048522, 0.089754, 0.11435, 0.158485, 0.240926, 0.384319, 0.629308", \ + "0.071642, 0.116311, 0.13899, 0.179146, 0.257114, 0.397247, 0.638817" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.109381, 0.17576, 0.207472, 0.254971, 0.332389, 0.459804, 0.6714", \ + "0.134758, 0.201465, 0.23302, 0.281108, 0.358481, 0.485831, 0.69738", \ + "0.154799, 0.222886, 0.254551, 0.302837, 0.380317, 0.507769, 0.719565", \ + "0.189484, 0.260229, 0.29275, 0.340804, 0.41849, 0.546235, 0.757868", \ + "0.237493, 0.313438, 0.346761, 0.395824, 0.473847, 0.601263, 0.812941", \ + "0.313763, 0.397994, 0.432771, 0.483257, 0.561456, 0.688137, 0.89919", \ + "0.431846, 0.529321, 0.569521, 0.624057, 0.703673, 0.832392, 1.04478" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0264136, 0.0859025, 0.123389, 0.186542, 0.295134, 0.479724, 0.789352", \ + "0.0275619, 0.0863632, 0.123508, 0.186649, 0.295204, 0.479921, 0.789529", \ + "0.0295578, 0.087973, 0.124817, 0.187618, 0.295707, 0.479922, 0.793496", \ + "0.0342707, 0.0914429, 0.128003, 0.189647, 0.297109, 0.480724, 0.793497", \ + "0.0425594, 0.0982126, 0.133019, 0.193113, 0.299378, 0.482231, 0.793498", \ + "0.055431, 0.111494, 0.144513, 0.201865, 0.304731, 0.485075, 0.793499", \ + "0.077519, 0.135981, 0.16772, 0.221088, 0.319395, 0.495671, 0.799582" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0558048, 0.100404, 0.12431, 0.162881, 0.22703, 0.333707, 0.511144", \ + "0.0887625, 0.134875, 0.158919, 0.197628, 0.261588, 0.368197, 0.545876", \ + "0.109152, 0.157578, 0.181564, 0.220336, 0.284385, 0.391024, 0.568412", \ + "0.138779, 0.191189, 0.216019, 0.254475, 0.318614, 0.424924, 0.602253", \ + "0.171158, 0.230391, 0.256017, 0.295003, 0.358973, 0.465449, 0.642603", \ + "0.209727, 0.280495, 0.30855, 0.348701, 0.412633, 0.519069, 0.696001", \ + "0.250293, 0.333239, 0.365201, 0.409036, 0.475773, 0.583122, 0.761636" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0131224, 0.0581612, 0.089219, 0.141643, 0.230447, 0.378851, 0.626205", \ + "0.0158954, 0.0593004, 0.0899246, 0.142021, 0.230574, 0.379831, 0.626523", \ + "0.0191831, 0.0614201, 0.091249, 0.142766, 0.23093, 0.379832, 0.626524", \ + "0.0246373, 0.0653887, 0.0944302, 0.144508, 0.231849, 0.379833, 0.626661", \ + "0.0338091, 0.0737806, 0.100294, 0.148347, 0.234046, 0.380866, 0.627362", \ + "0.046988, 0.087809, 0.112417, 0.158024, 0.239849, 0.383807, 0.629426", \ + "0.068065, 0.111742, 0.134426, 0.175083, 0.253612, 0.393898, 0.636717" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.114738, 0.18093, 0.212657, 0.260457, 0.3374, 0.465083, 0.676618", \ + "0.136846, 0.203556, 0.234931, 0.28304, 0.360427, 0.487728, 0.699362", \ + "0.153586, 0.221473, 0.253089, 0.30132, 0.378897, 0.506321, 0.717969", \ + "0.182947, 0.253469, 0.285777, 0.334053, 0.412093, 0.539475, 0.751313", \ + "0.223897, 0.299065, 0.332326, 0.38222, 0.459697, 0.587514, 0.799286", \ + "0.289575, 0.373206, 0.408798, 0.460017, 0.537842, 0.665485, 0.876707", \ + "0.389351, 0.486905, 0.52789, 0.5828, 0.665527, 0.795987, 1.00876" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0264431, 0.0860798, 0.123395, 0.186399, 0.295106, 0.479621, 0.78931", \ + "0.0272508, 0.0862404, 0.12342, 0.186668, 0.295264, 0.479937, 0.789328", \ + "0.0287066, 0.087577, 0.124532, 0.18734, 0.295555, 0.479938, 0.790303", \ + "0.0322814, 0.0907444, 0.127409, 0.189115, 0.296864, 0.480605, 0.790304", \ + "0.0390958, 0.0970557, 0.13239, 0.193251, 0.299115, 0.481768, 0.790797", \ + "0.051776, 0.109507, 0.143405, 0.202005, 0.305085, 0.484999, 0.792049", \ + "0.073161, 0.13462, 0.167838, 0.222434, 0.321775, 0.497257, 0.800844" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0583, 0.103531, 0.12756, 0.166334, 0.230595, 0.337251, 0.514911", \ + "0.0923008, 0.138843, 0.162925, 0.201727, 0.265996, 0.372732, 0.550237", \ + "0.113992, 0.162591, 0.186758, 0.225579, 0.289878, 0.396379, 0.57389", \ + "0.145777, 0.198233, 0.223114, 0.261718, 0.32606, 0.432491, 0.609886", \ + "0.181515, 0.24122, 0.266707, 0.306467, 0.370258, 0.47626, 0.653807", \ + "0.226094, 0.295599, 0.323494, 0.364502, 0.428541, 0.5348, 0.711264", \ + "0.277562, 0.359722, 0.392008, 0.435913, 0.502472, 0.609615, 0.788042" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0136117, 0.0586309, 0.0896922, 0.142096, 0.230825, 0.379169, 0.626685", \ + "0.0161082, 0.0596652, 0.0903604, 0.142439, 0.23083, 0.379804, 0.626686", \ + "0.0192246, 0.0617285, 0.0915656, 0.143099, 0.231183, 0.379805, 0.627295", \ + "0.0245119, 0.0653945, 0.0945625, 0.144736, 0.231974, 0.379838, 0.627296", \ + "0.0336707, 0.0735533, 0.100079, 0.148825, 0.234244, 0.381032, 0.627711", \ + "0.046234, 0.087007, 0.111763, 0.157354, 0.239592, 0.384061, 0.629581", \ + "0.065477, 0.109047, 0.131903, 0.173492, 0.25219, 0.39385, 0.636697" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.117298, 0.183686, 0.21494, 0.262961, 0.340185, 0.467586, 0.679007", \ + "0.137836, 0.204351, 0.23584, 0.283862, 0.361215, 0.488569, 0.700228", \ + "0.152212, 0.219816, 0.251685, 0.299594, 0.377108, 0.504396, 0.716133", \ + "0.176743, 0.246581, 0.278991, 0.327317, 0.405091, 0.532473, 0.744217", \ + "0.2097, 0.284046, 0.316958, 0.367022, 0.444331, 0.57207, 0.783562", \ + "0.261265, 0.343776, 0.379282, 0.430563, 0.509038, 0.63721, 0.848325", \ + "0.341388, 0.43747, 0.478133, 0.534476, 0.618489, 0.750233, 0.964628" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0264207, 0.0860958, 0.123415, 0.186423, 0.29503, 0.479667, 0.78952", \ + "0.0269676, 0.0860968, 0.123416, 0.186465, 0.295122, 0.480368, 0.789521", \ + "0.0280698, 0.0872109, 0.124384, 0.18714, 0.295416, 0.480369, 0.79071", \ + "0.0306941, 0.0897805, 0.126768, 0.18881, 0.296474, 0.48037, 0.790711", \ + "0.0359048, 0.0957466, 0.131452, 0.192885, 0.298857, 0.481418, 0.790712", \ + "0.047826, 0.107295, 0.142278, 0.201515, 0.305463, 0.485403, 0.791993", \ + "0.067472, 0.130809, 0.165254, 0.222425, 0.323468, 0.499781, 0.801574" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0601344, 0.106155, 0.130358, 0.16935, 0.233864, 0.340646, 0.5183", \ + "0.0952762, 0.14227, 0.166553, 0.205528, 0.269996, 0.376822, 0.554552", \ + "0.118204, 0.166999, 0.191486, 0.230392, 0.294739, 0.40154, 0.579173", \ + "0.151792, 0.2044, 0.229545, 0.268216, 0.332683, 0.439452, 0.616794", \ + "0.191105, 0.250236, 0.275911, 0.315371, 0.379848, 0.486299, 0.663331", \ + "0.241436, 0.310791, 0.338775, 0.379288, 0.444091, 0.550392, 0.727663", \ + "0.304428, 0.385537, 0.41729, 0.461156, 0.526992, 0.635092, 0.812263" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0141604, 0.0593977, 0.0904138, 0.142774, 0.231425, 0.37964, 0.626939", \ + "0.016424, 0.0602255, 0.0908889, 0.142893, 0.231426, 0.380322, 0.627059", \ + "0.0193885, 0.0620543, 0.0920268, 0.14364, 0.231665, 0.380323, 0.62706", \ + "0.0246371, 0.0657902, 0.0949553, 0.145188, 0.232443, 0.380324, 0.627061", \ + "0.0336692, 0.0732494, 0.100182, 0.148809, 0.234595, 0.381406, 0.627993", \ + "0.046027, 0.086609, 0.111488, 0.156488, 0.239728, 0.384353, 0.629992", \ + "0.063732, 0.10727, 0.130419, 0.172388, 0.251284, 0.393702, 0.636684" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0165997, 0.0159124, 0.0158364, 0.0159676, 0.0159612, 0.0153655, 0.0152863", \ + "0.0167042, 0.015599, 0.0158393, 0.0156736, 0.0155832, 0.0152044, 0.0150713", \ + "0.017526, 0.0158625, 0.0158468, 0.0159828, 0.0158075, 0.0160404, 0.016736", \ + "0.0192196, 0.0168033, 0.0166736, 0.0165159, 0.0161669, 0.0163751, 0.015695", \ + "0.0228853, 0.0189029, 0.0189492, 0.0187697, 0.0186027, 0.0179646, 0.0177805", \ + "0.0295805, 0.0239266, 0.023376, 0.0229565, 0.0226252, 0.0221216, 0.0213152", \ + "0.0434758, 0.0348659, 0.033859, 0.0328002, 0.0323191, 0.0311556, 0.0306259" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0113122, 0.0125194, 0.0126006, 0.0125639, 0.0124469, 0.0119891, 0.0113411", \ + "0.011483, 0.0119587, 0.0121646, 0.0125084, 0.0120886, 0.0117809, 0.010881", \ + "0.012081, 0.0121141, 0.0122279, 0.0120767, 0.012346, 0.0115393, 0.0109223", \ + "0.0135746, 0.0128189, 0.0127001, 0.0129076, 0.0123111, 0.0122055, 0.0124784", \ + "0.0169788, 0.0149857, 0.0149036, 0.0147595, 0.0151241, 0.0151281, 0.0134774", \ + "0.0239395, 0.0194736, 0.0193814, 0.0189187, 0.0186827, 0.0185158, 0.0186984", \ + "0.0373934, 0.03004, 0.0292991, 0.0285144, 0.0282979, 0.0276969, 0.0274336" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0186574, 0.0179329, 0.0181154, 0.0178788, 0.0176966, 0.0173813, 0.0171111", \ + "0.0185793, 0.017559, 0.0178229, 0.0178912, 0.0176405, 0.0172347, 0.0164484", \ + "0.0190933, 0.0176629, 0.0176057, 0.0177881, 0.0175562, 0.0179566, 0.0186106", \ + "0.0202608, 0.0182323, 0.0183949, 0.0181243, 0.017736, 0.0182383, 0.0172838", \ + "0.0232434, 0.0198916, 0.0198507, 0.0198463, 0.0199493, 0.0192292, 0.0190092", \ + "0.0295368, 0.0246272, 0.0244598, 0.0239592, 0.023653, 0.0232003, 0.0225033", \ + "0.0425308, 0.034614, 0.0339766, 0.0332757, 0.0325915, 0.0317906, 0.0310109" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116379, 0.012709, 0.012841, 0.0128113, 0.0127324, 0.0122656, 0.0114679", \ + "0.0117845, 0.0122071, 0.0123976, 0.0126859, 0.0123142, 0.0124377, 0.011372", \ + "0.0123912, 0.0124326, 0.0124144, 0.0124079, 0.0125895, 0.0120055, 0.0112649", \ + "0.0138779, 0.0130175, 0.0132029, 0.0131823, 0.0129585, 0.0126944, 0.0125229", \ + "0.0172896, 0.0152349, 0.0150868, 0.0151108, 0.0153014, 0.015257, 0.0137609", \ + "0.0238866, 0.0196544, 0.0194776, 0.0196989, 0.0192768, 0.0194468, 0.0194544", \ + "0.0369207, 0.0300223, 0.0293166, 0.0285155, 0.0283962, 0.027837, 0.0280664" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0199274, 0.0191492, 0.0193392, 0.019252, 0.018755, 0.0186892, 0.0184041", \ + "0.0197708, 0.0188368, 0.0190601, 0.018973, 0.018804, 0.0184278, 0.0182963", \ + "0.020113, 0.0188584, 0.0187799, 0.0189574, 0.0188109, 0.0191236, 0.0187156", \ + "0.0209186, 0.0192236, 0.0193215, 0.0191302, 0.0188947, 0.0192004, 0.0182918", \ + "0.0237754, 0.0207909, 0.020708, 0.0209093, 0.0207696, 0.0199338, 0.0200768", \ + "0.0299283, 0.0252733, 0.0250037, 0.0246804, 0.0240983, 0.0239253, 0.0233606", \ + "0.0431861, 0.0358524, 0.0351541, 0.034181, 0.033842, 0.0330738, 0.0325035" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0124437, 0.0133991, 0.0135486, 0.0135633, 0.0134103, 0.0129826, 0.0123132", \ + "0.0125833, 0.0129731, 0.0131325, 0.0133913, 0.0130767, 0.0130043, 0.0119961", \ + "0.0132307, 0.0131668, 0.0130949, 0.0130993, 0.0136701, 0.0129241, 0.0122575", \ + "0.0147481, 0.0138145, 0.0139427, 0.0140224, 0.0137349, 0.0137338, 0.0128145", \ + "0.0181762, 0.016051, 0.0158837, 0.0162346, 0.0162929, 0.0158736, 0.014707", \ + "0.0247298, 0.0206332, 0.0204507, 0.020585, 0.0202057, 0.0203306, 0.0200407", \ + "0.0381594, 0.0313568, 0.0305642, 0.0299684, 0.0296049, 0.0294083, 0.0295336" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0197371, 0.0190371, 0.0188771, 0.0190039, 0.0187379, 0.0183892, 0.0179822", \ + "0.0195175, 0.0185967, 0.0188962, 0.0188564, 0.0186008, 0.0184933, 0.0181515", \ + "0.0197857, 0.0186512, 0.0186936, 0.0188169, 0.0186687, 0.0188502, 0.0184175", \ + "0.020303, 0.0188747, 0.0190199, 0.0187829, 0.0186728, 0.018929, 0.0179144", \ + "0.0226195, 0.020205, 0.0201295, 0.0203798, 0.0201986, 0.0194079, 0.0194471", \ + "0.0283703, 0.0243337, 0.0241241, 0.0236623, 0.0234204, 0.023415, 0.0225764", \ + "0.0418329, 0.0353065, 0.0345006, 0.0338339, 0.0335052, 0.0330918, 0.0328106" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0125208, 0.0133915, 0.0135087, 0.0135344, 0.0134099, 0.0129922, 0.012252", \ + "0.0127741, 0.0130888, 0.0132724, 0.0134172, 0.0131553, 0.0131474, 0.0121165", \ + "0.0134469, 0.0132564, 0.013304, 0.0132725, 0.0137631, 0.0131444, 0.0121264", \ + "0.0149911, 0.0140127, 0.0142093, 0.0142149, 0.0137907, 0.0138899, 0.0127991", \ + "0.0185137, 0.0161643, 0.0160775, 0.0161158, 0.0163903, 0.0160929, 0.0144253", \ + "0.0251541, 0.0212044, 0.0209878, 0.0206399, 0.0207552, 0.0207094, 0.0203382", \ + "0.0386798, 0.032071, 0.0313819, 0.0307745, 0.0302047, 0.0297661, 0.0293621" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00242785; + rise_capacitance : 0.00249641; + rise_capacitance_range (0.00249641, 0.00249641); + fall_capacitance : 0.00235928; + fall_capacitance_range (0.00235928, 0.00235928); + internal_power () { + when : "(B * C * !D) + (B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000325274, -0.000315574, -0.000310994, -0.00031097, -0.000312745, -0.000310998, -0.000312973" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000929869, 0.000928009, 0.000925793, 0.000929921, 0.00093091, 0.000931981, 0.000934531" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000325274, -0.000315574, -0.000310994, -0.00031097, -0.000312745, -0.000310998, -0.000312973" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000929869, 0.000928009, 0.000925793, 0.000929921, 0.00093091, 0.000931981, 0.000934531" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00243567; + rise_capacitance : 0.00252737; + rise_capacitance_range (0.00252737, 0.00252737); + fall_capacitance : 0.00234396; + fall_capacitance_range (0.00234396, 0.00234396); + internal_power () { + when : "(A * C * !D) + (A * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000407144, -0.000405502, -0.000405666, -0.000404382, -0.000404813, -0.000405423, -0.000403269" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000659455, 0.000658312, 0.000659663, 0.000662815, 0.000663425, 0.000665729, 0.000667953" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000407144, -0.000405502, -0.000405666, -0.000404382, -0.000404813, -0.000405423, -0.000403269" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000659455, 0.000658312, 0.000659663, 0.000662815, 0.000663425, 0.000665729, 0.000667953" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00281542; + rise_capacitance : 0.00291755; + rise_capacitance_range (0.00291755, 0.00291755); + fall_capacitance : 0.00271329; + fall_capacitance_range (0.00271329, 0.00271329); + internal_power () { + when : "(A * !B * D) + (!A * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00276841; + rise_capacitance : 0.00287355; + rise_capacitance_range (0.00287355, 0.00287355); + fall_capacitance : 0.00266328; + fall_capacitance_range (0.00266328, 0.00266328); + internal_power () { + when : "(A * !B * C) + (!A * C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0018227, 0.00182023, 0.00181024, 0.00180935, 0.00181068, 0.00180585, 0.00180182" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000130933, 7.73512e-05, 5.701e-05, 4.1178e-05, 2.29227e-05, 9.45538e-06, 8.86133e-07" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0018227, 0.00182023, 0.00181024, 0.00180935, 0.00181068, 0.00180585, 0.00180182" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000130933, 7.73512e-05, 5.701e-05, 4.1178e-05, 2.29227e-05, 9.45538e-06, 8.86133e-07" \ + ); + } + } + } + } + cell (sg13g2_antennanp) { + area : 5.4432; + cell_footprint : "NP_ant"; + dont_touch : true; + dont_use : true; + cell_leakage_power : 5.2272; + leakage_power () { + value : 5.2272; + when : "A"; + } + leakage_power () { + value : 5.2272; + when : "!A"; + } + pin (A) { + direction : "input"; + capacitance : 0.000876065; + rise_capacitance : 0.000847772; + rise_capacitance_range (0.000847772, 0.000847772); + fall_capacitance : 0.000904358; + fall_capacitance_range (0.000904358, 0.000904358); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000450085, -0.000448997, -0.00045077, -0.000450804, -0.000451195, -0.00045118, -0.000451086" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000450085, 0.000448997, 0.00045077, 0.000450804, 0.000451195, 0.00045118, 0.000451086" \ + ); + } + } + } + } + cell (sg13g2_buf_1) { + area : 7.2576; + cell_footprint : "BU"; + cell_leakage_power : 203.432; + leakage_power () { + value : 216.142; + when : "!A&!X"; + } + leakage_power () { + value : 190.721; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0358655, 0.0782741, 0.106068, 0.151861, 0.228485, 0.356067, 0.568638", \ + "0.0602957, 0.103632, 0.131459, 0.177343, 0.253875, 0.381567, 0.594097", \ + "0.0746063, 0.119067, 0.146735, 0.192478, 0.269059, 0.396623, 0.609238", \ + "0.0978661, 0.145239, 0.172815, 0.218189, 0.294356, 0.421152, 0.633745", \ + "0.125767, 0.179354, 0.206918, 0.25226, 0.327943, 0.455115, 0.667427", \ + "0.164337, 0.228634, 0.257612, 0.302913, 0.379777, 0.507069, 0.718083", \ + "0.217659, 0.29715, 0.330995, 0.378863, 0.457851, 0.58771, 0.799914" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101934, 0.0667809, 0.107321, 0.174332, 0.286668, 0.473715, 0.785803", \ + "0.0137696, 0.0674244, 0.107665, 0.174527, 0.286713, 0.473814, 0.785804", \ + "0.0166912, 0.0682329, 0.108069, 0.174852, 0.286909, 0.473815, 0.785832", \ + "0.0213841, 0.0698831, 0.109002, 0.175394, 0.287359, 0.474129, 0.785867", \ + "0.0288054, 0.0746704, 0.111776, 0.176886, 0.288309, 0.474935, 0.786271", \ + "0.040179, 0.086579, 0.120376, 0.182523, 0.292104, 0.477505, 0.787905", \ + "0.058288, 0.109085, 0.140021, 0.197738, 0.304034, 0.488046, 0.796122" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0373547, 0.0745909, 0.097999, 0.136483, 0.200802, 0.307931, 0.486406", \ + "0.0612914, 0.100102, 0.12377, 0.162309, 0.226589, 0.333736, 0.512089", \ + "0.0743162, 0.114778, 0.138389, 0.17706, 0.241436, 0.34856, 0.527013", \ + "0.0930314, 0.136476, 0.159839, 0.198295, 0.262395, 0.369534, 0.548027", \ + "0.113436, 0.161464, 0.185722, 0.22352, 0.287757, 0.394157, 0.571947", \ + "0.138248, 0.195402, 0.221064, 0.260109, 0.324825, 0.430978, 0.609291", \ + "0.165602, 0.236111, 0.265792, 0.307599, 0.375078, 0.484997, 0.664947" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00968194, 0.0544171, 0.0865265, 0.139956, 0.229483, 0.378716, 0.627245", \ + "0.0129925, 0.0556086, 0.0872026, 0.140325, 0.229633, 0.378751, 0.627356", \ + "0.0158415, 0.0566922, 0.0879578, 0.140875, 0.229966, 0.378794, 0.630421", \ + "0.0206737, 0.0593021, 0.0894848, 0.14166, 0.230679, 0.37946, 0.630422", \ + "0.0269997, 0.0639488, 0.092888, 0.143809, 0.231918, 0.380485, 0.630423", \ + "0.037568, 0.074375, 0.101242, 0.150029, 0.236581, 0.383646, 0.630699", \ + "0.054434, 0.094989, 0.119221, 0.16426, 0.249026, 0.395173, 0.640318" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00698386, 0.00757095, 0.00750863, 0.00744306, 0.00732225, 0.00705976, 0.00702223", \ + "0.00700021, 0.00727278, 0.00733551, 0.00736076, 0.00720196, 0.00697972, 0.00692819", \ + "0.00745719, 0.00761985, 0.00761243, 0.0075496, 0.00777199, 0.00723823, 0.00717503", \ + "0.00869504, 0.0086421, 0.0086796, 0.00861522, 0.00833036, 0.0083389, 0.00825661", \ + "0.0115803, 0.0111012, 0.0110229, 0.0110344, 0.0109071, 0.010651, 0.0103394", \ + "0.0176149, 0.016548, 0.0164077, 0.016256, 0.0161654, 0.015999, 0.015563", \ + "0.0302662, 0.0280506, 0.0278544, 0.0272396, 0.0269722, 0.0265746, 0.0262925" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00687498, 0.0074865, 0.00747411, 0.00743425, 0.00732141, 0.00712751, 0.00663943", \ + "0.00697417, 0.00735357, 0.00740499, 0.00758527, 0.00747945, 0.0071789, 0.00669583", \ + "0.00753739, 0.0078411, 0.00793342, 0.00787751, 0.00797878, 0.00765486, 0.00787768", \ + "0.0087126, 0.00877064, 0.00878525, 0.00886468, 0.00863322, 0.00837208, 0.00861897", \ + "0.0115304, 0.011151, 0.011255, 0.0112376, 0.0112556, 0.0110432, 0.0098109", \ + "0.0173949, 0.016261, 0.0161791, 0.0161575, 0.0159965, 0.0157629, 0.0157486", \ + "0.0294639, 0.0273498, 0.02712, 0.0267242, 0.0265821, 0.0264922, 0.0260082" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00251213; + rise_capacitance : 0.00253281; + rise_capacitance_range (0.00253281, 0.00253281); + fall_capacitance : 0.00249145; + fall_capacitance_range (0.00249145, 0.00249145); + } + } + cell (sg13g2_buf_16) { + area : 45.36; + cell_footprint : "BU"; + cell_leakage_power : 2605.74; + leakage_power () { + value : 2211.66; + when : "A&X"; + } + leakage_power () { + value : 2999.82; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 4.8; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0411471, 0.0894937, 0.117523, 0.163547, 0.240495, 0.36852, 0.58126", \ + "0.0702659, 0.120357, 0.148392, 0.194378, 0.271239, 0.39921, 0.613569", \ + "0.0878277, 0.139904, 0.167789, 0.213741, 0.290489, 0.418316, 0.631366", \ + "0.116205, 0.172447, 0.199879, 0.245082, 0.321685, 0.449342, 0.661759", \ + "0.151979, 0.214497, 0.242616, 0.287731, 0.362829, 0.489862, 0.702132", \ + "0.202356, 0.275535, 0.305744, 0.351132, 0.426372, 0.553776, 0.765836", \ + "0.270938, 0.359224, 0.39428, 0.442773, 0.520315, 0.648769, 0.861893" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.010374, 0.0688913, 0.109208, 0.176572, 0.289665, 0.47787, 0.791602", \ + "0.014512, 0.0697236, 0.109702, 0.17674, 0.289666, 0.477976, 0.793049", \ + "0.0179184, 0.0710888, 0.110388, 0.177193, 0.289802, 0.477977, 0.79305", \ + "0.0235678, 0.074397, 0.112206, 0.17803, 0.290379, 0.478303, 0.793051", \ + "0.0331841, 0.0803091, 0.116152, 0.180295, 0.2916, 0.479098, 0.793052", \ + "0.048176, 0.095334, 0.127109, 0.186966, 0.29556, 0.481612, 0.794183", \ + "0.072186, 0.122605, 0.151656, 0.205381, 0.308894, 0.491417, 0.801073" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0447253, 0.0893637, 0.113409, 0.152313, 0.217131, 0.325134, 0.504545", \ + "0.0737119, 0.120104, 0.144142, 0.183215, 0.248062, 0.356055, 0.535596", \ + "0.0908632, 0.139332, 0.163645, 0.202578, 0.2674, 0.375471, 0.554929", \ + "0.116118, 0.167983, 0.192184, 0.230942, 0.295321, 0.402897, 0.582337", \ + "0.14392, 0.202069, 0.227388, 0.266139, 0.33086, 0.438235, 0.61735", \ + "0.181667, 0.249048, 0.276045, 0.316705, 0.380271, 0.488168, 0.666669", \ + "0.226097, 0.305963, 0.337228, 0.380351, 0.44737, 0.556195, 0.737129" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0110334, 0.0581347, 0.0901874, 0.143766, 0.234135, 0.384908, 0.636289", \ + "0.0147355, 0.0594893, 0.0908499, 0.144048, 0.234233, 0.385109, 0.636516", \ + "0.0185069, 0.0612774, 0.09211, 0.144833, 0.234612, 0.385196, 0.637253", \ + "0.0244196, 0.0649351, 0.0945593, 0.146435, 0.235581, 0.385744, 0.637254", \ + "0.0331881, 0.0726037, 0.100517, 0.149948, 0.237522, 0.386979, 0.637672", \ + "0.046944, 0.085997, 0.11149, 0.158747, 0.243517, 0.390457, 0.639861", \ + "0.069256, 0.110624, 0.133589, 0.176053, 0.257662, 0.402648, 0.649665" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.094178, 0.103542, 0.103767, 0.103093, 0.101977, 0.0988536, 0.0964011", \ + "0.0972046, 0.0997254, 0.101225, 0.101674, 0.0991756, 0.0959627, 0.0945797", \ + "0.103084, 0.102342, 0.102189, 0.102276, 0.105592, 0.0968491, 0.0959235", \ + "0.117359, 0.110337, 0.10979, 0.108428, 0.105809, 0.107662, 0.0979499", \ + "0.14864, 0.129132, 0.128509, 0.127565, 0.125419, 0.120166, 0.114299", \ + "0.212549, 0.176029, 0.173347, 0.170457, 0.166828, 0.165782, 0.161951", \ + "0.33494, 0.273977, 0.269312, 0.261615, 0.259047, 0.25175, 0.248878" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0905941, 0.100871, 0.101836, 0.101358, 0.0995686, 0.0969354, 0.0894181", \ + "0.0948795, 0.0978929, 0.0992578, 0.102715, 0.100305, 0.0955215, 0.0891521", \ + "0.101975, 0.101161, 0.101376, 0.100366, 0.102646, 0.0966058, 0.0922066", \ + "0.11624, 0.106972, 0.106685, 0.10883, 0.102885, 0.102013, 0.0980018", \ + "0.147353, 0.12808, 0.128119, 0.127999, 0.130468, 0.127819, 0.117935", \ + "0.206732, 0.1702, 0.1695, 0.171384, 0.167007, 0.167542, 0.162299", \ + "0.324128, 0.266861, 0.2613, 0.254978, 0.252323, 0.246189, 0.245312" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0185095; + rise_capacitance : 0.0187316; + rise_capacitance_range (0.0187316, 0.0187316); + fall_capacitance : 0.0182875; + fall_capacitance_range (0.0182875, 0.0182875); + } + } + cell (sg13g2_buf_2) { + area : 9.072; + cell_footprint : "BU"; + cell_leakage_power : 338.828; + leakage_power () { + value : 385.624; + when : "!A&!X"; + } + leakage_power () { + value : 292.032; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0404914, 0.0861676, 0.114037, 0.159852, 0.236687, 0.363876, 0.576237", \ + "0.0689053, 0.116286, 0.144201, 0.189984, 0.266584, 0.394025, 0.606439", \ + "0.0859998, 0.135112, 0.162903, 0.208701, 0.285122, 0.412451, 0.624858", \ + "0.113646, 0.166882, 0.194125, 0.23946, 0.315413, 0.442735, 0.6547", \ + "0.148364, 0.207836, 0.235686, 0.281054, 0.356556, 0.482617, 0.694707", \ + "0.19724, 0.267669, 0.297167, 0.342579, 0.418358, 0.543879, 0.755683", \ + "0.26666, 0.351984, 0.386545, 0.435218, 0.511912, 0.638883, 0.851659" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0104689, 0.0674959, 0.107964, 0.17514, 0.287993, 0.475399, 0.788309", \ + "0.0145436, 0.068392, 0.108431, 0.175317, 0.287994, 0.475457, 0.788673", \ + "0.0177835, 0.0696588, 0.108991, 0.175745, 0.288065, 0.475481, 0.788674", \ + "0.0231018, 0.0726589, 0.110597, 0.176459, 0.288584, 0.475866, 0.788675", \ + "0.0320182, 0.0780998, 0.114157, 0.178469, 0.289642, 0.476723, 0.788959", \ + "0.045941, 0.09265, 0.124665, 0.184771, 0.293405, 0.479085, 0.790462", \ + "0.067813, 0.118234, 0.147538, 0.20249, 0.306131, 0.488522, 0.797307" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.042734, 0.0841002, 0.107621, 0.146135, 0.210369, 0.317316, 0.495079", \ + "0.070579, 0.113712, 0.137401, 0.175971, 0.240079, 0.347014, 0.524971", \ + "0.0866112, 0.131912, 0.155562, 0.194131, 0.258348, 0.365138, 0.543126", \ + "0.109948, 0.158559, 0.182177, 0.220488, 0.284459, 0.39101, 0.568905", \ + "0.134764, 0.190184, 0.214162, 0.252488, 0.31656, 0.422839, 0.600508", \ + "0.168346, 0.231838, 0.258468, 0.29761, 0.36138, 0.467295, 0.644294", \ + "0.205773, 0.282373, 0.312842, 0.35513, 0.421122, 0.529566, 0.709139" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0105725, 0.0559161, 0.0877041, 0.140728, 0.230065, 0.379068, 0.627289", \ + "0.0142175, 0.057254, 0.0884374, 0.141078, 0.230086, 0.379069, 0.627308", \ + "0.0177554, 0.0588934, 0.0895975, 0.14186, 0.230568, 0.379164, 0.627309", \ + "0.0233521, 0.0623434, 0.0917714, 0.143168, 0.231392, 0.379831, 0.627478", \ + "0.0313544, 0.0693583, 0.0972248, 0.146373, 0.233027, 0.380986, 0.628341", \ + "0.044163, 0.081953, 0.107028, 0.153446, 0.23852, 0.384114, 0.630679", \ + "0.064878, 0.105213, 0.127689, 0.170167, 0.251455, 0.395489, 0.639943" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120717, 0.0131759, 0.013225, 0.0131268, 0.0130385, 0.0124119, 0.0124135", \ + "0.0123372, 0.012704, 0.0129107, 0.013105, 0.012596, 0.0122294, 0.0122962", \ + "0.0129887, 0.0130616, 0.0130906, 0.0130884, 0.0130948, 0.0125869, 0.0124227", \ + "0.014762, 0.0142301, 0.0141838, 0.0140917, 0.0135515, 0.0139918, 0.0124591", \ + "0.0188522, 0.0169446, 0.016843, 0.0167497, 0.0165943, 0.0159019, 0.0151607", \ + "0.0273035, 0.0236532, 0.0232959, 0.0230055, 0.0227194, 0.0219297, 0.021568", \ + "0.0441575, 0.0375278, 0.0370021, 0.0362643, 0.036054, 0.0343606, 0.0345041" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0117313, 0.0130238, 0.0131074, 0.0130269, 0.0128949, 0.0124373, 0.0116443", \ + "0.012064, 0.012663, 0.0128161, 0.0130477, 0.0130978, 0.0122586, 0.0115824", \ + "0.0128358, 0.0130954, 0.0132628, 0.013178, 0.013511, 0.0126746, 0.0119456", \ + "0.0148081, 0.014056, 0.0140485, 0.0141779, 0.0136913, 0.0132509, 0.0125669", \ + "0.0187593, 0.0170957, 0.0171388, 0.0170195, 0.0168926, 0.0166846, 0.0152681", \ + "0.0269209, 0.0230981, 0.0229274, 0.0227521, 0.0225619, 0.0222462, 0.0217392", \ + "0.0431195, 0.036549, 0.0358735, 0.035149, 0.0346951, 0.0344412, 0.0343644" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00282718; + rise_capacitance : 0.00286036; + rise_capacitance_range (0.00286036, 0.00286036); + fall_capacitance : 0.00279401; + fall_capacitance_range (0.00279401, 0.00279401); + } + } + cell (sg13g2_buf_4) { + area : 14.5152; + cell_footprint : "BU"; + cell_leakage_power : 620.311; + leakage_power () { + value : 499.662; + when : "A&X"; + } + leakage_power () { + value : 740.96; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 1.2; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0507127, 0.100727, 0.128717, 0.174669, 0.251252, 0.378764, 0.590801", \ + "0.0845686, 0.136343, 0.164372, 0.210187, 0.286599, 0.414171, 0.626848", \ + "0.106687, 0.16066, 0.188629, 0.234354, 0.310751, 0.438093, 0.650081", \ + "0.142103, 0.200925, 0.228635, 0.274291, 0.3505, 0.477491, 0.689341", \ + "0.1909, 0.256169, 0.28495, 0.329425, 0.404307, 0.531725, 0.742685", \ + "0.265068, 0.339866, 0.370058, 0.416024, 0.490266, 0.616624, 0.827188", \ + "0.378736, 0.467544, 0.503356, 0.553066, 0.630104, 0.755893, 0.967998" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0133816, 0.0698082, 0.109592, 0.17628, 0.288369, 0.475338, 0.786662", \ + "0.0174324, 0.0710594, 0.110161, 0.176483, 0.288401, 0.475762, 0.787848", \ + "0.0214824, 0.0727724, 0.111238, 0.177014, 0.288595, 0.475763, 0.787849", \ + "0.0279692, 0.0771458, 0.113694, 0.178271, 0.289368, 0.475764, 0.78785", \ + "0.0375432, 0.085071, 0.119696, 0.181367, 0.290912, 0.476806, 0.787851", \ + "0.053749, 0.099786, 0.130359, 0.188819, 0.295446, 0.479284, 0.789628", \ + "0.079507, 0.129217, 0.157348, 0.209787, 0.30938, 0.488998, 0.795991" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0437477, 0.0870529, 0.110909, 0.149945, 0.214683, 0.322769, 0.502306", \ + "0.0726236, 0.117553, 0.141514, 0.18045, 0.245296, 0.353269, 0.533141", \ + "0.0890926, 0.136275, 0.160243, 0.199163, 0.264129, 0.371989, 0.551712", \ + "0.11231, 0.162957, 0.18686, 0.225572, 0.290087, 0.397613, 0.577182", \ + "0.134867, 0.191936, 0.216679, 0.255493, 0.319489, 0.426889, 0.60579", \ + "0.15968, 0.22661, 0.253002, 0.293496, 0.35708, 0.464328, 0.64285", \ + "0.176562, 0.255958, 0.287247, 0.330016, 0.395638, 0.504342, 0.68453" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0109665, 0.0572975, 0.0892339, 0.142931, 0.233004, 0.38338, 0.634128", \ + "0.0147896, 0.0585999, 0.0899796, 0.143153, 0.233077, 0.383381, 0.63446", \ + "0.018729, 0.0604484, 0.0912519, 0.14384, 0.233466, 0.383543, 0.635491", \ + "0.0250684, 0.0642818, 0.0937595, 0.145418, 0.234365, 0.384206, 0.635492", \ + "0.0344575, 0.0722974, 0.0995354, 0.148958, 0.236235, 0.385447, 0.635493", \ + "0.049642, 0.086593, 0.111111, 0.157782, 0.241565, 0.388527, 0.637451", \ + "0.073647, 0.113083, 0.134739, 0.175694, 0.255841, 0.399339, 0.645813" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0227706, 0.0245597, 0.0246962, 0.0247161, 0.0243273, 0.0234823, 0.0229142", \ + "0.023563, 0.0236299, 0.0240984, 0.0240912, 0.0239355, 0.0232433, 0.0235783", \ + "0.0251959, 0.0242297, 0.0240997, 0.0242235, 0.0246988, 0.0229964, 0.0226109", \ + "0.0287437, 0.0259278, 0.025961, 0.0261586, 0.0252299, 0.0261433, 0.0240726", \ + "0.0357608, 0.0298027, 0.0300345, 0.0292868, 0.0287254, 0.0282048, 0.0270811", \ + "0.0492973, 0.0388776, 0.0382784, 0.0375747, 0.0368689, 0.0365926, 0.034964", \ + "0.0769406, 0.0600781, 0.0582861, 0.0569241, 0.0558892, 0.0539039, 0.0530778" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0225066, 0.0251037, 0.025259, 0.0253427, 0.0248748, 0.0241588, 0.0225219", \ + "0.0233608, 0.024209, 0.0246096, 0.0254702, 0.0249865, 0.0235815, 0.022427", \ + "0.02482, 0.0246156, 0.0250005, 0.0246585, 0.0252033, 0.0235273, 0.0232147", \ + "0.0281109, 0.0258991, 0.0257866, 0.0261395, 0.0252349, 0.0246046, 0.0225104", \ + "0.0349608, 0.0299958, 0.0298052, 0.0300419, 0.0299945, 0.0298844, 0.0267245", \ + "0.048453, 0.0388086, 0.0381192, 0.038745, 0.0373158, 0.0370492, 0.036558", \ + "0.0737363, 0.0579251, 0.0566256, 0.0553922, 0.0546715, 0.0544521, 0.0538198" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00402182; + rise_capacitance : 0.00414029; + rise_capacitance_range (0.00414029, 0.00414029); + fall_capacitance : 0.00390336; + fall_capacitance_range (0.00390336, 0.00390336); + } + } + cell (sg13g2_buf_8) { + area : 23.5872; + cell_footprint : "BU"; + cell_leakage_power : 1302.87; + leakage_power () { + value : 1105.84; + when : "A&X"; + } + leakage_power () { + value : 1499.9; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 2.4; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0407034, 0.0884863, 0.116474, 0.16243, 0.239378, 0.367088, 0.580038", \ + "0.0697983, 0.119344, 0.1473, 0.193292, 0.270216, 0.398038, 0.612595", \ + "0.0872871, 0.138829, 0.166628, 0.212533, 0.289103, 0.416871, 0.629901", \ + "0.115583, 0.171247, 0.198354, 0.243964, 0.320428, 0.447993, 0.66095", \ + "0.151249, 0.213231, 0.240921, 0.286233, 0.361189, 0.488446, 0.700332", \ + "0.20105, 0.27391, 0.30402, 0.348992, 0.425122, 0.552202, 0.763789", \ + "0.269794, 0.357619, 0.393299, 0.442489, 0.518723, 0.647178, 0.858438" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.01017, 0.0681827, 0.108674, 0.175885, 0.288847, 0.47679, 0.790086", \ + "0.0143972, 0.0690661, 0.109071, 0.176119, 0.288848, 0.476874, 0.791561", \ + "0.0178231, 0.070452, 0.109741, 0.176552, 0.288958, 0.476875, 0.791562", \ + "0.0235288, 0.0737964, 0.111415, 0.177307, 0.289512, 0.477161, 0.791563", \ + "0.0331357, 0.0797414, 0.11526, 0.179557, 0.290804, 0.478019, 0.791564", \ + "0.048257, 0.094994, 0.127007, 0.186332, 0.294664, 0.480573, 0.792376", \ + "0.072202, 0.122262, 0.150694, 0.205105, 0.307664, 0.49035, 0.799447" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0441993, 0.0884348, 0.112439, 0.151686, 0.216846, 0.325546, 0.506104", \ + "0.0731773, 0.119193, 0.143305, 0.182517, 0.247699, 0.356302, 0.5374", \ + "0.0903632, 0.138406, 0.162482, 0.201738, 0.26696, 0.37544, 0.556081", \ + "0.115379, 0.167, 0.191162, 0.230059, 0.294809, 0.402977, 0.58359", \ + "0.142909, 0.201717, 0.226572, 0.265767, 0.330239, 0.437825, 0.618231", \ + "0.180691, 0.247769, 0.274541, 0.314836, 0.378863, 0.486676, 0.666437", \ + "0.224733, 0.304239, 0.335502, 0.379407, 0.445917, 0.55538, 0.736946" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0108069, 0.0578335, 0.0897935, 0.143705, 0.234262, 0.385507, 0.637529", \ + "0.014574, 0.0590489, 0.0905651, 0.144068, 0.234415, 0.385707, 0.638246", \ + "0.0183898, 0.0608597, 0.0917924, 0.14472, 0.234839, 0.385708, 0.638247", \ + "0.0243416, 0.0644515, 0.0942909, 0.146327, 0.23574, 0.386295, 0.638248", \ + "0.0331813, 0.0720887, 0.100052, 0.149755, 0.23767, 0.387597, 0.638859", \ + "0.046887, 0.085629, 0.110745, 0.158059, 0.243392, 0.391113, 0.641141", \ + "0.069243, 0.110296, 0.13322, 0.176695, 0.257654, 0.403155, 0.650559" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.046405, 0.0512345, 0.0514362, 0.0509711, 0.0504066, 0.0481765, 0.047688", \ + "0.0480625, 0.0493228, 0.0499574, 0.050612, 0.0491197, 0.0472525, 0.0471796", \ + "0.0508595, 0.050493, 0.0505901, 0.0506111, 0.052323, 0.0480417, 0.0450308", \ + "0.0579364, 0.0543509, 0.0536515, 0.0539095, 0.0525948, 0.0537218, 0.048288", \ + "0.0736063, 0.0639344, 0.0631686, 0.0630071, 0.061918, 0.0595287, 0.0565269", \ + "0.104821, 0.0873414, 0.0864496, 0.0847384, 0.083945, 0.0819313, 0.0795377", \ + "0.166838, 0.136279, 0.133764, 0.130984, 0.129757, 0.126653, 0.123272" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0446659, 0.0500091, 0.0501184, 0.0501898, 0.04912, 0.0477319, 0.0442399", \ + "0.0466347, 0.0483125, 0.0489082, 0.0502535, 0.049144, 0.0469137, 0.0448945", \ + "0.0498617, 0.0499252, 0.0503235, 0.050014, 0.0506799, 0.0482443, 0.0454824", \ + "0.0574671, 0.0528281, 0.0526371, 0.0535889, 0.0511927, 0.0497503, 0.0465006", \ + "0.0729583, 0.0631931, 0.0631549, 0.0634649, 0.0629159, 0.0623801, 0.0561383", \ + "0.102931, 0.0844484, 0.0834264, 0.083965, 0.0820717, 0.0815827, 0.0798363", \ + "0.161548, 0.132685, 0.129903, 0.128025, 0.125506, 0.122863, 0.121803" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00928233; + rise_capacitance : 0.0093921; + rise_capacitance_range (0.0093921, 0.0093921); + fall_capacitance : 0.00917257; + fall_capacitance_range (0.00917257, 0.00917257); + } + } + cell (sg13g2_decap_4) { + area : 7.2576; + cell_footprint : "DECAP"; + dont_touch : true; + dont_use : true; + is_decap_cell : true; + cell_leakage_power : 1468.6; + } + cell (sg13g2_decap_8) { + area : 12.7008; + cell_footprint : "DECAP"; + dont_touch : true; + dont_use : true; + is_decap_cell : true; + cell_leakage_power : 2937.24; + } + cell (sg13g2_dfrbp_1) { + area : 47.1744; + cell_footprint : "dffrr"; + cell_leakage_power : 1098.9; + leakage_power () { + value : 958.718; + when : "!CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 942.014; + when : "!CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 991.712; + when : "CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 975.007; + when : "CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1143.91; + when : "!CLK&!D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 1060.87; + when : "!CLK&!D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 1196.81; + when : "!CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 1190.18; + when : "!CLK&D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 1201.64; + when : "CLK&!D&RESET_B&Q*!Q_N"; + } + leakage_power () { + value : 1093.3; + when : "CLK&!D&RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1247.92; + when : "CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 1184.7; + when : "CLK&D&RESET_B&!Q&Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.131707, 0.1702, 0.197499, 0.242997, 0.319055, 0.445921, 0.657591", \ + "0.161616, 0.200089, 0.22751, 0.272987, 0.349076, 0.476024, 0.687667", \ + "0.180385, 0.218815, 0.246242, 0.291633, 0.367747, 0.494595, 0.706266", \ + "0.210565, 0.248949, 0.276354, 0.321745, 0.397922, 0.524673, 0.736109", \ + "0.249581, 0.287846, 0.315239, 0.360663, 0.436709, 0.563652, 0.775035", \ + "0.30389, 0.341558, 0.368873, 0.414283, 0.490357, 0.617224, 0.828582", \ + "0.374599, 0.411188, 0.438351, 0.483628, 0.559626, 0.6864, 0.897871" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014096, 0.0670111, 0.107058, 0.173646, 0.285296, 0.471481, 0.781863", \ + "0.0141057, 0.0670294, 0.107059, 0.173647, 0.285297, 0.471482, 0.781915", \ + "0.0141176, 0.0670432, 0.107078, 0.173664, 0.285328, 0.471483, 0.782042", \ + "0.0141186, 0.0670442, 0.107079, 0.173665, 0.285329, 0.471484, 0.782043", \ + "0.0142268, 0.0670737, 0.10708, 0.173666, 0.28533, 0.471485, 0.782044", \ + "0.014715, 0.067134, 0.1071, 0.173667, 0.285331, 0.471486, 0.782045", \ + "0.015832, 0.067305, 0.1072, 0.1737, 0.285359, 0.471487, 0.782046" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.128332, 0.163129, 0.186236, 0.224369, 0.288185, 0.394571, 0.572018", \ + "0.158054, 0.19283, 0.215995, 0.254125, 0.317938, 0.424284, 0.601799", \ + "0.176402, 0.211198, 0.234329, 0.272467, 0.336264, 0.44265, 0.619953", \ + "0.20547, 0.240181, 0.263301, 0.301444, 0.365255, 0.471609, 0.648832", \ + "0.241261, 0.275961, 0.299104, 0.337243, 0.401067, 0.507426, 0.684673", \ + "0.287345, 0.322019, 0.345175, 0.38334, 0.447147, 0.553524, 0.730813", \ + "0.345434, 0.380126, 0.403275, 0.4414, 0.505235, 0.611613, 0.7889" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0120417, 0.0548235, 0.0863843, 0.139265, 0.228063, 0.376322, 0.623757", \ + "0.0120666, 0.0548245, 0.0863853, 0.139266, 0.228083, 0.376487, 0.623758", \ + "0.0120692, 0.0548255, 0.0864097, 0.139267, 0.228084, 0.376488, 0.624797", \ + "0.0120831, 0.0548265, 0.0864107, 0.139268, 0.228195, 0.376489, 0.624798", \ + "0.0120922, 0.0548275, 0.0864117, 0.139269, 0.228196, 0.37649, 0.624799", \ + "0.0120932, 0.0548285, 0.0864127, 0.13927, 0.228197, 0.376491, 0.6248", \ + "0.0120942, 0.0548295, 0.0864137, 0.139271, 0.228198, 0.376492, 0.624801" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.16643, 0.201104, 0.224096, 0.262406, 0.326217, 0.432646, 0.610144", \ + "0.203529, 0.238198, 0.261331, 0.299553, 0.363488, 0.469874, 0.647133", \ + "0.230301, 0.264932, 0.288043, 0.326225, 0.390104, 0.496598, 0.673885", \ + "0.272132, 0.30669, 0.329815, 0.36804, 0.431875, 0.538356, 0.715596", \ + "0.326829, 0.361389, 0.384508, 0.422646, 0.48654, 0.592941, 0.770261", \ + "0.402772, 0.437625, 0.460737, 0.498892, 0.562757, 0.669269, 0.846463", \ + "0.507953, 0.542206, 0.565154, 0.603402, 0.667326, 0.773758, 0.951059" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119126, 0.0546909, 0.0863106, 0.13924, 0.228137, 0.376423, 0.623489", \ + "0.0119136, 0.0546919, 0.0863627, 0.139241, 0.228684, 0.376424, 0.623614", \ + "0.0119254, 0.0546929, 0.0863637, 0.139242, 0.228685, 0.376448, 0.624673", \ + "0.0119778, 0.0547228, 0.0863647, 0.139243, 0.228686, 0.376449, 0.624674", \ + "0.012031, 0.0547356, 0.0863657, 0.139244, 0.228687, 0.37645, 0.624675", \ + "0.012153, 0.054765, 0.086366, 0.139245, 0.228688, 0.376451, 0.624676", \ + "0.012306, 0.05483, 0.086395, 0.139253, 0.228689, 0.376452, 0.624677" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0380522, 0.0582747, 0.0719423, 0.0945082, 0.132004, 0.194435, 0.298482", \ + "0.037851, 0.0580417, 0.0718873, 0.0943254, 0.131952, 0.194305, 0.298425", \ + "0.0383625, 0.0584857, 0.0721615, 0.0947594, 0.132498, 0.195129, 0.298721", \ + "0.0395713, 0.0596541, 0.073425, 0.095934, 0.133424, 0.196353, 0.299848", \ + "0.0429717, 0.0628965, 0.0767036, 0.099327, 0.137002, 0.199432, 0.303559", \ + "0.0504722, 0.0701154, 0.0838797, 0.106459, 0.144325, 0.207015, 0.311263", \ + "0.0668045, 0.0860574, 0.0997057, 0.122155, 0.159955, 0.222599, 0.327066" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0373473, 0.0575361, 0.0711424, 0.0935454, 0.130874, 0.192974, 0.296571", \ + "0.0373697, 0.057526, 0.0712342, 0.0942156, 0.131215, 0.193088, 0.296692", \ + "0.0379776, 0.0582073, 0.0717883, 0.094174, 0.132333, 0.193589, 0.297449", \ + "0.0394061, 0.0595774, 0.0733852, 0.0958837, 0.13321, 0.19555, 0.300727", \ + "0.0429177, 0.0630726, 0.0767382, 0.0994109, 0.137094, 0.199276, 0.302354", \ + "0.0501662, 0.0701961, 0.0838518, 0.106566, 0.144017, 0.206769, 0.311647", \ + "0.0657078, 0.0856727, 0.0993575, 0.121577, 0.159114, 0.222198, 0.32667" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0256098, 0.0457243, 0.0593373, 0.0817878, 0.119127, 0.181263, 0.28472", \ + "0.025606, 0.0457352, 0.0596169, 0.0817783, 0.119357, 0.181267, 0.284788", \ + "0.0260219, 0.0460792, 0.059707, 0.0823293, 0.119932, 0.181849, 0.285561", \ + "0.0268259, 0.0469497, 0.0606229, 0.0830996, 0.120294, 0.183298, 0.286021", \ + "0.028764, 0.0487544, 0.0624005, 0.0850868, 0.122784, 0.184814, 0.288296", \ + "0.0322908, 0.0521101, 0.0657832, 0.0882082, 0.126039, 0.189003, 0.293086", \ + "0.0393031, 0.0588634, 0.0724375, 0.0948861, 0.132798, 0.195232, 0.299699" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0983203, 0.154344, 0.183662, 0.229946, 0.306275, 0.433315, 0.644884", \ + "0.128076, 0.184073, 0.213434, 0.259669, 0.336058, 0.462966, 0.67438", \ + "0.14636, 0.202351, 0.231655, 0.277882, 0.354278, 0.481263, 0.69262", \ + "0.175406, 0.231384, 0.260722, 0.306997, 0.383343, 0.510281, 0.721602", \ + "0.211221, 0.267081, 0.296449, 0.342692, 0.419073, 0.546097, 0.757444", \ + "0.257683, 0.313383, 0.342722, 0.388958, 0.465385, 0.592347, 0.803731", \ + "0.315436, 0.370909, 0.400171, 0.446329, 0.522746, 0.649741, 0.861172" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194872, 0.0759892, 0.11324, 0.17703, 0.287089, 0.472681, 0.782728", \ + "0.0194882, 0.0760254, 0.113241, 0.177031, 0.287156, 0.472692, 0.782737", \ + "0.0195112, 0.0760264, 0.113242, 0.177056, 0.287157, 0.472745, 0.782738", \ + "0.0195122, 0.0760308, 0.113272, 0.177084, 0.287158, 0.472746, 0.782739", \ + "0.0195132, 0.076037, 0.113294, 0.177085, 0.287159, 0.472747, 0.78274", \ + "0.0195142, 0.076038, 0.113295, 0.177086, 0.28716, 0.472748, 0.782741", \ + "0.019617, 0.076039, 0.113296, 0.177087, 0.287161, 0.472749, 0.782742" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.100094, 0.157118, 0.183998, 0.224487, 0.289464, 0.396862, 0.575514", \ + "0.129911, 0.187035, 0.213939, 0.254429, 0.31944, 0.426871, 0.605874", \ + "0.148634, 0.205699, 0.232642, 0.273079, 0.338125, 0.445493, 0.62427", \ + "0.178737, 0.235885, 0.262849, 0.303291, 0.368387, 0.475756, 0.654358", \ + "0.217335, 0.274858, 0.301884, 0.342474, 0.407477, 0.514898, 0.693503", \ + "0.270192, 0.329278, 0.356438, 0.397094, 0.462193, 0.569647, 0.748282", \ + "0.337861, 0.40112, 0.428784, 0.469703, 0.534937, 0.642353, 0.821027" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.020816, 0.0698229, 0.098398, 0.147641, 0.233843, 0.381544, 0.629979", \ + "0.0208555, 0.0699008, 0.098402, 0.147642, 0.23386, 0.381703, 0.630552", \ + "0.0210113, 0.0699394, 0.0984317, 0.147643, 0.233861, 0.381704, 0.630553", \ + "0.0213224, 0.0700884, 0.0985108, 0.147644, 0.233862, 0.381705, 0.630554", \ + "0.0222012, 0.0704239, 0.0987094, 0.147722, 0.233863, 0.381706, 0.630555", \ + "0.024803, 0.071668, 0.099483, 0.148119, 0.234079, 0.381707, 0.630556", \ + "0.029851, 0.075092, 0.101926, 0.149511, 0.234881, 0.381993, 0.630557" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.136758, 0.191207, 0.220211, 0.266342, 0.342663, 0.469608, 0.68124", \ + "0.173774, 0.228304, 0.257322, 0.303413, 0.379834, 0.506744, 0.718299", \ + "0.200592, 0.255006, 0.284029, 0.33015, 0.406504, 0.533486, 0.744917", \ + "0.242305, 0.296879, 0.325878, 0.371933, 0.448303, 0.575187, 0.786554", \ + "0.297235, 0.352067, 0.380317, 0.426977, 0.503513, 0.630379, 0.841753", \ + "0.372716, 0.427455, 0.456479, 0.502555, 0.579025, 0.706602, 0.918037", \ + "0.477037, 0.532692, 0.561606, 0.607958, 0.684351, 0.811234, 1.02302" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0195903, 0.0745762, 0.112125, 0.176495, 0.287033, 0.472724, 0.78271", \ + "0.0195955, 0.0746404, 0.11214, 0.176496, 0.287049, 0.472897, 0.782711", \ + "0.0197633, 0.0746719, 0.112141, 0.17653, 0.28705, 0.472898, 0.784207", \ + "0.0200128, 0.0747827, 0.112147, 0.176531, 0.287051, 0.472899, 0.784208", \ + "0.0205446, 0.0750035, 0.112256, 0.176532, 0.287052, 0.4729, 0.784209", \ + "0.021361, 0.075364, 0.112502, 0.176609, 0.287053, 0.472901, 0.78421", \ + "0.022412, 0.075904, 0.112838, 0.176805, 0.287116, 0.472902, 0.784211" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.037358, 0.0576033, 0.0713216, 0.0938262, 0.131339, 0.193807, 0.297839", \ + "0.0374051, 0.057638, 0.0714292, 0.0938433, 0.131759, 0.193834, 0.297788", \ + "0.0379666, 0.0581921, 0.0718904, 0.0944641, 0.132067, 0.194635, 0.298468", \ + "0.0394231, 0.0596692, 0.0734182, 0.0959587, 0.133305, 0.196314, 0.299953", \ + "0.0429209, 0.0630592, 0.0769083, 0.0994371, 0.137153, 0.19949, 0.303723", \ + "0.0501639, 0.0702867, 0.0840358, 0.106639, 0.144369, 0.207177, 0.311481", \ + "0.0657062, 0.085785, 0.0995154, 0.12187, 0.159662, 0.222219, 0.326922" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0380415, 0.058191, 0.0718114, 0.0942414, 0.131602, 0.193763, 0.297352", \ + "0.0378807, 0.0579649, 0.0717233, 0.0942471, 0.131581, 0.193526, 0.297479", \ + "0.0383082, 0.0584702, 0.0720481, 0.0944774, 0.132603, 0.193965, 0.29769", \ + "0.0395916, 0.0596117, 0.073411, 0.0959077, 0.132908, 0.195651, 0.299665", \ + "0.0429504, 0.0628818, 0.0765859, 0.0992123, 0.136975, 0.19943, 0.302317", \ + "0.0504303, 0.0700582, 0.0837331, 0.106414, 0.143889, 0.20665, 0.311243", \ + "0.0667405, 0.0860082, 0.0996423, 0.121919, 0.15944, 0.222392, 0.32695" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0255757, 0.0458342, 0.0595198, 0.0820764, 0.119611, 0.182011, 0.286", \ + "0.0255636, 0.0458648, 0.0596421, 0.0820657, 0.119623, 0.182143, 0.286024", \ + "0.0260359, 0.0461814, 0.0599166, 0.0826131, 0.120176, 0.182403, 0.287167", \ + "0.0268284, 0.0470412, 0.060753, 0.0832457, 0.120792, 0.183893, 0.288376", \ + "0.0288037, 0.0488967, 0.0625143, 0.0852029, 0.122924, 0.185098, 0.289769", \ + "0.0321867, 0.0522712, 0.0658846, 0.0885692, 0.126224, 0.189173, 0.293281", \ + "0.03924, 0.0590097, 0.0726524, 0.0952001, 0.132933, 0.195789, 0.300292" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00295296; + rise_capacitance : 0.00310595; + rise_capacitance_range (0.00310595, 0.00310595); + fall_capacitance : 0.00272348; + fall_capacitance_range (0.00272348, 0.00272348); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0723267, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0852966, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0138503, 0.0137597, 0.0142508, 0.0154872, 0.0186666, 0.025668, 0.0407037" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0236851, 0.0236236, 0.0240919, 0.0255337, 0.0287122, 0.0361654, 0.051044" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0237493, 0.0237145, 0.0241496, 0.0255264, 0.0287687, 0.0362075, 0.0510341" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0135042, 0.0134429, 0.0139018, 0.0151435, 0.0182609, 0.0253374, 0.0403362" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0135176, 0.0135086, 0.0139577, 0.0152918, 0.0185341, 0.0256179, 0.0397905" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0493281, 0.0491053, 0.0490637, 0.0503625, 0.0536358, 0.0602699, 0.0750041" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0132361, 0.0131856, 0.0136301, 0.0148717, 0.0180051, 0.0250916, 0.0400689" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0134954, 0.0135109, 0.0139472, 0.0152846, 0.0185228, 0.0256083, 0.0398448" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0135427, 0.0134677, 0.0139296, 0.0151595, 0.0182835, 0.0253501, 0.0403363" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0135169, 0.0134997, 0.0139506, 0.015286, 0.0185299, 0.0256111, 0.0397923" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0135427, 0.0134677, 0.0139296, 0.0151595, 0.0182835, 0.0253501, 0.0403363" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0236851, 0.0236236, 0.0240919, 0.0255337, 0.0287122, 0.0361654, 0.051044" \ + ); + } + } + } + pin (D) { + direction : "input"; + nextstate_type : "data"; + max_transition : 2.5074; + capacitance : 0.00186544; + rise_capacitance : 0.00172055; + rise_capacitance_range (0.00172055, 0.00172055); + fall_capacitance : 0.00201032; + fall_capacitance_range (0.00201032, 0.00201032); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0415682, -0.00523815, 0.0215733, 0.0423457", \ + "-0.13952, -0.104405, -0.0803277, -0.0571313", \ + "-0.193882, -0.171424, -0.14841, -0.125832", \ + "-0.239326, -0.234263, -0.213162, -0.197753" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0195615, 0.0546617, 0.10387, 0.152979", \ + "-0.137024, -0.0687544, -0.0173898, 0.0308367", \ + "-0.206741, -0.153067, -0.110633, -0.0608579", \ + "-0.277103, -0.245259, -0.207512, -0.165286" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0709105, 0.0252047, -0.00357081, -0.0288539", \ + "0.191932, 0.132416, 0.101307, 0.0736253", \ + "0.276179, 0.208138, 0.172695, 0.145606", \ + "0.358054, 0.286494, 0.249887, 0.224317" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0684653, -0.0222159, -0.0781524, -0.128693", \ + "0.204411, 0.117137, 0.056726, 0.00215129", \ + "0.299325, 0.216005, 0.159203, 0.103232", \ + "0.401228, 0.32498, 0.269661, 0.218414" \ + ); + } + } + internal_power () { + when : "CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00192309, 0.00194594, 0.00215524, 0.00278465, 0.00413884, 0.0070848, 0.013298" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00160314, 0.00165443, 0.00190817, 0.00252657, 0.00388814, 0.00689134, 0.0127707" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0125773, 0.0126253, 0.0128375, 0.0134221, 0.0150234, 0.0182344, 0.0254138" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0105678, 0.0105384, 0.0107946, 0.0114051, 0.0129082, 0.0163392, 0.0231635" \ + ); + } + } + internal_power () { + when : "(!CLK * !RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000106874, 0.000109569, 0.000109212, 0.000110381, 0.000111396, 0.000111406, 0.000110469" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000122501, 0.000123121, 0.000123335, 0.000124476, 0.0001256, 0.000125544, 0.000127589" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00192309, 0.00194594, 0.00215524, 0.00278465, 0.00413884, 0.0070848, 0.013298" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00160314, 0.00165443, 0.00190817, 0.00252657, 0.00388814, 0.00689134, 0.0127707" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00684866; + rise_capacitance : 0.00705319; + rise_capacitance_range (0.00705319, 0.00705319); + fall_capacitance : 0.00670257; + fall_capacitance_range (0.00670257, 0.00670257); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0758009, 0.0301964, 0.00157274, -0.0234572", \ + "0.189436, 0.134962, 0.106552, 0.0791233", \ + "0.29161, 0.234362, 0.202377, 0.179506", \ + "0.422815, 0.363466, 0.33181, 0.30696" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0660201, -0.0227089, 0.00357081, 0.0261555", \ + "-0.174461, -0.127323, -0.0986846, -0.0763743", \ + "-0.271036, -0.223872, -0.196981, -0.173856", \ + "-0.393133, -0.346972, -0.320511, -0.304008" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0749207, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00525431, 0.00514512, 0.00521068, 0.00556744, 0.00668249, 0.00940589, 0.015219" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0341053, 0.0337114, 0.0341738, 0.0356567, 0.0395348, 0.0479202, 0.0632784" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00207543, 0.00207511, 0.00206892, 0.00207618, 0.00207558, 0.00206619, 0.00206588" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00207543, -0.00207511, -0.00206892, -0.00207618, -0.00207558, -0.00206619, -0.00206588" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161384, 0.0159188, 0.016023, 0.0164937, 0.0181584, 0.0221555, 0.0310193" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00950175, 0.00911173, 0.00911572, 0.00979108, 0.0115966, 0.0161078, 0.0247489" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00215198, 0.00214854, 0.00214468, 0.00214414, 0.00214572, 0.00214517, 0.00214225" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00215198, -0.00214854, -0.00214468, -0.00214414, -0.00214572, -0.00214517, -0.00214225" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00525431, 0.00514512, 0.00521068, 0.00556744, 0.00668249, 0.00940589, 0.015219" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00950175, 0.00911173, 0.00911572, 0.00979108, 0.0115966, 0.0161078, 0.0247489" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clocked_on : "CLK"; + next_state : "D"; + } + } + cell (sg13g2_dfrbp_2) { + area : 54.432; + cell_footprint : "dffrr"; + cell_leakage_power : 1384.04; + leakage_power () { + value : 1239.14; + when : "!CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1222.37; + when : "!CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1293.88; + when : "CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1277.11; + when : "CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1438.61; + when : "!CLK&!D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 1341.28; + when : "!CLK&!D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 1468.57; + when : "!CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 1451.08; + when : "!CLK&D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 1472.69; + when : "CLK&!D&RESET_B&Q*!Q_N"; + } + leakage_power () { + value : 1397.01; + when : "CLK&!D&RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1519.45; + when : "CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 1487.25; + when : "CLK&D&RESET_B&!Q&Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.163229, 0.19901, 0.226005, 0.271512, 0.348308, 0.476493, 0.690396", \ + "0.1932, 0.228991, 0.255994, 0.301437, 0.378184, 0.506429, 0.720569", \ + "0.212022, 0.24782, 0.274807, 0.320302, 0.396968, 0.525221, 0.739144", \ + "0.242774, 0.278596, 0.305581, 0.351065, 0.427769, 0.555956, 0.769797", \ + "0.283479, 0.319267, 0.346214, 0.39166, 0.468371, 0.596571, 0.810481", \ + "0.342738, 0.378007, 0.404887, 0.450331, 0.526963, 0.655228, 0.869016", \ + "0.426642, 0.46016, 0.486852, 0.532129, 0.608611, 0.736852, 0.950639" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0157164, 0.0689213, 0.108728, 0.175687, 0.288353, 0.476315, 0.789621", \ + "0.0157387, 0.0689223, 0.108729, 0.17573, 0.288769, 0.476858, 0.789622", \ + "0.0157429, 0.0689233, 0.10873, 0.175731, 0.28877, 0.476859, 0.790753", \ + "0.0157563, 0.0689249, 0.108731, 0.175732, 0.288771, 0.47686, 0.790754", \ + "0.015804, 0.0689259, 0.108732, 0.175733, 0.288772, 0.476861, 0.790755", \ + "0.015957, 0.069003, 0.108733, 0.175734, 0.288773, 0.476862, 0.790756", \ + "0.016583, 0.069199, 0.108801, 0.175811, 0.288774, 0.476863, 0.790757" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.14556, 0.180242, 0.203269, 0.241523, 0.305702, 0.412717, 0.590946", \ + "0.175149, 0.209722, 0.232773, 0.271082, 0.33522, 0.442225, 0.620675", \ + "0.193389, 0.228053, 0.251101, 0.289344, 0.353473, 0.460479, 0.63896", \ + "0.222702, 0.257343, 0.280386, 0.318655, 0.382776, 0.489782, 0.668109", \ + "0.259501, 0.294178, 0.317215, 0.355496, 0.419606, 0.526712, 0.705029", \ + "0.309008, 0.343654, 0.366705, 0.404984, 0.469111, 0.57615, 0.754548", \ + "0.374901, 0.40957, 0.432598, 0.470876, 0.535002, 0.642039, 0.82043" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0134162, 0.0571278, 0.088406, 0.14135, 0.230726, 0.379973, 0.628838", \ + "0.0134172, 0.0571288, 0.088414, 0.141351, 0.230736, 0.380643, 0.628839", \ + "0.0134592, 0.0571298, 0.088415, 0.141352, 0.230737, 0.380644, 0.62884", \ + "0.0134654, 0.0571308, 0.088416, 0.141353, 0.230738, 0.380645, 0.628841", \ + "0.0134664, 0.0571318, 0.0884832, 0.141354, 0.230739, 0.380646, 0.628842", \ + "0.0134674, 0.0571328, 0.0884842, 0.141355, 0.23074, 0.380647, 0.628843", \ + "0.0134684, 0.0571338, 0.0884852, 0.141356, 0.230741, 0.380648, 0.628844" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.189999, 0.224693, 0.247806, 0.286093, 0.350238, 0.457354, 0.635836", \ + "0.227723, 0.262381, 0.285473, 0.323789, 0.387816, 0.495085, 0.673577", \ + "0.255349, 0.289761, 0.312902, 0.351131, 0.4154, 0.522607, 0.701018", \ + "0.298541, 0.333217, 0.356334, 0.394612, 0.458768, 0.565865, 0.744288", \ + "0.355503, 0.390222, 0.413287, 0.451508, 0.515766, 0.622841, 0.80121", \ + "0.434983, 0.469552, 0.492655, 0.530844, 0.595042, 0.702221, 0.880635", \ + "0.543415, 0.577868, 0.600723, 0.639104, 0.703157, 0.810473, 0.988733" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0131655, 0.0569745, 0.0883463, 0.141326, 0.230727, 0.379962, 0.628635", \ + "0.0131745, 0.0570344, 0.0883473, 0.141327, 0.230728, 0.380112, 0.628653", \ + "0.0131862, 0.0570354, 0.0883536, 0.141328, 0.230729, 0.380113, 0.629865", \ + "0.0132077, 0.0570364, 0.0883546, 0.141329, 0.23073, 0.380114, 0.629866", \ + "0.0132576, 0.0570686, 0.0883573, 0.14133, 0.230731, 0.380115, 0.629867", \ + "0.01337, 0.0570696, 0.08838, 0.141331, 0.230732, 0.380116, 0.629868", \ + "0.013468, 0.057108, 0.088418, 0.141345, 0.230733, 0.380117, 0.629869" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0484677, 0.0883917, 0.115908, 0.160939, 0.23618, 0.361178, 0.569452", \ + "0.0483302, 0.0882639, 0.116003, 0.160899, 0.236237, 0.361408, 0.569317", \ + "0.0488598, 0.0886369, 0.116084, 0.161376, 0.23627, 0.363176, 0.57049", \ + "0.050257, 0.0900473, 0.117615, 0.162758, 0.2376, 0.363741, 0.571005", \ + "0.0539716, 0.0934487, 0.121073, 0.166355, 0.241812, 0.36612, 0.575428", \ + "0.0621588, 0.10109, 0.128676, 0.173761, 0.249533, 0.374943, 0.583851", \ + "0.0800419, 0.117929, 0.145166, 0.190328, 0.265853, 0.391332, 0.600357" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0484999, 0.0893459, 0.116609, 0.16148, 0.236193, 0.360545, 0.567446", \ + "0.0485324, 0.0893642, 0.116879, 0.161887, 0.236279, 0.361138, 0.567731", \ + "0.0491775, 0.0900513, 0.117174, 0.162167, 0.237641, 0.361033, 0.568227", \ + "0.0507037, 0.091662, 0.119137, 0.164221, 0.238183, 0.363733, 0.570545", \ + "0.0544161, 0.0951659, 0.12276, 0.167924, 0.243378, 0.367931, 0.573967", \ + "0.0621681, 0.102812, 0.130202, 0.175542, 0.250782, 0.376178, 0.585522", \ + "0.0786849, 0.119309, 0.146664, 0.191216, 0.266328, 0.392203, 0.60122" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0369607, 0.0776922, 0.105031, 0.149898, 0.224614, 0.348852, 0.555854", \ + "0.0369702, 0.0777838, 0.105783, 0.15028, 0.224545, 0.349113, 0.555946", \ + "0.0374045, 0.0779344, 0.105237, 0.150517, 0.225442, 0.351129, 0.557402", \ + "0.0383655, 0.0791199, 0.106473, 0.15124, 0.225717, 0.352056, 0.557835", \ + "0.0405253, 0.0807884, 0.108053, 0.153422, 0.228734, 0.352842, 0.560168", \ + "0.0440669, 0.0841464, 0.111329, 0.156413, 0.231965, 0.357874, 0.566599", \ + "0.0513009, 0.0909035, 0.118056, 0.163036, 0.23863, 0.363703, 0.572421" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0975234, 0.159416, 0.189606, 0.236353, 0.31295, 0.44023, 0.651847", \ + "0.127108, 0.188952, 0.219164, 0.265946, 0.342542, 0.469742, 0.681288", \ + "0.145325, 0.207207, 0.237389, 0.284088, 0.360712, 0.487873, 0.699504", \ + "0.174634, 0.236474, 0.266659, 0.313445, 0.390039, 0.517201, 0.728752", \ + "0.211535, 0.273264, 0.303454, 0.350245, 0.426815, 0.554115, 0.765633", \ + "0.261323, 0.322825, 0.35301, 0.399798, 0.476365, 0.603614, 0.81522", \ + "0.327142, 0.388427, 0.418534, 0.465337, 0.541823, 0.669, 0.880663" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0183422, 0.0782709, 0.115543, 0.178948, 0.288592, 0.474329, 0.784868", \ + "0.0183432, 0.0782817, 0.115544, 0.17897, 0.288712, 0.474386, 0.784985", \ + "0.0183487, 0.0782865, 0.115545, 0.17899, 0.288713, 0.474387, 0.788529", \ + "0.0183497, 0.0783055, 0.115546, 0.178991, 0.288714, 0.474388, 0.78853", \ + "0.0183507, 0.0783172, 0.115574, 0.179009, 0.288739, 0.474413, 0.788531", \ + "0.0183517, 0.0783182, 0.115579, 0.17901, 0.28874, 0.474414, 0.788532", \ + "0.0183527, 0.0783192, 0.11558, 0.179011, 0.288741, 0.474415, 0.788533" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.107769, 0.175044, 0.204076, 0.24606, 0.312069, 0.41964, 0.598116", \ + "0.137657, 0.204997, 0.234031, 0.276136, 0.342092, 0.449606, 0.628123", \ + "0.156563, 0.223827, 0.25286, 0.294847, 0.360805, 0.468376, 0.646897", \ + "0.187311, 0.254524, 0.283588, 0.325588, 0.391583, 0.499171, 0.67757", \ + "0.227834, 0.29512, 0.32422, 0.36641, 0.432256, 0.539968, 0.718359", \ + "0.286037, 0.354154, 0.383354, 0.425401, 0.491416, 0.599112, 0.7775", \ + "0.365796, 0.43721, 0.466682, 0.509008, 0.575005, 0.682724, 0.861183" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0232398, 0.0774572, 0.106067, 0.153995, 0.2387, 0.384886, 0.632418", \ + "0.0232761, 0.0774582, 0.106125, 0.154123, 0.238731, 0.385481, 0.632419", \ + "0.0233073, 0.0774846, 0.106126, 0.154124, 0.238732, 0.385482, 0.632871", \ + "0.0234474, 0.0776099, 0.106226, 0.154147, 0.238733, 0.385483, 0.632872", \ + "0.0239396, 0.0778299, 0.106331, 0.154156, 0.238734, 0.385484, 0.632873", \ + "0.02597, 0.078698, 0.106917, 0.154538, 0.23895, 0.385485, 0.632874", \ + "0.031019, 0.081553, 0.108848, 0.155643, 0.239475, 0.385486, 0.632875" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.142984, 0.203129, 0.232893, 0.279537, 0.356109, 0.483272, 0.695093", \ + "0.180649, 0.240776, 0.270593, 0.317267, 0.39382, 0.521325, 0.732723", \ + "0.208204, 0.268308, 0.298091, 0.344707, 0.421333, 0.548502, 0.760201", \ + "0.251352, 0.31155, 0.341308, 0.387968, 0.464538, 0.591711, 0.803381", \ + "0.308472, 0.368492, 0.398311, 0.444977, 0.521494, 0.648705, 0.86039", \ + "0.386695, 0.447734, 0.477544, 0.524163, 0.600873, 0.728049, 0.939606", \ + "0.494835, 0.556114, 0.5859, 0.632632, 0.709471, 0.836629, 1.0485" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0183849, 0.0768496, 0.11444, 0.178424, 0.288442, 0.47425, 0.785042", \ + "0.0184076, 0.0768506, 0.114441, 0.178425, 0.288732, 0.474913, 0.785043", \ + "0.0184557, 0.0768973, 0.114448, 0.178426, 0.288733, 0.474961, 0.787556", \ + "0.0187168, 0.0769138, 0.114449, 0.178427, 0.288734, 0.474962, 0.787557", \ + "0.0191716, 0.0771225, 0.114558, 0.178464, 0.288735, 0.474963, 0.787558", \ + "0.019903, 0.07749, 0.114831, 0.178518, 0.288736, 0.474964, 0.787559", \ + "0.021002, 0.078076, 0.115146, 0.178792, 0.288737, 0.474965, 0.78756" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0485381, 0.0894006, 0.116895, 0.161946, 0.237108, 0.362006, 0.569926", \ + "0.0485971, 0.0894599, 0.11718, 0.162115, 0.237414, 0.362155, 0.570041", \ + "0.0491791, 0.0901862, 0.117431, 0.162753, 0.237745, 0.363274, 0.573942", \ + "0.050728, 0.0917161, 0.119291, 0.164458, 0.239187, 0.365225, 0.572043", \ + "0.0544363, 0.0952512, 0.12295, 0.168165, 0.243596, 0.368412, 0.576431", \ + "0.06218, 0.102857, 0.130445, 0.175647, 0.251252, 0.376803, 0.585421", \ + "0.0787246, 0.119438, 0.146828, 0.191757, 0.267322, 0.39253, 0.601751" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0484904, 0.0882523, 0.115582, 0.160431, 0.235228, 0.359699, 0.567012", \ + "0.0483503, 0.0880667, 0.115637, 0.161125, 0.235449, 0.359989, 0.566715", \ + "0.0488833, 0.0885643, 0.115728, 0.160777, 0.236979, 0.360027, 0.567299", \ + "0.0502762, 0.089972, 0.117414, 0.162731, 0.236679, 0.362396, 0.568986", \ + "0.0539777, 0.0932879, 0.120899, 0.165887, 0.241468, 0.366532, 0.572317", \ + "0.0621656, 0.100958, 0.128395, 0.173859, 0.249174, 0.374953, 0.583677", \ + "0.0800454, 0.117821, 0.145048, 0.189734, 0.264978, 0.391041, 0.600024" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0370289, 0.0778226, 0.105297, 0.150507, 0.225619, 0.350271, 0.558353", \ + "0.0369951, 0.077887, 0.105335, 0.150903, 0.225687, 0.350951, 0.558419", \ + "0.0374377, 0.0780836, 0.105526, 0.151114, 0.225792, 0.351127, 0.561423", \ + "0.0383422, 0.0791792, 0.106691, 0.15159, 0.22686, 0.353284, 0.560421", \ + "0.0403907, 0.0809136, 0.10831, 0.153618, 0.229084, 0.35312, 0.562973", \ + "0.0438859, 0.0843114, 0.111557, 0.156946, 0.232295, 0.357888, 0.566114", \ + "0.0512557, 0.0911115, 0.118426, 0.163716, 0.238886, 0.364685, 0.57381" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00320231; + rise_capacitance : 0.00337382; + rise_capacitance_range (0.00337382, 0.00337382); + fall_capacitance : 0.00294506; + fall_capacitance_range (0.00294506, 0.00294506); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0904846, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0878906, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.013549, 0.0134987, 0.0139388, 0.0153488, 0.0188704, 0.0263458, 0.0426655" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0253108, 0.0252286, 0.0258094, 0.0272743, 0.0308354, 0.0386162, 0.0542385" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0254817, 0.025436, 0.0260877, 0.027415, 0.0310297, 0.0387671, 0.0544519" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.014227, 0.0141495, 0.0146134, 0.0160072, 0.0194657, 0.0269693, 0.0432293" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0131277, 0.0131085, 0.0137242, 0.0151151, 0.0185919, 0.0263013, 0.0411899" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0699333, 0.0600145, 0.0600926, 0.061304, 0.0647128, 0.0720813, 0.0875105" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.01334, 0.0132537, 0.0137159, 0.015118, 0.0186157, 0.0261018, 0.0423802" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0130971, 0.0130981, 0.0137154, 0.0151087, 0.0185632, 0.0262997, 0.0412083" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0142577, 0.0141831, 0.0146414, 0.016035, 0.0194936, 0.0269813, 0.043232" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0131166, 0.0131081, 0.0137158, 0.0151092, 0.0185864, 0.0262954, 0.0411813" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.013549, 0.0134987, 0.0139388, 0.0153488, 0.0188704, 0.0263458, 0.0426655" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0253108, 0.0252286, 0.0258094, 0.0272743, 0.0308354, 0.0386162, 0.0542385" \ + ); + } + } + } + pin (D) { + direction : "input"; + nextstate_type : "data"; + max_transition : 2.5074; + capacitance : 0.00174166; + rise_capacitance : 0.00159797; + rise_capacitance_range (0.00159797, 0.00159797); + fall_capacitance : 0.00188534; + fall_capacitance_range (0.00188534, 0.00188534); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0415682, -0.00274232, 0.0267168, 0.0558375", \ + "-0.137024, -0.104405, -0.0724605, -0.0406373", \ + "-0.193882, -0.171424, -0.143013, -0.111707", \ + "-0.236628, -0.237012, -0.213162, -0.185947" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0220067, 0.0546617, 0.106442, 0.161074", \ + "-0.13952, -0.0713009, -0.0173898, 0.0418327", \ + "-0.209313, -0.155689, -0.107935, -0.0495581", \ + "-0.2825, -0.248008, -0.207512, -0.15348" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0758009, 0.0252047, -0.00614259, -0.036949", \ + "0.196924, 0.134962, 0.0986846, 0.0626293", \ + "0.281323, 0.21076, 0.172695, 0.137132", \ + "0.363451, 0.291992, 0.249887, 0.215462" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0709105, -0.0197201, -0.0781524, -0.136788", \ + "0.209403, 0.119684, 0.056726, -0.0060957", \ + "0.301897, 0.218627, 0.159203, 0.0947573", \ + "0.406625, 0.327729, 0.269661, 0.209559" \ + ); + } + } + internal_power () { + when : "CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00177084, 0.0018063, 0.00202861, 0.00266237, 0.0040323, 0.00699925, 0.0132284" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00141405, 0.00149081, 0.00174024, 0.00236596, 0.00375505, 0.0067727, 0.0126727" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0145226, 0.0145523, 0.0147712, 0.0153222, 0.0169253, 0.020105, 0.027303" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0112781, 0.0112448, 0.0114936, 0.0121012, 0.0135938, 0.0170359, 0.023888" \ + ); + } + } + internal_power () { + when : "(!CLK * !RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.71739e-05, -3.27162e-05, -3.35202e-05, -3.1862e-05, -3.30594e-05, -3.10626e-05, -3.09143e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000230056, 0.000230843, 0.000231553, 0.000232528, 0.000232947, 0.000233462, 0.00023507" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00177084, 0.0018063, 0.00202861, 0.00266237, 0.0040323, 0.00699925, 0.0132284" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00141405, 0.00149081, 0.00174024, 0.00236596, 0.00375505, 0.0067727, 0.0126727" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00637094; + rise_capacitance : 0.00657496; + rise_capacitance_range (0.00657496, 0.00657496); + fall_capacitance : 0.00622521; + fall_capacitance_range (0.00622521, 0.00622521); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0806913, 0.0301964, -0.000999033, -0.0315523", \ + "0.194428, 0.137509, 0.103929, 0.0708763", \ + "0.299325, 0.234362, 0.199679, 0.168206", \ + "0.428212, 0.366215, 0.328985, 0.298105" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0709105, -0.0252047, 0.00614259, 0.0342506", \ + "-0.181949, -0.129869, -0.0986846, -0.0653783", \ + "-0.281323, -0.226494, -0.196981, -0.162556", \ + "-0.403927, -0.349721, -0.320511, -0.292202" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0775146, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00482894, 0.00472044, 0.00480149, 0.00517464, 0.00631034, 0.00905021, 0.0148842" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0469306, 0.0465176, 0.0469809, 0.0485121, 0.0524649, 0.0609927, 0.0766299" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00164676, 0.0016444, 0.00164271, 0.00164851, 0.00164452, 0.00164779, 0.00164008" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00164676, -0.0016444, -0.00164271, -0.00164851, -0.00164452, -0.00164779, -0.00164008" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0177821, 0.0175368, 0.0176492, 0.0181239, 0.0197942, 0.0237271, 0.032616" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0105625, 0.0102162, 0.0102508, 0.010807, 0.012644, 0.0171226, 0.0257505" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00171669, 0.0017158, 0.00171383, 0.00171138, 0.00171375, 0.00171411, 0.00171017" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00171669, -0.0017158, -0.00171383, -0.00171138, -0.00171375, -0.00171411, -0.00171017" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00482894, 0.00472044, 0.00480149, 0.00517464, 0.00631034, 0.00905021, 0.0148842" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0105625, 0.0102162, 0.0102508, 0.010807, 0.012644, 0.0171226, 0.0257505" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clocked_on : "CLK"; + next_state : "D"; + } + } + cell (sg13g2_dlhq_1) { + area : 30.8448; + cell_footprint : "DLHQ"; + cell_leakage_power : 746.967; + leakage_power () { + value : 764.077; + when : "!D&!GATE&!Q"; + } + leakage_power () { + value : 693.306; + when : "!D&!GATE&Q"; + } + leakage_power () { + value : 843.24; + when : "!D&GATE&!Q"; + } + leakage_power () { + value : 782.679; + when : "D&!GATE&!Q"; + } + leakage_power () { + value : 679.019; + when : "D&!GATE&Q"; + } + leakage_power () { + value : 719.483; + when : "D&GATE&Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.118584, 0.161833, 0.189517, 0.235095, 0.311263, 0.438142, 0.649649", \ + "0.143215, 0.186441, 0.214141, 0.259697, 0.335906, 0.462745, 0.674127", \ + "0.157996, 0.201223, 0.228915, 0.274484, 0.350609, 0.477498, 0.688815", \ + "0.182869, 0.226114, 0.25383, 0.299401, 0.37554, 0.502334, 0.713619", \ + "0.214546, 0.257793, 0.285507, 0.331095, 0.407243, 0.534059, 0.745446", \ + "0.25986, 0.30317, 0.330878, 0.376458, 0.452689, 0.579535, 0.790886", \ + "0.322964, 0.366426, 0.394095, 0.439696, 0.515881, 0.642748, 0.85412" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011889, 0.0663109, 0.10637, 0.172992, 0.284586, 0.470788, 0.781009", \ + "0.01189, 0.0663119, 0.106393, 0.172994, 0.284613, 0.470789, 0.781087", \ + "0.0118924, 0.0663129, 0.106394, 0.172995, 0.284614, 0.47079, 0.781137", \ + "0.0119048, 0.0663139, 0.106395, 0.172996, 0.284615, 0.470791, 0.781138", \ + "0.0119738, 0.0663267, 0.106396, 0.172997, 0.284616, 0.470792, 0.781139", \ + "0.012172, 0.066353, 0.106419, 0.173044, 0.284617, 0.470793, 0.78114", \ + "0.012608, 0.066396, 0.10643, 0.173045, 0.284652, 0.470794, 0.781141" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.107301, 0.145562, 0.168672, 0.206596, 0.269953, 0.375467, 0.551352", \ + "0.131587, 0.169845, 0.192962, 0.230904, 0.294213, 0.399716, 0.575496", \ + "0.145142, 0.183403, 0.206513, 0.244418, 0.307768, 0.413256, 0.588997", \ + "0.165537, 0.203813, 0.226917, 0.264822, 0.32818, 0.433698, 0.60939", \ + "0.18815, 0.226977, 0.250087, 0.288035, 0.351383, 0.456787, 0.632508", \ + "0.218932, 0.257045, 0.28017, 0.318101, 0.381471, 0.486981, 0.662751", \ + "0.253701, 0.292259, 0.315386, 0.353356, 0.416744, 0.522243, 0.698042" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0110066, 0.0536803, 0.0850692, 0.137465, 0.225639, 0.372589, 0.617643", \ + "0.0110076, 0.0536813, 0.0850702, 0.137466, 0.22564, 0.372829, 0.618007", \ + "0.0110115, 0.0536823, 0.0850712, 0.137481, 0.225641, 0.37283, 0.618008", \ + "0.0110519, 0.0536833, 0.0850722, 0.137482, 0.225727, 0.372831, 0.618009", \ + "0.0111128, 0.0536972, 0.0850732, 0.137483, 0.225731, 0.372832, 0.61801", \ + "0.011287, 0.053744, 0.0850742, 0.137484, 0.225732, 0.372833, 0.618011", \ + "0.011585, 0.053828, 0.085078, 0.137499, 0.225755, 0.372834, 0.618012" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.101753, 0.144932, 0.172627, 0.21821, 0.294343, 0.421289, 0.632706", \ + "0.127159, 0.170337, 0.197999, 0.243547, 0.319715, 0.446591, 0.657824", \ + "0.142546, 0.185735, 0.213411, 0.258976, 0.335096, 0.461978, 0.673339", \ + "0.167834, 0.211042, 0.23873, 0.284316, 0.360444, 0.487246, 0.698584", \ + "0.197907, 0.241168, 0.268865, 0.31447, 0.39061, 0.517463, 0.728759", \ + "0.235004, 0.278467, 0.30615, 0.351778, 0.427936, 0.554806, 0.766157", \ + "0.276137, 0.320138, 0.347841, 0.39349, 0.469649, 0.596588, 0.807931" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0117527, 0.0662868, 0.106393, 0.172996, 0.284663, 0.47077, 0.781009", \ + "0.0117902, 0.0662913, 0.106394, 0.172997, 0.284664, 0.471061, 0.781119", \ + "0.011806, 0.066296, 0.106395, 0.172998, 0.284665, 0.471062, 0.782142", \ + "0.0119027, 0.0662987, 0.106396, 0.172999, 0.284666, 0.471063, 0.782143", \ + "0.0121961, 0.0663535, 0.106402, 0.173005, 0.284667, 0.471064, 0.782144", \ + "0.012766, 0.066458, 0.106458, 0.173042, 0.284668, 0.471065, 0.782145", \ + "0.013929, 0.066637, 0.106518, 0.173107, 0.284754, 0.471066, 0.782146" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.110313, 0.148534, 0.171568, 0.209556, 0.272903, 0.378376, 0.554149", \ + "0.135798, 0.173938, 0.197041, 0.234978, 0.298348, 0.403906, 0.57984", \ + "0.150928, 0.189089, 0.212202, 0.250142, 0.313474, 0.418934, 0.594742", \ + "0.174516, 0.212665, 0.235768, 0.273689, 0.337023, 0.442491, 0.618246", \ + "0.201079, 0.239239, 0.262338, 0.300254, 0.36364, 0.46906, 0.644817", \ + "0.232359, 0.270507, 0.293614, 0.331545, 0.394873, 0.500338, 0.676125", \ + "0.265876, 0.304008, 0.32714, 0.365061, 0.428404, 0.533953, 0.709594" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.010896, 0.0536461, 0.0850617, 0.137456, 0.225667, 0.372642, 0.61762", \ + "0.0109093, 0.0536651, 0.0850627, 0.137476, 0.225668, 0.373073, 0.618091", \ + "0.0109185, 0.0536661, 0.0850637, 0.137477, 0.225669, 0.373074, 0.619618", \ + "0.0109195, 0.0536671, 0.0850647, 0.137478, 0.22567, 0.373075, 0.619619", \ + "0.0109205, 0.0536681, 0.0850657, 0.137479, 0.225671, 0.373076, 0.61962", \ + "0.010928, 0.053686, 0.0850667, 0.13748, 0.225672, 0.373077, 0.619621", \ + "0.010938, 0.053687, 0.0850677, 0.137487, 0.225673, 0.373078, 0.619622" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.018469, 0.0189433, 0.018988, 0.0189456, 0.0188393, 0.0185856, 0.0181965", \ + "0.0183935, 0.0188346, 0.0189443, 0.0188499, 0.0190038, 0.0184696, 0.0180939", \ + "0.0183364, 0.0187973, 0.0188126, 0.0188469, 0.0188861, 0.0185266, 0.0180661", \ + "0.0182938, 0.0187906, 0.0188626, 0.0188539, 0.0186536, 0.0188862, 0.0179831", \ + "0.018242, 0.0187112, 0.018803, 0.0188384, 0.0188861, 0.0185928, 0.0184195", \ + "0.0182873, 0.018691, 0.0187755, 0.0188227, 0.0188676, 0.0188846, 0.0187303", \ + "0.0183854, 0.0187873, 0.0188453, 0.0187422, 0.0188019, 0.0187035, 0.0187289" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0191502, 0.019763, 0.0197881, 0.0197636, 0.0196399, 0.019421, 0.0189984", \ + "0.0191114, 0.0196921, 0.0197733, 0.0197511, 0.0196976, 0.0193772, 0.0189478", \ + "0.0190578, 0.0196923, 0.0196855, 0.0196543, 0.0197273, 0.0195312, 0.018862", \ + "0.0190061, 0.019641, 0.019692, 0.0197448, 0.0195056, 0.019475, 0.0190028", \ + "0.0189828, 0.0196724, 0.0196943, 0.019761, 0.019813, 0.0197281, 0.0189572", \ + "0.0186764, 0.0192579, 0.0193059, 0.0194066, 0.0193652, 0.0193828, 0.0193676", \ + "0.0191746, 0.0197513, 0.0197819, 0.0197158, 0.0197054, 0.0197534, 0.019805" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0159408, 0.0163804, 0.0164036, 0.0163972, 0.0162682, 0.0160377, 0.0156148", \ + "0.0157858, 0.0162116, 0.016312, 0.0162247, 0.016321, 0.015932, 0.0154579", \ + "0.0157268, 0.0162192, 0.0162317, 0.0162272, 0.0163692, 0.0158603, 0.0156833", \ + "0.0158037, 0.0162862, 0.016372, 0.0164099, 0.016157, 0.0163919, 0.0155449", \ + "0.0159238, 0.0163807, 0.0164604, 0.0164706, 0.0165312, 0.0162822, 0.0160124", \ + "0.0164753, 0.0168079, 0.0168708, 0.0169093, 0.0169426, 0.0169516, 0.0167834", \ + "0.0174105, 0.0177032, 0.0177396, 0.0176147, 0.0176442, 0.0174584, 0.017482" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0172581, 0.0178721, 0.0179041, 0.0178761, 0.0177619, 0.0175332, 0.0170975", \ + "0.0173326, 0.0178939, 0.0179434, 0.0181026, 0.0178601, 0.0176787, 0.0172219", \ + "0.017382, 0.0180138, 0.01804, 0.0179607, 0.0180681, 0.0178145, 0.0175901", \ + "0.0175079, 0.0181488, 0.018236, 0.0182689, 0.0181013, 0.0179167, 0.0178991", \ + "0.0175552, 0.0182029, 0.0182005, 0.0183237, 0.0183366, 0.0182173, 0.0174822", \ + "0.0175746, 0.0181521, 0.0181679, 0.0182394, 0.018134, 0.0181896, 0.0182322", \ + "0.017463, 0.018042, 0.0180779, 0.0180938, 0.0178516, 0.0180253, 0.0178479" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00246939; + rise_capacitance : 0.00248812; + rise_capacitance_range (0.00248812, 0.00248812); + fall_capacitance : 0.00245065; + fall_capacitance_range (0.00245065, 0.00245065); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0611298, -0.0401797, -0.0272905, -0.0089232", \ + "-0.142016, -0.117137, -0.103929, -0.0846213", \ + "-0.201598, -0.171424, -0.156505, -0.137132", \ + "-0.263612, -0.228765, -0.213162, -0.194802" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0268971, 0.0596533, 0.10387, 0.158375", \ + "-0.0995865, -0.0101858, 0.0376808, 0.0940637", \ + "-0.134731, -0.0429256, 0.00809509, 0.0662649", \ + "-0.169169, -0.0720717, -0.0182407, 0.0413215" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0684653, 0.0626421, 0.0787261, 0.116858", \ + "0.147007, 0.132416, 0.135398, 0.153346", \ + "0.206741, 0.181913, 0.18079, 0.187981", \ + "0.26631, 0.239761, 0.230112, 0.23022" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0317875, -0.0521658, -0.0987266, -0.15028", \ + "0.107074, 0.0152788, -0.032436, -0.0885657", \ + "0.142447, 0.0481705, -0.00269836, -0.060615", \ + "0.177264, 0.0775697, 0.0238906, -0.0354185" \ + ); + } + } + internal_power () { + when : "(!GATE * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00420411, 0.00413572, 0.00447413, 0.00556046, 0.00799203, 0.0132785, 0.0244994" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00440736, 0.00456644, 0.0050036, 0.00602735, 0.00849146, 0.0139034, 0.0243073" \ + ); + } + } + internal_power () { + when : "(!GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0042403, 0.00423973, 0.00459375, 0.00566557, 0.00810762, 0.0133907, 0.0245995" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00449832, 0.00459894, 0.00502874, 0.00603734, 0.00848184, 0.0138998, 0.0243006" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0042403, 0.00423973, 0.00459375, 0.00566557, 0.00810762, 0.0133907, 0.0245995" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00449832, 0.00459894, 0.00502874, 0.00603734, 0.00848184, 0.0138998, 0.0243006" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00247846; + rise_capacitance : 0.0027966; + rise_capacitance_range (0.0027966, 0.0027966); + fall_capacitance : 0.00184217; + fall_capacitance_range (0.00184217, 0.00184217); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0541687, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00972879, 0.00969304, 0.0101279, 0.0113941, 0.0144291, 0.0210631, 0.0349772" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018573, 0.0188143, 0.0192921, 0.0206201, 0.0238114, 0.0301143, 0.0435905" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00972879, 0.00969304, 0.0101279, 0.0113941, 0.0144291, 0.0210631, 0.0349772" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018573, 0.0188143, 0.0192921, 0.0206201, 0.0238114, 0.0301143, 0.0435905" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dlhr_1) { + area : 32.6592; + cell_footprint : "DLHR"; + cell_leakage_power : 1064.53; + leakage_power () { + value : 1001.08; + when : "!RESET_B*!Q*Q_N*!D*!GATE"; + } + leakage_power () { + value : 1062.99; + when : "!RESET_B*!Q*Q_N*!D*GATE"; + } + leakage_power () { + value : 1036.32; + when : "!RESET_B*!Q*Q_N*D*!GATE"; + } + leakage_power () { + value : 973.202; + when : "!RESET_B*!Q*Q_N*D*GATE"; + } + leakage_power () { + value : 1060.41; + when : "RESET_B*!GATE*!D*!Q*!Q_N"; + } + leakage_power () { + value : 1060.41; + when : "RESET_B*!GATE*!D*!Q*Q_N"; + } + leakage_power () { + value : 1060.41; + when : "RESET_B*!GATE*!D*Q*!Q_N"; + } + leakage_power () { + value : 1060.41; + when : "RESET_B*!GATE*!D*Q*Q_N"; + } + leakage_power () { + value : 1095.69; + when : "RESET_B*!GATE*D*!Q*!Q_N"; + } + leakage_power () { + value : 1095.69; + when : "RESET_B*!GATE*D*!Q*Q_N"; + } + leakage_power () { + value : 1095.69; + when : "RESET_B*!GATE*D*Q*!Q_N"; + } + leakage_power () { + value : 1095.69; + when : "RESET_B*!GATE*D*Q*Q_N"; + } + leakage_power () { + value : 1092.71; + when : "D*GATE*RESET_B*Q*!Q_N"; + } + leakage_power () { + value : 1112.7; + when : "!D*GATE*RESET_B*!Q*Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.137534, 0.190297, 0.218776, 0.264633, 0.340952, 0.467858, 0.67933", \ + "0.161642, 0.214455, 0.242973, 0.288855, 0.365159, 0.492174, 0.703516", \ + "0.176052, 0.228882, 0.25736, 0.303197, 0.379472, 0.506426, 0.718061", \ + "0.200556, 0.253356, 0.281836, 0.327684, 0.403992, 0.530858, 0.742275", \ + "0.231486, 0.284292, 0.31278, 0.358654, 0.434911, 0.56188, 0.773235", \ + "0.275651, 0.328507, 0.357013, 0.402904, 0.479207, 0.606086, 0.81754", \ + "0.336983, 0.389979, 0.418488, 0.464371, 0.540691, 0.667579, 0.879107" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0174802, 0.071887, 0.109858, 0.174963, 0.286036, 0.472145, 0.782546", \ + "0.0174827, 0.071888, 0.109912, 0.174964, 0.286037, 0.473002, 0.782547", \ + "0.0174837, 0.071889, 0.109913, 0.174965, 0.286038, 0.473003, 0.783751", \ + "0.017488, 0.0718916, 0.109914, 0.174966, 0.286039, 0.473004, 0.783752", \ + "0.017548, 0.071911, 0.109929, 0.174967, 0.28604, 0.473005, 0.783753", \ + "0.017647, 0.071936, 0.109948, 0.174973, 0.286041, 0.473006, 0.783754", \ + "0.01793, 0.072053, 0.109992, 0.175006, 0.286048, 0.473007, 0.783755" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.117321, 0.159649, 0.183243, 0.221548, 0.285406, 0.391705, 0.568828", \ + "0.141099, 0.183467, 0.207088, 0.245402, 0.309255, 0.415567, 0.592791", \ + "0.154396, 0.196751, 0.220353, 0.25864, 0.322496, 0.428765, 0.605923", \ + "0.174276, 0.216624, 0.240219, 0.278515, 0.342379, 0.448768, 0.625732", \ + "0.197094, 0.239472, 0.263064, 0.301382, 0.365235, 0.471602, 0.648596", \ + "0.226858, 0.26929, 0.292888, 0.33122, 0.395136, 0.501428, 0.678484", \ + "0.261668, 0.304262, 0.327889, 0.366216, 0.430123, 0.536435, 0.713564" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123968, 0.0561716, 0.08674, 0.138817, 0.227386, 0.375488, 0.622378", \ + "0.0123993, 0.0561726, 0.086741, 0.138818, 0.227497, 0.375534, 0.622575", \ + "0.0124003, 0.0561736, 0.086742, 0.138819, 0.227498, 0.375535, 0.622576", \ + "0.0124458, 0.0561746, 0.086743, 0.138828, 0.227499, 0.375536, 0.622577", \ + "0.0124647, 0.0561964, 0.086744, 0.138829, 0.2275, 0.375537, 0.622578", \ + "0.012621, 0.056209, 0.086745, 0.13883, 0.227501, 0.375538, 0.622579", \ + "0.012877, 0.056319, 0.08678, 0.138846, 0.227502, 0.375539, 0.62258" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.125861, 0.178408, 0.206908, 0.252776, 0.329056, 0.456083, 0.667582", \ + "0.151564, 0.204204, 0.232714, 0.278536, 0.354847, 0.481831, 0.693401", \ + "0.167047, 0.219702, 0.248174, 0.294021, 0.370295, 0.497238, 0.708868", \ + "0.192619, 0.245223, 0.27368, 0.31954, 0.395862, 0.522706, 0.734085", \ + "0.222756, 0.275443, 0.303932, 0.349821, 0.426101, 0.552999, 0.764458", \ + "0.26011, 0.312987, 0.341498, 0.387365, 0.463685, 0.590646, 0.802042", \ + "0.301454, 0.354645, 0.383186, 0.429107, 0.505438, 0.632376, 0.843839" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0173685, 0.0718127, 0.109906, 0.174965, 0.286034, 0.472099, 0.782529", \ + "0.0173695, 0.0718307, 0.109907, 0.174976, 0.286035, 0.472369, 0.782715", \ + "0.0174178, 0.0718477, 0.109908, 0.174977, 0.286036, 0.47237, 0.782716", \ + "0.017456, 0.0718754, 0.109909, 0.174978, 0.286037, 0.472371, 0.782717", \ + "0.0175619, 0.0718975, 0.109915, 0.174979, 0.286038, 0.472372, 0.782718", \ + "0.01792, 0.072023, 0.109943, 0.17498, 0.286041, 0.472373, 0.782719", \ + "0.018474, 0.072281, 0.11017, 0.175096, 0.286093, 0.472374, 0.78272" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.121879, 0.164377, 0.187954, 0.226224, 0.290096, 0.396406, 0.573582", \ + "0.147881, 0.190334, 0.213933, 0.252232, 0.316089, 0.422411, 0.600226", \ + "0.163525, 0.206018, 0.229623, 0.267887, 0.331713, 0.43802, 0.615157", \ + "0.187285, 0.229767, 0.253388, 0.291646, 0.355493, 0.46177, 0.638845", \ + "0.214805, 0.257308, 0.280896, 0.319193, 0.383046, 0.489337, 0.666422", \ + "0.247803, 0.290313, 0.313896, 0.352183, 0.416024, 0.522339, 0.699477", \ + "0.282943, 0.325424, 0.349028, 0.3873, 0.451163, 0.557464, 0.73457" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126438, 0.0561852, 0.0867446, 0.138878, 0.227455, 0.375472, 0.622292", \ + "0.0126448, 0.0561949, 0.0867456, 0.138879, 0.227456, 0.375521, 0.623036", \ + "0.0126536, 0.0561959, 0.0867466, 0.13888, 0.227457, 0.375601, 0.623743", \ + "0.0126546, 0.0561969, 0.0867476, 0.138881, 0.227458, 0.375602, 0.623744", \ + "0.0126556, 0.0562177, 0.0867486, 0.138882, 0.227459, 0.375603, 0.623745", \ + "0.0126566, 0.0562187, 0.0867496, 0.138883, 0.22746, 0.375604, 0.623746", \ + "0.0126576, 0.0562197, 0.08675, 0.138884, 0.227461, 0.375605, 0.623747" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0494545, 0.0916796, 0.115304, 0.153631, 0.217616, 0.323941, 0.501241", \ + "0.0796528, 0.123839, 0.147725, 0.186125, 0.250091, 0.356545, 0.534309", \ + "0.0974951, 0.144369, 0.168392, 0.206966, 0.270974, 0.377391, 0.554558", \ + "0.12341, 0.174906, 0.199382, 0.237982, 0.30208, 0.408588, 0.585787", \ + "0.150829, 0.210767, 0.236767, 0.275737, 0.339803, 0.446295, 0.623598", \ + "0.18624, 0.257963, 0.285903, 0.326156, 0.390861, 0.497638, 0.675051", \ + "0.226367, 0.315349, 0.347582, 0.391204, 0.457865, 0.566707, 0.746177" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0120688, 0.0562409, 0.0868065, 0.138897, 0.227563, 0.375649, 0.622465", \ + "0.0148117, 0.0573513, 0.0875234, 0.139231, 0.227564, 0.375731, 0.623217", \ + "0.0176797, 0.059013, 0.0885297, 0.139857, 0.227977, 0.375853, 0.623938", \ + "0.0220643, 0.0622234, 0.0905575, 0.141019, 0.228483, 0.376177, 0.623939", \ + "0.0284441, 0.0690541, 0.0951629, 0.143517, 0.229705, 0.376955, 0.62394", \ + "0.037927, 0.080822, 0.104792, 0.149435, 0.233295, 0.378891, 0.624739", \ + "0.052717, 0.10188, 0.121856, 0.16276, 0.243104, 0.386797, 0.630618" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00572115, 0.00613415, 0.00619595, 0.00620215, 0.00614505, 0.00601105, 0.00573215", \ + "0.00568335, 0.00610045, 0.00623765, 0.00624365, 0.00612035, 0.00623135, 0.00570785", \ + "0.0057158, 0.0061493, 0.0061715, 0.0062169, 0.0061662, 0.0061812, 0.0059455", \ + "0.005723, 0.0061712, 0.0062584, 0.0062241, 0.0061515, 0.0063186, 0.0057754", \ + "0.00570635, 0.00612385, 0.00623935, 0.00626725, 0.00626985, 0.00608005, 0.00591895", \ + "0.00569425, 0.00609385, 0.00619265, 0.00623895, 0.00628445, 0.00627635, 0.00619475", \ + "0.005741, 0.0061312, 0.0061904, 0.006174, 0.0062179, 0.0061268, 0.0061502" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00191945, 0.00237445, 0.00239925, 0.00233025, 0.00216985, 0.00184615, 0.00131355", \ + "0.000904949, 0.00139365, 0.00149485, 0.00139715, 0.00135115, 0.000887349, 0.00035015", \ + "0.00090025, 0.00138815, 0.00140095, 0.00135255, 0.00144875, 0.00113435, 0.00025975", \ + "0.00083635, 0.00133645, 0.00143335, 0.00145265, 0.00114815, 0.00109775, 0.000760648", \ + "0.000812, 0.001332, 0.0013447, 0.001426, 0.0014367, 0.0011531, 0.000399601", \ + "0.0007433, 0.001161, 0.0012157, 0.0013374, 0.0012673, 0.001335, 0.0012842", \ + "0.00064005, 0.00104975, 0.00106715, 0.00100385, 0.00096065, 0.00108285, 0.00103495" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130729, 0.0134827, 0.0135508, 0.0135553, 0.0134992, 0.0133465, 0.0130789", \ + "0.0129828, 0.0134174, 0.0135224, 0.0135676, 0.0135081, 0.0133354, 0.0130528", \ + "0.012964, 0.0133981, 0.0134246, 0.0134663, 0.0134319, 0.0135141, 0.0129976", \ + "0.0130225, 0.0134725, 0.0135443, 0.0135107, 0.0134449, 0.0135473, 0.0130621", \ + "0.0130352, 0.0134399, 0.0135731, 0.013592, 0.013616, 0.0133961, 0.013237", \ + "0.0132769, 0.01364, 0.0137408, 0.0137918, 0.0138223, 0.0138883, 0.0137325", \ + "0.0137058, 0.0140478, 0.0141424, 0.0140921, 0.0141366, 0.0140786, 0.0141077" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130514, 0.0135178, 0.0135421, 0.0134729, 0.0133229, 0.0129858, 0.0123891", \ + "0.013083, 0.013548, 0.0136006, 0.0137107, 0.0134778, 0.013047, 0.0127104", \ + "0.0131111, 0.0136014, 0.0135969, 0.0135472, 0.0137578, 0.013184, 0.0127678", \ + "0.013173, 0.0136729, 0.0137908, 0.0138233, 0.0134794, 0.0133837, 0.0138464", \ + "0.0132041, 0.0137218, 0.0137352, 0.0138573, 0.0138281, 0.0136674, 0.0127161", \ + "0.0131995, 0.0136431, 0.0136737, 0.0137566, 0.0136358, 0.0137036, 0.0137509", \ + "0.0131074, 0.0135725, 0.0136081, 0.0135682, 0.0133868, 0.0135108, 0.0134104" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00949544, 0.00994847, 0.00995642, 0.00989688, 0.00975463, 0.00944865, 0.0088426", \ + "0.00952674, 0.00983029, 0.00990944, 0.010211, 0.00986523, 0.00940237, 0.0090279", \ + "0.00981146, 0.0101096, 0.0101374, 0.0100494, 0.0103564, 0.00958637, 0.00929513", \ + "0.0105755, 0.0106523, 0.0106875, 0.010794, 0.0105366, 0.0104636, 0.0105003", \ + "0.012428, 0.0122221, 0.0122357, 0.0123853, 0.0124107, 0.0121905, 0.0115278", \ + "0.0162079, 0.0155081, 0.0155586, 0.0155256, 0.0155155, 0.015599, 0.0154335", \ + "0.0240114, 0.0227331, 0.0225302, 0.0222749, 0.0222194, 0.0222151, 0.0222404" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.142502, 0.182522, 0.210146, 0.255707, 0.331823, 0.458707, 0.670075", \ + "0.166351, 0.206443, 0.234006, 0.279584, 0.355698, 0.48239, 0.693868", \ + "0.179637, 0.219704, 0.247277, 0.292757, 0.368936, 0.495764, 0.707352", \ + "0.199493, 0.239543, 0.267118, 0.312726, 0.388808, 0.515651, 0.726956", \ + "0.222569, 0.262643, 0.290206, 0.335807, 0.411868, 0.538702, 0.750077", \ + "0.252448, 0.292518, 0.320078, 0.365664, 0.441776, 0.568595, 0.779986", \ + "0.287122, 0.327106, 0.354671, 0.400213, 0.476365, 0.603178, 0.814571" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112591, 0.0665141, 0.106732, 0.173383, 0.285061, 0.471273, 0.781585", \ + "0.0112601, 0.0665249, 0.106733, 0.173384, 0.285254, 0.471274, 0.781586", \ + "0.0112611, 0.0665259, 0.106734, 0.173385, 0.285255, 0.471275, 0.781647", \ + "0.0112621, 0.0665269, 0.106745, 0.173412, 0.285256, 0.471276, 0.781648", \ + "0.0112631, 0.0665279, 0.106746, 0.173413, 0.285257, 0.471277, 0.781649", \ + "0.011266, 0.0665289, 0.106747, 0.173414, 0.285258, 0.471278, 0.78165", \ + "0.011272, 0.0665299, 0.106748, 0.173415, 0.285259, 0.471279, 0.781651" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.167397, 0.20191, 0.225019, 0.263221, 0.327042, 0.433421, 0.610597", \ + "0.19157, 0.226096, 0.249192, 0.287363, 0.351194, 0.457656, 0.634706", \ + "0.20596, 0.24052, 0.263598, 0.30183, 0.365593, 0.47191, 0.649131", \ + "0.230438, 0.264957, 0.288121, 0.326245, 0.390104, 0.496404, 0.673542", \ + "0.261412, 0.295905, 0.319036, 0.357195, 0.42103, 0.527438, 0.704535", \ + "0.305244, 0.339715, 0.362859, 0.401007, 0.464852, 0.571201, 0.74839", \ + "0.367128, 0.401539, 0.424674, 0.46283, 0.526678, 0.633021, 0.810215" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114482, 0.0544921, 0.0861455, 0.139075, 0.22793, 0.376161, 0.623158", \ + "0.0114593, 0.0544931, 0.0861603, 0.139085, 0.227931, 0.376229, 0.623159", \ + "0.0114603, 0.0544941, 0.0861613, 0.139086, 0.227944, 0.37623, 0.623663", \ + "0.0114613, 0.0544951, 0.0861784, 0.139087, 0.227945, 0.376231, 0.623664", \ + "0.0114673, 0.0544961, 0.0861794, 0.139088, 0.227946, 0.376232, 0.623665", \ + "0.011483, 0.0544971, 0.0861804, 0.139089, 0.227947, 0.376233, 0.623666", \ + "0.011518, 0.054502, 0.0861814, 0.13909, 0.227948, 0.376234, 0.623667" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.147204, 0.187214, 0.214765, 0.260363, 0.336529, 0.463425, 0.674762", \ + "0.173221, 0.213233, 0.240762, 0.286274, 0.362422, 0.489317, 0.700885", \ + "0.188861, 0.228918, 0.256484, 0.30196, 0.37806, 0.50493, 0.716522", \ + "0.212635, 0.252694, 0.280232, 0.325758, 0.401879, 0.528674, 0.740038", \ + "0.240126, 0.280206, 0.307801, 0.35332, 0.429411, 0.55639, 0.767635", \ + "0.273277, 0.31327, 0.34086, 0.386449, 0.462526, 0.589373, 0.800733", \ + "0.308256, 0.348326, 0.375922, 0.42144, 0.497638, 0.62445, 0.835857" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112644, 0.0665118, 0.106734, 0.173386, 0.285019, 0.471271, 0.781327", \ + "0.0112654, 0.0665145, 0.106735, 0.173387, 0.285086, 0.471627, 0.78179", \ + "0.0112664, 0.0665155, 0.106736, 0.173388, 0.285087, 0.471628, 0.782983", \ + "0.0112674, 0.0665165, 0.106737, 0.173389, 0.285088, 0.471629, 0.782984", \ + "0.0112684, 0.0665175, 0.106738, 0.173394, 0.285089, 0.47163, 0.782985", \ + "0.0112694, 0.0665185, 0.106739, 0.173395, 0.28509, 0.471631, 0.782986", \ + "0.0112704, 0.0665195, 0.10674, 0.173396, 0.285091, 0.471632, 0.782987" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.155545, 0.190026, 0.213192, 0.251359, 0.315181, 0.421553, 0.59876", \ + "0.181308, 0.215846, 0.238999, 0.27714, 0.341002, 0.447343, 0.624482", \ + "0.196818, 0.231304, 0.254418, 0.292557, 0.356391, 0.462682, 0.639859", \ + "0.222364, 0.256855, 0.279996, 0.31816, 0.38194, 0.488239, 0.665374", \ + "0.252598, 0.287076, 0.310215, 0.348364, 0.412215, 0.518601, 0.695664", \ + "0.290525, 0.324963, 0.348055, 0.386241, 0.450125, 0.556427, 0.733639", \ + "0.331803, 0.366126, 0.389255, 0.427395, 0.491272, 0.597585, 0.774754" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114383, 0.0544916, 0.086136, 0.139086, 0.227928, 0.376145, 0.623158", \ + "0.0114393, 0.0544926, 0.0861402, 0.139087, 0.227929, 0.376347, 0.623159", \ + "0.0114403, 0.0544936, 0.0861412, 0.139088, 0.22793, 0.376348, 0.624283", \ + "0.0114413, 0.0544946, 0.086154, 0.139089, 0.227931, 0.376349, 0.624284", \ + "0.0114556, 0.0544956, 0.086155, 0.13909, 0.227932, 0.37635, 0.624285", \ + "0.011501, 0.054507, 0.086157, 0.139091, 0.227933, 0.376351, 0.624286", \ + "0.011579, 0.054525, 0.086178, 0.139092, 0.227934, 0.376352, 0.624287" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0745434, 0.114733, 0.142308, 0.187855, 0.264116, 0.39088, 0.602401", \ + "0.106467, 0.146048, 0.173572, 0.219126, 0.29526, 0.422131, 0.633627", \ + "0.126501, 0.165238, 0.192746, 0.238162, 0.314293, 0.441122, 0.652741", \ + "0.155932, 0.193456, 0.220756, 0.266174, 0.34223, 0.46908, 0.680391", \ + "0.189658, 0.225169, 0.252184, 0.297362, 0.373331, 0.500192, 0.711438", \ + "0.232335, 0.26532, 0.292046, 0.336776, 0.412566, 0.539362, 0.750615", \ + "0.282295, 0.311969, 0.337957, 0.382277, 0.457143, 0.583347, 0.79451" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0111791, 0.0665148, 0.10673, 0.173374, 0.28506, 0.471294, 0.781539", \ + "0.0116195, 0.0665513, 0.106731, 0.173386, 0.285121, 0.471782, 0.78154", \ + "0.0122194, 0.066615, 0.10678, 0.173387, 0.285122, 0.471783, 0.781898", \ + "0.013331, 0.0667708, 0.106817, 0.173388, 0.285123, 0.471784, 0.781899", \ + "0.0154142, 0.0671003, 0.107002, 0.173497, 0.285124, 0.471785, 0.7819", \ + "0.018337, 0.0678, 0.107319, 0.173684, 0.285161, 0.471786, 0.781901", \ + "0.022817, 0.069157, 0.108003, 0.17406, 0.285443, 0.471787, 0.781902" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00192575, 0.00243785, 0.00249125, 0.00250495, 0.00242885, 0.00228075, 0.00196155", \ + "0.000927551, 0.00147615, 0.00156805, 0.00158105, 0.00149915, 0.00125825, 0.00100395", \ + "0.000912249, 0.00142745, 0.00147165, 0.00153635, 0.00146215, 0.00182125, 0.000977749", \ + "0.000855248, 0.00138065, 0.00146035, 0.00148145, 0.00136525, 0.00153565, 0.00114965", \ + "0.0008677, 0.0013923, 0.0014868, 0.001541, 0.00155, 0.0013337, 0.0012935", \ + "0.000764702, 0.0012649, 0.0013455, 0.0013631, 0.0014224, 0.0013828, 0.0012592", \ + "0.00067305, 0.00114965, 0.00118545, 0.00119545, 0.00125735, 0.00121525, 0.00119775" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00571225, 0.00609285, 0.00611125, 0.00607655, 0.00593085, 0.00565265, 0.00514295", \ + "0.00567635, 0.00607685, 0.00614895, 0.00632795, 0.00592555, 0.00567425, 0.00513135", \ + "0.0057071, 0.0061027, 0.0060991, 0.0061008, 0.0063742, 0.0056723, 0.0052452", \ + "0.0057182, 0.0061322, 0.0062037, 0.0062217, 0.0059277, 0.0058966, 0.0053943", \ + "0.00570185, 0.00607065, 0.00615605, 0.00619875, 0.00621505, 0.00609595, 0.00523645", \ + "0.00568985, 0.00604915, 0.00609335, 0.00619735, 0.00612115, 0.00607905, 0.00615405", \ + "0.005729, 0.006072, 0.006128, 0.0060266, 0.0059689, 0.006082, 0.0060132" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179574, 0.0184792, 0.0185222, 0.0185382, 0.01848, 0.0183294, 0.0180317", \ + "0.0179735, 0.0185154, 0.0186049, 0.0185833, 0.0185399, 0.0184225, 0.0181275", \ + "0.0182253, 0.0187738, 0.0187969, 0.0188444, 0.0187728, 0.0189408, 0.018707", \ + "0.0189032, 0.0194648, 0.0195343, 0.0195203, 0.0194429, 0.0194741, 0.0189766", \ + "0.0204459, 0.0209853, 0.0210856, 0.0211347, 0.0211283, 0.0209215, 0.0207799", \ + "0.0237728, 0.0242868, 0.0243601, 0.0244198, 0.0244333, 0.0244036, 0.0243087", \ + "0.0306316, 0.0311732, 0.0312345, 0.0311868, 0.0312257, 0.0311429, 0.0312515" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130641, 0.0134432, 0.0134653, 0.0134309, 0.0132835, 0.0130131, 0.0125012", \ + "0.0129827, 0.0133687, 0.0134407, 0.0134832, 0.0132495, 0.0130036, 0.0123977", \ + "0.0129621, 0.0133522, 0.0133733, 0.0133491, 0.0134239, 0.0129111, 0.0125929", \ + "0.0130164, 0.0134161, 0.01349, 0.0135268, 0.0132549, 0.0131753, 0.0128097", \ + "0.0130342, 0.013411, 0.0134786, 0.0135489, 0.0135805, 0.0134711, 0.0125882", \ + "0.0132207, 0.0135531, 0.0135936, 0.0136796, 0.0136202, 0.0136246, 0.0137108", \ + "0.0136802, 0.0139939, 0.0140454, 0.0139431, 0.013906, 0.0140524, 0.0140312" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00951462, 0.0100236, 0.0100686, 0.0100582, 0.0100348, 0.00987513, 0.00957495", \ + "0.00952371, 0.00991506, 0.0100298, 0.0100249, 0.0100126, 0.00994981, 0.00954698", \ + "0.00981783, 0.0101215, 0.0101554, 0.0102231, 0.010149, 0.0101772, 0.00981892", \ + "0.0105766, 0.0106729, 0.0107566, 0.0107706, 0.0107278, 0.0107872, 0.0103278", \ + "0.0124195, 0.01222, 0.0122749, 0.0123284, 0.0123473, 0.0121608, 0.0121417", \ + "0.0161697, 0.0155218, 0.0155119, 0.0154666, 0.0155127, 0.0155384, 0.015461", \ + "0.0240458, 0.0226982, 0.0225184, 0.0223804, 0.0223282, 0.0222678, 0.0221606" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0022575; + rise_capacitance : 0.00243492; + rise_capacitance_range (0.00243492, 0.00243492); + fall_capacitance : 0.00208008; + fall_capacitance_range (0.00208008, 0.00208008); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.063575, -0.0376839, -0.0195752, -0.000828112", \ + "-0.142016, -0.112044, -0.0960622, -0.0736253", \ + "-0.199026, -0.163557, -0.14841, -0.125832", \ + "-0.258215, -0.220518, -0.201863, -0.180044" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0293423, 0.0596533, 0.106442, 0.161074", \ + "-0.104578, -0.0101858, 0.0403032, 0.0968127", \ + "-0.139875, -0.0429256, 0.00809509, 0.0662649", \ + "-0.174566, -0.0748207, -0.0182407, 0.0413215" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0709105, 0.0576505, 0.0632954, 0.0871758", \ + "0.149503, 0.127323, 0.124909, 0.134103", \ + "0.206741, 0.179291, 0.172695, 0.173856", \ + "0.269008, 0.234263, 0.224462, 0.218414" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0366779, -0.0521658, -0.0987266, -0.152979", \ + "0.10957, 0.0152788, -0.0350584, -0.0885657", \ + "0.145019, 0.0507929, -0.00269836, -0.060615", \ + "0.179962, 0.0803187, 0.0267156, -0.0354185" \ + ); + } + } + internal_power () { + when : "(!GATE * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00361774, 0.00359565, 0.00394075, 0.00504339, 0.00751344, 0.0128408, 0.0241049" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00387204, 0.0040492, 0.00448308, 0.00550533, 0.00800422, 0.0134511, 0.023892" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0212009, 0.0211681, 0.0214532, 0.0224972, 0.0249857, 0.0303147, 0.0415988" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0280679, 0.0301001, 0.0305553, 0.0316165, 0.0341254, 0.0393742, 0.0504583" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0212009, 0.0211681, 0.0214532, 0.0224972, 0.0249857, 0.0303147, 0.0415988" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0280679, 0.0301001, 0.0305553, 0.0316165, 0.0341254, 0.0393742, 0.0504583" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00244145; + rise_capacitance : 0.00277746; + rise_capacitance_range (0.00277746, 0.00277746); + fall_capacitance : 0.00185343; + fall_capacitance_range (0.00185343, 0.00185343); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0619507, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0135067, 0.0133834, 0.0137457, 0.015073, 0.018255, 0.0254102, 0.0402805" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0152177, 0.0152034, 0.0157601, 0.0171441, 0.0203683, 0.0274993, 0.0414378" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018595, 0.0188404, 0.019311, 0.0205954, 0.0237068, 0.0300002, 0.0435078" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00980461, 0.00977027, 0.0102149, 0.0114391, 0.0144795, 0.0210993, 0.0350024" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018631, 0.0188524, 0.0193446, 0.0206373, 0.0238344, 0.0300843, 0.0435905" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00980461, 0.00977027, 0.0102149, 0.0114391, 0.0144795, 0.0210993, 0.0350024" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018595, 0.0188404, 0.019311, 0.0205954, 0.0237068, 0.0300002, 0.0435078" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00335926; + rise_capacitance : 0.00352853; + rise_capacitance_range (0.00352853, 0.00352853); + fall_capacitance : 0.0032577; + fall_capacitance_range (0.0032577, 0.0032577); + timing () { + related_pin : "GATE"; + timing_type : recovery_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.00244519, -0.0796199, -0.121873, -0.171867", \ + "0.0596533, -0.0280111, -0.0822618, -0.146295", \ + "0.109014, 0.0219463, -0.0350787, -0.102989", \ + "0.16647, 0.0803187, 0.0238906, -0.0442731" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : removal_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.012226, 0.0970907, 0.150162, 0.215041", \ + "-0.0471742, 0.0432898, 0.0979963, 0.165538", \ + "-0.0987266, -0.0114567, 0.0458722, 0.117114", \ + "-0.158375, -0.0720717, -0.0154158, 0.0531277" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.121613, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-8.89139e-05, -2.27775e-06, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.89139e-05, 2.27775e-06, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.68588e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.68588e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.68588e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.68588e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dlhrq_1) { + area : 27.216; + cell_footprint : "DLHRQ"; + cell_leakage_power : 856.02; + leakage_power () { + value : 794.414; + when : "!RESET_B*!Q*!D*!GATE"; + } + leakage_power () { + value : 857.494; + when : "!RESET_B*!Q*!D*GATE"; + } + leakage_power () { + value : 829.611; + when : "!RESET_B*!Q*D*!GATE"; + } + leakage_power () { + value : 775.408; + when : "!RESET_B*!Q*D*GATE"; + } + leakage_power () { + value : 861.681; + when : "RESET_B*!GATE*!D*!Q"; + } + leakage_power () { + value : 861.681; + when : "RESET_B*!GATE*!D*Q"; + } + leakage_power () { + value : 896.885; + when : "RESET_B*!GATE*D*!Q"; + } + leakage_power () { + value : 896.885; + when : "RESET_B*!GATE*D*Q"; + } + leakage_power () { + value : 872.19; + when : "D*GATE*RESET_B*Q"; + } + leakage_power () { + value : 913.954; + when : "!D*GATE*RESET_B*!Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.127121, 0.174268, 0.202161, 0.247713, 0.323756, 0.450371, 0.661433", \ + "0.151616, 0.198764, 0.226698, 0.272242, 0.348303, 0.474925, 0.685809", \ + "0.166285, 0.213412, 0.241306, 0.286864, 0.362867, 0.489444, 0.700417", \ + "0.191032, 0.238177, 0.266077, 0.311653, 0.387661, 0.514216, 0.72505", \ + "0.222473, 0.269631, 0.297521, 0.343104, 0.419137, 0.545738, 0.756523", \ + "0.266865, 0.314097, 0.342006, 0.387593, 0.463586, 0.590337, 0.801089", \ + "0.327361, 0.374751, 0.402676, 0.448277, 0.524348, 0.650958, 0.861852" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0152625, 0.068744, 0.107996, 0.174019, 0.28538, 0.471023, 0.780579", \ + "0.0152637, 0.0687531, 0.108022, 0.174028, 0.285381, 0.471024, 0.78058", \ + "0.0153126, 0.0687646, 0.108026, 0.174029, 0.285382, 0.471064, 0.783074", \ + "0.0153136, 0.0687656, 0.108027, 0.174044, 0.285383, 0.471065, 0.783075", \ + "0.0153727, 0.0687685, 0.108028, 0.174045, 0.285384, 0.471066, 0.783076", \ + "0.015513, 0.068822, 0.108033, 0.174053, 0.285385, 0.471067, 0.783077", \ + "0.01585, 0.06893, 0.108099, 0.174088, 0.285386, 0.471068, 0.783078" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.113247, 0.15245, 0.175973, 0.214566, 0.278959, 0.38625, 0.564866", \ + "0.137331, 0.176558, 0.200126, 0.238679, 0.303138, 0.410374, 0.589079", \ + "0.150972, 0.190203, 0.213726, 0.252307, 0.316705, 0.423917, 0.60275", \ + "0.17115, 0.21041, 0.233943, 0.272539, 0.3369, 0.444244, 0.622784", \ + "0.193931, 0.233593, 0.257047, 0.295664, 0.360046, 0.467215, 0.645882", \ + "0.224593, 0.263934, 0.287519, 0.326108, 0.390478, 0.497842, 0.676458", \ + "0.260302, 0.299798, 0.323376, 0.361955, 0.426415, 0.533652, 0.712337" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0113555, 0.0551148, 0.0868743, 0.140191, 0.229848, 0.379377, 0.628803", \ + "0.0114026, 0.0551158, 0.0868958, 0.140192, 0.229893, 0.379465, 0.6289", \ + "0.0114036, 0.0551227, 0.0868968, 0.140193, 0.229894, 0.379466, 0.628901", \ + "0.011444, 0.0551237, 0.0868978, 0.140194, 0.229895, 0.379467, 0.628902", \ + "0.0114967, 0.0551361, 0.0868988, 0.140195, 0.229977, 0.379468, 0.628903", \ + "0.011647, 0.055192, 0.086905, 0.140196, 0.229978, 0.379469, 0.628904", \ + "0.011905, 0.055276, 0.08695, 0.140213, 0.229979, 0.37947, 0.628905" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.114965, 0.161943, 0.189815, 0.235355, 0.311394, 0.438037, 0.649067", \ + "0.140879, 0.187896, 0.215722, 0.261293, 0.337372, 0.463936, 0.674818", \ + "0.156413, 0.203423, 0.231302, 0.276865, 0.352874, 0.47948, 0.690346", \ + "0.182054, 0.229054, 0.256911, 0.302501, 0.37851, 0.505074, 0.715892", \ + "0.21222, 0.25933, 0.287196, 0.332775, 0.408821, 0.535404, 0.746314", \ + "0.249257, 0.296536, 0.324401, 0.369983, 0.4461, 0.572724, 0.783571", \ + "0.290161, 0.337775, 0.365721, 0.411369, 0.487385, 0.614073, 0.824915" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01514, 0.0688077, 0.10799, 0.173999, 0.285381, 0.471022, 0.780579", \ + "0.0151786, 0.0688087, 0.107998, 0.174036, 0.285382, 0.471023, 0.780593", \ + "0.0152103, 0.0688097, 0.108027, 0.174037, 0.285383, 0.471024, 0.782832", \ + "0.0152471, 0.0688107, 0.10803, 0.174056, 0.285384, 0.471025, 0.782833", \ + "0.0154686, 0.0688117, 0.108037, 0.174057, 0.285385, 0.471026, 0.782834", \ + "0.015866, 0.068958, 0.10811, 0.174085, 0.285386, 0.471027, 0.782835", \ + "0.016614, 0.069185, 0.108277, 0.174173, 0.285392, 0.471053, 0.782836" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.117553, 0.156906, 0.180467, 0.219058, 0.283462, 0.390777, 0.569375", \ + "0.143757, 0.183145, 0.206666, 0.245267, 0.309645, 0.416957, 0.595608", \ + "0.159265, 0.198619, 0.222151, 0.260728, 0.325119, 0.43241, 0.611046", \ + "0.183413, 0.222751, 0.246295, 0.284888, 0.349268, 0.456483, 0.635121", \ + "0.211241, 0.250594, 0.27413, 0.312736, 0.377118, 0.484378, 0.663029", \ + "0.244635, 0.284013, 0.30753, 0.346125, 0.410555, 0.517817, 0.696437", \ + "0.279669, 0.319063, 0.342575, 0.381155, 0.44556, 0.552886, 0.731483" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0116895, 0.0551929, 0.0869595, 0.140138, 0.229864, 0.379377, 0.628808", \ + "0.0116905, 0.0551939, 0.0869605, 0.140151, 0.229869, 0.379592, 0.628931", \ + "0.0116915, 0.0551949, 0.0869615, 0.140152, 0.22987, 0.379593, 0.630153", \ + "0.0116925, 0.0551959, 0.0869625, 0.140187, 0.229891, 0.379594, 0.630154", \ + "0.0116935, 0.0551969, 0.0869635, 0.140188, 0.229946, 0.379595, 0.630155", \ + "0.0116945, 0.0551979, 0.0869645, 0.140189, 0.229947, 0.379596, 0.630156", \ + "0.0116955, 0.0551989, 0.0869655, 0.140193, 0.229948, 0.379597, 0.630157" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0455539, 0.0847561, 0.10835, 0.146986, 0.211424, 0.318822, 0.497419", \ + "0.0740683, 0.114823, 0.138602, 0.177342, 0.241845, 0.34922, 0.527932", \ + "0.0906831, 0.13344, 0.157283, 0.196071, 0.260694, 0.368055, 0.546827", \ + "0.115104, 0.161117, 0.185148, 0.223979, 0.288657, 0.396042, 0.574912", \ + "0.142053, 0.193414, 0.219005, 0.25708, 0.321583, 0.42864, 0.608645", \ + "0.177397, 0.237203, 0.264055, 0.303707, 0.369179, 0.476731, 0.655205", \ + "0.220258, 0.293927, 0.32378, 0.366087, 0.433554, 0.542954, 0.724057" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0110682, 0.0551502, 0.0871744, 0.140252, 0.230001, 0.379582, 0.628958", \ + "0.0138132, 0.0561964, 0.0876082, 0.140592, 0.230108, 0.379873, 0.629", \ + "0.0164725, 0.0573623, 0.0883889, 0.141095, 0.230445, 0.379874, 0.629001", \ + "0.0205549, 0.0597651, 0.0898669, 0.141949, 0.230974, 0.380312, 0.629398", \ + "0.0260846, 0.0651221, 0.0937016, 0.144062, 0.232089, 0.381076, 0.62973", \ + "0.034655, 0.074021, 0.101062, 0.149314, 0.23575, 0.383199, 0.631342", \ + "0.047871, 0.090966, 0.115439, 0.160688, 0.245152, 0.39157, 0.638368" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0012377, 0.0016107, 0.0016431, 0.0016145, 0.0015175, 0.0012479, 0.000868799", \ + "0.0012173, 0.001575, 0.0016955, 0.0016383, 0.0016217, 0.0012485, 0.000851301", \ + "0.0012334, 0.0016228, 0.001599, 0.0016333, 0.0015416, 0.0014475, 0.001297", \ + "0.0012179, 0.0016384, 0.0017106, 0.0016821, 0.0014895, 0.001752, 0.000868499", \ + "0.0011931, 0.0015458, 0.0016704, 0.0017137, 0.0017167, 0.0014147, 0.0012595", \ + "0.0011781, 0.0014977, 0.0015709, 0.0016274, 0.0016745, 0.0016713, 0.0015215", \ + "0.0011236, 0.0014712, 0.001512, 0.0014079, 0.0014477, 0.0014102, 0.0014241" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.0012377, -0.0016107, -0.0016431, -0.0016145, -0.0015175, -0.0012479, -0.000868799", \ + "-0.0012173, -0.001575, -0.0016955, -0.0016383, -0.0016217, -0.0012485, -0.000851301", \ + "-0.0012334, -0.0016228, -0.001599, -0.0016333, -0.0015416, -0.0014475, -0.001297", \ + "-0.0012179, -0.0016384, -0.0017106, -0.0016821, -0.0014895, -0.001752, -0.000868499", \ + "-0.0011931, -0.0015458, -0.0016704, -0.0017137, -0.0017167, -0.0014147, -0.0012595", \ + "-0.0011781, -0.0014977, -0.0015709, -0.0016274, -0.0016745, -0.0016713, -0.0015215", \ + "-0.0011236, -0.0014712, -0.001512, -0.0014079, -0.0014477, -0.0014102, -0.0014241" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.016184, 0.0165766, 0.0166121, 0.0165534, 0.0164781, 0.0162126, 0.0158237", \ + "0.0160587, 0.0164296, 0.0165323, 0.0164606, 0.0165271, 0.0160919, 0.0156865", \ + "0.0159511, 0.0163596, 0.0163596, 0.0164001, 0.0163675, 0.0160642, 0.01598", \ + "0.0161032, 0.016512, 0.0165806, 0.0165798, 0.0163301, 0.0166091, 0.0157317", \ + "0.0161863, 0.0165468, 0.0166476, 0.0166925, 0.0167266, 0.0164404, 0.0161815", \ + "0.0167418, 0.0170121, 0.0170852, 0.0171406, 0.017184, 0.0171995, 0.0169805", \ + "0.0175493, 0.0178341, 0.0178913, 0.0178019, 0.0178644, 0.0177072, 0.017803" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160999, 0.0166988, 0.0167331, 0.0166965, 0.0165992, 0.0163388, 0.0159246", \ + "0.0161912, 0.0167759, 0.0168325, 0.0170088, 0.0167135, 0.0164934, 0.0160426", \ + "0.0162393, 0.0168656, 0.0168585, 0.0167925, 0.0169139, 0.0165642, 0.0163098", \ + "0.0163338, 0.0169592, 0.0170411, 0.0170849, 0.016894, 0.0167492, 0.0170063", \ + "0.0163943, 0.0170532, 0.0170469, 0.0171635, 0.0171986, 0.0171046, 0.016285", \ + "0.0163944, 0.0169679, 0.0169868, 0.0170834, 0.0170015, 0.0170171, 0.0170839", \ + "0.016209, 0.0167827, 0.0168512, 0.0168008, 0.01667, 0.0168269, 0.0166496" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00927972, 0.00986379, 0.00994226, 0.00986232, 0.00976542, 0.00957794, 0.00911898", \ + "0.00935013, 0.00972961, 0.0097749, 0.0100073, 0.00978912, 0.00957153, 0.0090942", \ + "0.00989065, 0.0101722, 0.0102686, 0.0101828, 0.0103961, 0.00990931, 0.00948397", \ + "0.0112145, 0.0112444, 0.0113019, 0.0114307, 0.0112582, 0.0111693, 0.0108414", \ + "0.0144453, 0.0141511, 0.0142218, 0.0142546, 0.0143703, 0.0142848, 0.0136457", \ + "0.0210644, 0.0201379, 0.0201255, 0.020098, 0.0201145, 0.0200823, 0.0199745", \ + "0.0347315, 0.0329294, 0.0326404, 0.0322876, 0.0322327, 0.0320668, 0.0321101" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00230477; + rise_capacitance : 0.00252411; + rise_capacitance_range (0.00252411, 0.00252411); + fall_capacitance : 0.00208543; + fall_capacitance_range (0.00208543, 0.00208543); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0586846, -0.0326922, -0.0144316, 0.00456862", \ + "-0.13952, -0.109498, -0.0908174, -0.0681273", \ + "-0.196454, -0.160934, -0.143013, -0.123007", \ + "-0.255516, -0.217769, -0.199038, -0.177092" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0293423, 0.0596533, 0.109014, 0.163772", \ + "-0.104578, -0.0101858, 0.0403032, 0.0995617", \ + "-0.139875, -0.045548, 0.00809509, 0.0690899", \ + "-0.174566, -0.0748207, -0.0210657, 0.0413215" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0660201, 0.0551547, 0.0632954, 0.0898741", \ + "0.147007, 0.124777, 0.122286, 0.134103", \ + "0.204169, 0.174046, 0.169997, 0.171031", \ + "0.263612, 0.228765, 0.218812, 0.215462" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0366779, -0.0546617, -0.101298, -0.155677", \ + "0.10957, 0.0152788, -0.0350584, -0.0913147", \ + "0.145019, 0.0507929, -0.00269836, -0.060615", \ + "0.179962, 0.0803187, 0.0267156, -0.0354185" \ + ); + } + } + internal_power () { + when : "(!GATE * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00349183, 0.00346583, 0.00381111, 0.00488746, 0.00734336, 0.0126315, 0.0238343" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00382213, 0.00399498, 0.004424, 0.0054349, 0.00790511, 0.0133217, 0.0237493" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0216306, 0.0215905, 0.0218651, 0.0229199, 0.0253795, 0.0307285, 0.0420548" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0283756, 0.0303103, 0.0307533, 0.0317959, 0.0342964, 0.0395466, 0.0505555" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0216306, 0.0215905, 0.0218651, 0.0229199, 0.0253795, 0.0307285, 0.0420548" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0283756, 0.0303103, 0.0307533, 0.0317959, 0.0342964, 0.0395466, 0.0505555" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00238356; + rise_capacitance : 0.00279996; + rise_capacitance_range (0.00279996, 0.00279996); + fall_capacitance : 0.00186306; + fall_capacitance_range (0.00186306, 0.00186306); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0567627, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0138411, 0.0136901, 0.0140535, 0.0153859, 0.0185883, 0.0256998, 0.040511" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0148675, 0.0148512, 0.0153666, 0.0167695, 0.0201105, 0.0270993, 0.0410819" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018798, 0.0190339, 0.0195157, 0.0208317, 0.0238504, 0.0304829, 0.0436487" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0101241, 0.0100749, 0.0105067, 0.0117398, 0.0147501, 0.0213413, 0.035195" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0188367, 0.0190662, 0.0195403, 0.0209035, 0.0239029, 0.0304929, 0.0436469" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0101241, 0.0100749, 0.0105067, 0.0117398, 0.0147501, 0.0213413, 0.035195" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018798, 0.0190339, 0.0195157, 0.0208317, 0.0238504, 0.0304829, 0.0436487" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00318272; + rise_capacitance : 0.00331116; + rise_capacitance_range (0.00331116, 0.00331116); + fall_capacitance : 0.00308639; + fall_capacitance_range (0.00308639, 0.00308639); + timing () { + related_pin : "GATE"; + timing_type : recovery_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.00978076, -0.0871074, -0.13216, -0.185359", \ + "0.0371909, -0.0509292, -0.105864, -0.171036", \ + "0.0730088, -0.0147674, -0.0728558, -0.139714", \ + "0.112503, 0.0253387, -0.0297834, -0.0974008" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : removal_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0171163, 0.104578, 0.157877, 0.225834", \ + "-0.0297034, 0.0636615, 0.118976, 0.18753", \ + "-0.0678652, 0.0252571, 0.0809509, 0.151013", \ + "-0.107106, -0.0198407, 0.0382583, 0.109207" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.119019, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dllr_1) { + area : 34.4736; + cell_footprint : "DLLR"; + cell_leakage_power : 1084.12; + leakage_power () { + value : 1053.34; + when : "!RESET_B*!Q*Q_N*!D*!GATE_N"; + } + leakage_power () { + value : 1003.4; + when : "!RESET_B*!Q*Q_N*!D*GATE_N"; + } + leakage_power () { + value : 973.77; + when : "!RESET_B*!Q*Q_N*D*!GATE_N"; + } + leakage_power () { + value : 1043.77; + when : "!RESET_B*!Q*Q_N*D*GATE_N"; + } + leakage_power () { + value : 1112.7; + when : "RESET_B*!GATE_N*!D*!Q*!Q_N"; + } + leakage_power () { + value : 1112.7; + when : "RESET_B*!GATE_N*!D*!Q*Q_N"; + } + leakage_power () { + value : 1112.7; + when : "RESET_B*!GATE_N*!D*Q*!Q_N"; + } + leakage_power () { + value : 1112.7; + when : "RESET_B*!GATE_N*!D*Q*Q_N"; + } + leakage_power () { + value : 1124.14; + when : "RESET_B*!GATE_N*D*!Q*!Q_N"; + } + leakage_power () { + value : 1124.14; + when : "RESET_B*!GATE_N*D*!Q*Q_N"; + } + leakage_power () { + value : 1124.14; + when : "RESET_B*!GATE_N*D*Q*!Q_N"; + } + leakage_power () { + value : 1124.14; + when : "RESET_B*!GATE_N*D*Q*Q_N"; + } + leakage_power () { + value : 1095.64; + when : "D*GATE_N*RESET_B*Q*!Q_N"; + } + leakage_power () { + value : 1060.43; + when : "!D*GATE_N*RESET_B*!Q*Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.138413, 0.190999, 0.219459, 0.265337, 0.341616, 0.468505, 0.680115", \ + "0.16248, 0.215113, 0.243595, 0.289457, 0.365756, 0.492652, 0.704269", \ + "0.176812, 0.229422, 0.2579, 0.303739, 0.379991, 0.506925, 0.718534", \ + "0.201266, 0.253858, 0.282335, 0.328178, 0.40448, 0.531312, 0.74271", \ + "0.231969, 0.284591, 0.31307, 0.358936, 0.4352, 0.562218, 0.773499", \ + "0.276006, 0.328652, 0.357142, 0.403011, 0.479332, 0.606179, 0.817596", \ + "0.336952, 0.389781, 0.418257, 0.464144, 0.540449, 0.667317, 0.878819" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0176985, 0.0720175, 0.109977, 0.175064, 0.286079, 0.472031, 0.782308", \ + "0.0177047, 0.0720185, 0.110041, 0.175068, 0.28608, 0.472299, 0.782309", \ + "0.0177057, 0.0720195, 0.110042, 0.175069, 0.286081, 0.4723, 0.783478", \ + "0.0177135, 0.0720205, 0.110043, 0.17507, 0.286082, 0.472301, 0.783479", \ + "0.0177362, 0.0720333, 0.110055, 0.175071, 0.286083, 0.472302, 0.78348", \ + "0.017864, 0.072076, 0.110056, 0.17508, 0.286084, 0.472303, 0.783481", \ + "0.018122, 0.072162, 0.110116, 0.175113, 0.286095, 0.472304, 0.783482" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.118715, 0.160907, 0.184522, 0.222809, 0.28667, 0.393013, 0.57021", \ + "0.142307, 0.184544, 0.208142, 0.246465, 0.310358, 0.416689, 0.593953", \ + "0.155674, 0.197866, 0.221448, 0.259768, 0.323638, 0.429947, 0.607144", \ + "0.175579, 0.217795, 0.241391, 0.279722, 0.343592, 0.449988, 0.627004", \ + "0.198371, 0.240158, 0.263765, 0.302105, 0.366504, 0.472899, 0.64999", \ + "0.228319, 0.270313, 0.293939, 0.332599, 0.39656, 0.502888, 0.680004", \ + "0.263141, 0.305574, 0.329228, 0.367571, 0.431467, 0.537883, 0.715119" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0125672, 0.0563101, 0.086943, 0.139009, 0.227587, 0.375715, 0.622722", \ + "0.0125997, 0.0563215, 0.086944, 0.13901, 0.227588, 0.375716, 0.623018", \ + "0.0126003, 0.0563373, 0.086945, 0.139011, 0.227589, 0.375717, 0.623019", \ + "0.0126311, 0.0563383, 0.086946, 0.139012, 0.22759, 0.375718, 0.62302", \ + "0.0126571, 0.0563393, 0.086947, 0.139013, 0.227591, 0.375719, 0.623021", \ + "0.012798, 0.056361, 0.08697, 0.139014, 0.227604, 0.37572, 0.623022", \ + "0.013063, 0.05648, 0.08702, 0.139029, 0.227619, 0.375758, 0.623023" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.151737, 0.20418, 0.232609, 0.278559, 0.354771, 0.481748, 0.693317", \ + "0.179889, 0.232307, 0.260784, 0.306645, 0.382971, 0.509978, 0.721416", \ + "0.196316, 0.248762, 0.277209, 0.32307, 0.399356, 0.526284, 0.737773", \ + "0.221869, 0.274293, 0.302743, 0.348592, 0.424838, 0.551769, 0.763078", \ + "0.252131, 0.304553, 0.333001, 0.37886, 0.455104, 0.582034, 0.793362", \ + "0.296055, 0.348551, 0.37704, 0.422892, 0.499158, 0.626054, 0.837457", \ + "0.358327, 0.410743, 0.439197, 0.485057, 0.561344, 0.688212, 0.899856" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0176163, 0.0720011, 0.110041, 0.175065, 0.285996, 0.472089, 0.782299", \ + "0.0176173, 0.0720021, 0.110042, 0.175066, 0.286082, 0.472219, 0.7823", \ + "0.0176183, 0.0720031, 0.110043, 0.175067, 0.286083, 0.47222, 0.782429", \ + "0.0176193, 0.0720041, 0.110044, 0.175068, 0.286084, 0.472221, 0.78243", \ + "0.0176203, 0.0720051, 0.110045, 0.175069, 0.286085, 0.472222, 0.782431", \ + "0.0176213, 0.0720061, 0.110046, 0.17507, 0.286086, 0.472223, 0.782432", \ + "0.0176223, 0.0720071, 0.110047, 0.175071, 0.286092, 0.472224, 0.782433" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.113618, 0.156, 0.179548, 0.217877, 0.281766, 0.388112, 0.565346", \ + "0.142805, 0.185186, 0.208793, 0.247098, 0.310981, 0.417319, 0.594463", \ + "0.161292, 0.203775, 0.227376, 0.265697, 0.329558, 0.435887, 0.612947", \ + "0.18934, 0.231756, 0.255352, 0.293673, 0.357532, 0.463856, 0.640973", \ + "0.224076, 0.266599, 0.290197, 0.328541, 0.392415, 0.498795, 0.675968", \ + "0.273456, 0.317268, 0.340867, 0.379281, 0.443065, 0.549417, 0.726473", \ + "0.345396, 0.388559, 0.412212, 0.45058, 0.51448, 0.620838, 0.798113" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012823, 0.0563217, 0.0868821, 0.138999, 0.227602, 0.375718, 0.622525", \ + "0.0128656, 0.0563744, 0.0868922, 0.139002, 0.227603, 0.375719, 0.622581", \ + "0.0129044, 0.0563754, 0.0869514, 0.139003, 0.227604, 0.37572, 0.622881", \ + "0.0129874, 0.0563764, 0.0869524, 0.139004, 0.227605, 0.375721, 0.622882", \ + "0.0131617, 0.0564574, 0.0869534, 0.139016, 0.227606, 0.375722, 0.622883", \ + "0.013551, 0.05657, 0.087011, 0.139039, 0.227607, 0.375723, 0.622884", \ + "0.014294, 0.056813, 0.087134, 0.139082, 0.227614, 0.375888, 0.622885" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0493177, 0.0933307, 0.117829, 0.156524, 0.220316, 0.326619, 0.503695", \ + "0.0795227, 0.125745, 0.150266, 0.188883, 0.252714, 0.359026, 0.536539", \ + "0.0974537, 0.14679, 0.171347, 0.209952, 0.27375, 0.380004, 0.557122", \ + "0.123761, 0.178368, 0.203074, 0.241537, 0.305284, 0.411532, 0.588639", \ + "0.152209, 0.215852, 0.240622, 0.278843, 0.342397, 0.448343, 0.625484", \ + "0.189202, 0.263112, 0.287666, 0.325552, 0.388709, 0.494913, 0.671885", \ + "0.232646, 0.317659, 0.341929, 0.378826, 0.441799, 0.547604, 0.724287" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126083, 0.0585054, 0.0888887, 0.139989, 0.22744, 0.37488, 0.621791", \ + "0.0156096, 0.0595222, 0.0891521, 0.13999, 0.227441, 0.375082, 0.622494", \ + "0.0187096, 0.0612296, 0.0899413, 0.140048, 0.227442, 0.375083, 0.623139", \ + "0.0234194, 0.0644778, 0.0915387, 0.140507, 0.227476, 0.375084, 0.62314", \ + "0.0305346, 0.0702815, 0.0941765, 0.141106, 0.227562, 0.375184, 0.623141", \ + "0.040786, 0.077626, 0.097205, 0.141603, 0.227718, 0.375266, 0.623142", \ + "0.056072, 0.086361, 0.100505, 0.142065, 0.227719, 0.375415, 0.623143" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0120274, 0.0323854, 0.046086, 0.0685971, 0.10611, 0.16858, 0.2726", \ + "0.0119345, 0.0323061, 0.0461335, 0.0686439, 0.106047, 0.168567, 0.272534", \ + "0.0120131, 0.0323932, 0.0460512, 0.0686167, 0.106124, 0.168565, 0.273067", \ + "0.0119995, 0.0324301, 0.046187, 0.0686643, 0.106138, 0.168913, 0.272513", \ + "0.0119752, 0.0323274, 0.0461639, 0.0687256, 0.106347, 0.168518, 0.272909", \ + "0.0119389, 0.0322444, 0.0460409, 0.0685981, 0.106334, 0.169021, 0.273454", \ + "0.0119696, 0.0322943, 0.0459993, 0.0684198, 0.106168, 0.1687, 0.273314" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0057126, 0.0261188, 0.0397571, 0.0621075, 0.0994125, 0.161529, 0.264881", \ + "-0.000226002, 0.0202363, 0.0339841, 0.0564035, 0.0939231, 0.155582, 0.259141", \ + "-0.0003855, 0.0200984, 0.0337152, 0.0560564, 0.093753, 0.156061, 0.258909", \ + "-0.000543099, 0.019976, 0.03372, 0.056202, 0.0931651, 0.155945, 0.259046", \ + "-0.000583898, 0.0198886, 0.0335912, 0.056211, 0.0939282, 0.156104, 0.259005", \ + "-0.000840299, 0.0194867, 0.0332372, 0.0558952, 0.0934649, 0.15631, 0.260694", \ + "-0.0009492, 0.0193745, 0.0330185, 0.0553555, 0.0930065, 0.155847, 0.260349" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0278382, 0.0482043, 0.0618982, 0.0843958, 0.121895, 0.184368, 0.288362", \ + "0.0278269, 0.0482634, 0.0620137, 0.0844226, 0.121945, 0.184404, 0.28839", \ + "0.0277563, 0.0480994, 0.0618025, 0.0844173, 0.122138, 0.184328, 0.288396", \ + "0.0277443, 0.0481667, 0.0619306, 0.084249, 0.121871, 0.184687, 0.288357", \ + "0.0277604, 0.0481523, 0.0619105, 0.0845407, 0.122085, 0.184435, 0.288837", \ + "0.0274463, 0.0478106, 0.0616179, 0.0841122, 0.121726, 0.184609, 0.288671", \ + "0.027609, 0.0479703, 0.0616463, 0.0841581, 0.121911, 0.184618, 0.289004" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0257464, 0.0461952, 0.0598024, 0.0821664, 0.119476, 0.181632, 0.285019", \ + "0.0255946, 0.0460444, 0.0598364, 0.0821968, 0.119363, 0.181459, 0.284837", \ + "0.0255798, 0.046038, 0.0596363, 0.0820515, 0.120424, 0.182123, 0.284948", \ + "0.0255852, 0.046088, 0.0598829, 0.0823035, 0.11938, 0.182063, 0.285344", \ + "0.0257302, 0.0462352, 0.0600036, 0.0824922, 0.120215, 0.182531, 0.285698", \ + "0.0257623, 0.0460785, 0.059793, 0.0823922, 0.120136, 0.18287, 0.287248", \ + "0.0267985, 0.0470837, 0.0606843, 0.0830721, 0.120659, 0.183411, 0.287956" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0295305, 0.0500476, 0.0636778, 0.0859876, 0.123286, 0.185408, 0.288849", \ + "0.0292196, 0.0494386, 0.0631902, 0.0862781, 0.12286, 0.185018, 0.288788", \ + "0.0296122, 0.0497548, 0.0633366, 0.0857143, 0.123856, 0.185187, 0.289114", \ + "0.030957, 0.0506689, 0.0643708, 0.0869638, 0.12392, 0.186699, 0.290659", \ + "0.0343342, 0.0534492, 0.0670642, 0.0895873, 0.127423, 0.189495, 0.292663", \ + "0.0412174, 0.0590671, 0.0725667, 0.0950494, 0.132625, 0.195481, 0.299931", \ + "0.0541117, 0.0704787, 0.0837876, 0.105733, 0.143132, 0.205964, 0.310471" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.143698, 0.18366, 0.211213, 0.256675, 0.332667, 0.459243, 0.670437", \ + "0.167347, 0.207385, 0.234926, 0.280338, 0.35626, 0.482884, 0.6956", \ + "0.180677, 0.220722, 0.248184, 0.293652, 0.369627, 0.496249, 0.707445", \ + "0.200617, 0.240649, 0.268165, 0.313651, 0.389601, 0.516158, 0.727185", \ + "0.223573, 0.263588, 0.291128, 0.336627, 0.412539, 0.539121, 0.750083", \ + "0.253217, 0.293217, 0.3207, 0.36616, 0.442172, 0.56876, 0.779749", \ + "0.288436, 0.328388, 0.35592, 0.401344, 0.477339, 0.60392, 0.814983" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112578, 0.0663973, 0.10656, 0.173109, 0.28453, 0.470412, 0.780118", \ + "0.0112588, 0.0664156, 0.106562, 0.17311, 0.284637, 0.470413, 0.781644", \ + "0.0112616, 0.0664186, 0.106563, 0.173111, 0.284638, 0.470414, 0.781645", \ + "0.0112626, 0.0664196, 0.106564, 0.173112, 0.284639, 0.470415, 0.781646", \ + "0.0112641, 0.0664202, 0.106565, 0.173113, 0.28464, 0.470416, 0.781647", \ + "0.011313, 0.0664212, 0.106566, 0.173114, 0.284641, 0.470417, 0.781648", \ + "0.011337, 0.0664222, 0.106567, 0.173115, 0.284642, 0.470418, 0.781649" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.168034, 0.202623, 0.225731, 0.263977, 0.327822, 0.434234, 0.611394", \ + "0.192153, 0.226685, 0.249851, 0.287993, 0.351926, 0.45825, 0.635466", \ + "0.206484, 0.241056, 0.2642, 0.302361, 0.366201, 0.472603, 0.649928", \ + "0.230903, 0.265501, 0.288645, 0.326815, 0.390676, 0.497004, 0.674176", \ + "0.261664, 0.296219, 0.319376, 0.35752, 0.421367, 0.5278, 0.704938", \ + "0.305329, 0.339853, 0.362995, 0.401223, 0.465028, 0.571413, 0.748643", \ + "0.366827, 0.401335, 0.424454, 0.462669, 0.526477, 0.632852, 0.810096" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114937, 0.0545214, 0.0862012, 0.139117, 0.227989, 0.376217, 0.623296", \ + "0.0114947, 0.0545224, 0.0862022, 0.139129, 0.22799, 0.376319, 0.62334", \ + "0.0114957, 0.0545295, 0.0862032, 0.13913, 0.227991, 0.37632, 0.623341", \ + "0.0114967, 0.0545305, 0.0862042, 0.139131, 0.227992, 0.376321, 0.623342", \ + "0.0114997, 0.0545315, 0.0862052, 0.139132, 0.227993, 0.376322, 0.623343", \ + "0.01151, 0.0545325, 0.08621, 0.139133, 0.227994, 0.376323, 0.623344", \ + "0.011531, 0.0545335, 0.086211, 0.139134, 0.227995, 0.376324, 0.623345" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.13877, 0.178768, 0.206259, 0.251766, 0.327751, 0.454368, 0.665426", \ + "0.167988, 0.207956, 0.235504, 0.280927, 0.356903, 0.483552, 0.694499", \ + "0.186474, 0.226547, 0.254004, 0.299517, 0.375387, 0.502166, 0.713135", \ + "0.214524, 0.254519, 0.282071, 0.327471, 0.403529, 0.53007, 0.741024", \ + "0.248929, 0.288844, 0.316386, 0.361777, 0.437842, 0.564399, 0.77536", \ + "0.30039, 0.340113, 0.367008, 0.412552, 0.488488, 0.615046, 0.82608", \ + "0.371265, 0.411032, 0.438518, 0.48399, 0.560028, 0.686616, 0.897664" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112562, 0.0664208, 0.106562, 0.173094, 0.284576, 0.470505, 0.780202", \ + "0.0112825, 0.0664218, 0.106563, 0.173095, 0.284577, 0.470506, 0.780203", \ + "0.0112959, 0.0664228, 0.106564, 0.173096, 0.284624, 0.470507, 0.780204", \ + "0.0112969, 0.0664468, 0.106565, 0.173097, 0.284625, 0.470508, 0.780205", \ + "0.0113252, 0.0664478, 0.106566, 0.173098, 0.284626, 0.470509, 0.780206", \ + "0.011344, 0.0664488, 0.106567, 0.173099, 0.284627, 0.47051, 0.780207", \ + "0.011467, 0.0664498, 0.106568, 0.1731, 0.284628, 0.470554, 0.780208" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.181234, 0.215843, 0.238979, 0.277214, 0.34099, 0.447456, 0.624645", \ + "0.209407, 0.243936, 0.267144, 0.305283, 0.369189, 0.47552, 0.652677", \ + "0.225827, 0.260379, 0.2835, 0.321685, 0.385581, 0.491877, 0.66913", \ + "0.251374, 0.285976, 0.309078, 0.347277, 0.41123, 0.517525, 0.694686", \ + "0.281664, 0.316005, 0.339061, 0.377161, 0.44102, 0.547336, 0.724589", \ + "0.325657, 0.360204, 0.38335, 0.421514, 0.485408, 0.591758, 0.768961", \ + "0.387819, 0.422392, 0.445554, 0.48376, 0.547578, 0.653953, 0.831193" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01146, 0.0545208, 0.0861629, 0.139116, 0.227989, 0.376248, 0.623294", \ + "0.0114635, 0.0545555, 0.0861639, 0.139117, 0.23008, 0.376249, 0.623295", \ + "0.0114645, 0.0545565, 0.0861649, 0.139148, 0.230081, 0.376382, 0.624331", \ + "0.0114658, 0.0545575, 0.0861796, 0.139149, 0.230082, 0.376383, 0.624332", \ + "0.0114668, 0.0545585, 0.0861818, 0.13915, 0.230083, 0.376384, 0.624333", \ + "0.0114678, 0.0545595, 0.086182, 0.139151, 0.230084, 0.376385, 0.624334", \ + "0.0114688, 0.0545605, 0.086192, 0.139152, 0.230085, 0.376386, 0.624335" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.074806, 0.115001, 0.142506, 0.187985, 0.263994, 0.390599, 0.601649", \ + "0.106917, 0.146388, 0.173916, 0.219336, 0.295346, 0.421975, 0.633074", \ + "0.127235, 0.165997, 0.193399, 0.238815, 0.314788, 0.441276, 0.652512", \ + "0.157432, 0.194984, 0.222225, 0.267511, 0.343423, 0.47001, 0.680972", \ + "0.192314, 0.228008, 0.254872, 0.299854, 0.375771, 0.502446, 0.71335", \ + "0.236802, 0.269676, 0.296943, 0.341726, 0.417243, 0.543518, 0.754426", \ + "0.289794, 0.320176, 0.34587, 0.389998, 0.464872, 0.590922, 0.801697" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114209, 0.0665031, 0.106625, 0.173132, 0.284585, 0.470438, 0.780179", \ + "0.0119432, 0.0665582, 0.106639, 0.173133, 0.284586, 0.470778, 0.78018", \ + "0.0125396, 0.0666243, 0.106646, 0.173134, 0.284587, 0.470779, 0.780539", \ + "0.0138387, 0.0667965, 0.106752, 0.173135, 0.284588, 0.47078, 0.78054", \ + "0.0161626, 0.0672301, 0.106897, 0.173195, 0.284589, 0.470781, 0.780541", \ + "0.019243, 0.067946, 0.107165, 0.173342, 0.28459, 0.470782, 0.780542", \ + "0.023586, 0.0691, 0.107726, 0.173411, 0.284592, 0.470783, 0.780543" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0057186, 0.0262453, 0.0399451, 0.0624266, 0.0998845, 0.162325, 0.266346", \ + "-0.000197701, 0.0204027, 0.0342624, 0.0566603, 0.0940861, 0.156421, 0.261375", \ + "-0.000372, 0.0201957, 0.0338325, 0.0564671, 0.093859, 0.156724, 0.260246", \ + "-0.0004992, 0.0200691, 0.0338029, 0.0562865, 0.0936971, 0.156697, 0.260299", \ + "-0.000553999, 0.0199997, 0.0337803, 0.056372, 0.0939702, 0.156276, 0.260754", \ + "-0.000778101, 0.0197128, 0.0334883, 0.0559922, 0.0937199, 0.156407, 0.260702", \ + "-0.000887699, 0.0195825, 0.0332338, 0.0557435, 0.0935125, 0.156157, 0.260749" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0120033, 0.0322939, 0.045912, 0.0683285, 0.105667, 0.167864, 0.271363", \ + "0.0119291, 0.0322119, 0.0459551, 0.0688002, 0.105658, 0.167829, 0.2714", \ + "0.0119961, 0.0323105, 0.0458749, 0.0683339, 0.106528, 0.167915, 0.271343", \ + "0.0119954, 0.0323345, 0.0460694, 0.0686199, 0.105827, 0.168372, 0.27206", \ + "0.0119759, 0.0322342, 0.0459818, 0.0685526, 0.10628, 0.168742, 0.271711", \ + "0.0119057, 0.0321339, 0.0458239, 0.0685323, 0.105979, 0.168783, 0.27336", \ + "0.011942, 0.0321789, 0.0458783, 0.0681518, 0.105664, 0.16856, 0.273093" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0361985, 0.0567813, 0.0704369, 0.0929265, 0.130436, 0.192915, 0.296818", \ + "0.0361357, 0.0567385, 0.0705594, 0.0929834, 0.130402, 0.192795, 0.296716", \ + "0.0366965, 0.0572462, 0.0709377, 0.0935271, 0.131027, 0.193553, 0.297255", \ + "0.0380024, 0.0586004, 0.0723441, 0.0948121, 0.132255, 0.195374, 0.298921", \ + "0.0411846, 0.0616992, 0.0754883, 0.0980759, 0.135698, 0.198055, 0.302467", \ + "0.047757, 0.0682327, 0.0820556, 0.104621, 0.142279, 0.205093, 0.309337", \ + "0.0620206, 0.0824175, 0.0960905, 0.11865, 0.156426, 0.21917, 0.323671" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.027834, 0.0481079, 0.0617272, 0.0841524, 0.121485, 0.183682, 0.287175", \ + "0.0278323, 0.0481446, 0.0620429, 0.0841708, 0.122212, 0.18369, 0.287206", \ + "0.0277572, 0.0480235, 0.06161, 0.0841838, 0.12171, 0.183702, 0.287543", \ + "0.0277381, 0.0480711, 0.0618287, 0.0841812, 0.121446, 0.184231, 0.287084", \ + "0.02781, 0.0480456, 0.0618425, 0.0843677, 0.12206, 0.184005, 0.287567", \ + "0.0274713, 0.0477509, 0.0615211, 0.0838712, 0.121781, 0.184586, 0.288863", \ + "0.027585, 0.0478354, 0.0614653, 0.0838811, 0.121619, 0.183904, 0.288794" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0295875, 0.0501921, 0.0638737, 0.0863324, 0.123829, 0.186232, 0.290197", \ + "0.0292154, 0.049566, 0.0633835, 0.085912, 0.123478, 0.185972, 0.289842", \ + "0.0296082, 0.0497851, 0.0634313, 0.0860532, 0.123504, 0.186966, 0.290147", \ + "0.0309434, 0.0507001, 0.0644833, 0.0869558, 0.124479, 0.187545, 0.291016", \ + "0.034375, 0.0534921, 0.0671467, 0.0897224, 0.127348, 0.189794, 0.294174", \ + "0.0412109, 0.0591892, 0.0727554, 0.0952076, 0.132935, 0.195598, 0.299843", \ + "0.0541361, 0.0706011, 0.0838299, 0.106007, 0.1435, 0.20602, 0.310562" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00232874; + rise_capacitance : 0.00250664; + rise_capacitance_range (0.00250664, 0.00250664); + fall_capacitance : 0.00215083; + fall_capacitance_range (0.00215083, 0.00215083); + timing () { + related_pin : "GATE_N"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0489038, 0.0272076, 0.0627217, 0.0855195", \ + "-0.129536, -0.0509292, -0.012145, 0.0143427", \ + "-0.186167, -0.105864, -0.0647607, -0.0382583", \ + "-0.247421, -0.165538, -0.122764, -0.0914977" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0586846, -0.0476672, -0.0530083, -0.0655889", \ + "-0.132032, -0.117137, -0.119664, -0.134103", \ + "-0.168165, -0.147822, -0.151108, -0.165381", \ + "-0.201549, -0.179283, -0.179263, -0.19185" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0611298, -0.0197201, -0.0550064, -0.0774245", \ + "0.137024, 0.0585686, 0.0200123, -0.0060957", \ + "0.193882, 0.116353, 0.0728558, 0.0439082", \ + "0.255516, 0.173785, 0.131239, 0.100352" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0660201, 0.0676338, 0.104444, 0.176222", \ + "0.137024, 0.132416, 0.156378, 0.208326", \ + "0.173308, 0.163557, 0.18079, 0.22753", \ + "0.206946, 0.193028, 0.204688, 0.242026" \ + ); + } + } + internal_power () { + when : "(GATE_N * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00367631, 0.00365327, 0.00401183, 0.00511338, 0.00757443, 0.0129006, 0.0241637" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00349978, 0.00365909, 0.00411123, 0.00514564, 0.00763376, 0.0130767, 0.0235106" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0219895, 0.0219731, 0.0222547, 0.0233252, 0.0257899, 0.031166, 0.0424812" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0269365, 0.0328999, 0.033491, 0.0345769, 0.0370778, 0.0424181, 0.0534085" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0219895, 0.0219731, 0.0222547, 0.0233252, 0.0257899, 0.031166, 0.0424812" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0269365, 0.0328999, 0.033491, 0.0345769, 0.0370778, 0.0424181, 0.0534085" \ + ); + } + } + } + pin (GATE_N) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00249545; + rise_capacitance : 0.00191558; + rise_capacitance_range (0.00191558, 0.00191558); + fall_capacitance : 0.00282681; + fall_capacitance_range (0.00282681, 0.00282681); + timing () { + related_pin : "GATE_N"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0749207, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161951, 0.0159969, 0.0163634, 0.0175933, 0.0204536, 0.0269503, 0.0407693" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0153056, 0.0153768, 0.0158663, 0.0171415, 0.0201956, 0.0267931, 0.0397637" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0178134, 0.0188407, 0.0192705, 0.0205544, 0.0235862, 0.030246, 0.0438927" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0178092, 0.0188424, 0.0192736, 0.0205574, 0.0236064, 0.0302099, 0.0438483" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0104301, 0.010528, 0.0110954, 0.0124111, 0.0154231, 0.0220368, 0.0351939" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0178092, 0.0188424, 0.0192736, 0.0205574, 0.0236064, 0.0302099, 0.0438483" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0104301, 0.010528, 0.0110954, 0.0124111, 0.0154231, 0.0220368, 0.0351939" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00332137; + rise_capacitance : 0.00349182; + rise_capacitance_range (0.00349182, 0.00349182); + fall_capacitance : 0.0032191; + fall_capacitance_range (0.0032191, 0.0032191); + timing () { + related_pin : "GATE_N"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0171163, -0.0896032, -0.129588, -0.158375", \ + "0.0371909, -0.0356504, -0.077017, -0.107809", \ + "0.0858677, 0.0114567, -0.0269836, -0.05779", \ + "0.139487, 0.0693227, 0.0295405, 0" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0268971, 0.102082, 0.142447, 0.174566", \ + "-0.0272076, 0.0458363, 0.0875066, 0.118805", \ + "-0.0781524, -0.00358945, 0.0350787, 0.0662649", \ + "-0.13409, -0.0638247, -0.0238906, 0.00590308" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.121613, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.05266e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.05266e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-4.98233e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "4.98233e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-4.98233e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "4.98233e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE_N'"; + } + } + cell (sg13g2_dllrq_1) { + area : 29.0304; + cell_footprint : "DLLRQ"; + cell_leakage_power : 857.919; + leakage_power () { + value : 846.69; + when : "!RESET_B*!Q*!D*!GATE_N"; + } + leakage_power () { + value : 796.855; + when : "!RESET_B*!Q*!D*GATE_N"; + } + leakage_power () { + value : 775.387; + when : "!RESET_B*!Q*D*!GATE_N"; + } + leakage_power () { + value : 837.146; + when : "!RESET_B*!Q*D*GATE_N"; + } + leakage_power () { + value : 864.114; + when : "RESET_B*GATE_N*!D*!Q"; + } + leakage_power () { + value : 864.114; + when : "RESET_B*GATE_N*!D*Q"; + } + leakage_power () { + value : 904.394; + when : "RESET_B*GATE_N*D*!Q"; + } + leakage_power () { + value : 904.394; + when : "RESET_B*GATE_N*D*Q"; + } + leakage_power () { + value : 872.136; + when : "D*!GATE_N*RESET_B*Q"; + } + leakage_power () { + value : 913.965; + when : "!D*!GATE_N*RESET_B*!Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.126181, 0.173177, 0.201115, 0.246806, 0.322996, 0.449891, 0.661366", \ + "0.150591, 0.197628, 0.22557, 0.27124, 0.347401, 0.474291, 0.685876", \ + "0.165036, 0.212067, 0.239988, 0.285662, 0.361841, 0.488674, 0.70022", \ + "0.189697, 0.236665, 0.2646, 0.31029, 0.386432, 0.513288, 0.724541", \ + "0.2208, 0.267802, 0.295797, 0.341448, 0.417623, 0.544439, 0.755816", \ + "0.264867, 0.311924, 0.339875, 0.385557, 0.461753, 0.58864, 0.799997", \ + "0.32574, 0.37296, 0.400938, 0.446636, 0.522869, 0.649743, 0.861135" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0155334, 0.0691218, 0.108513, 0.174639, 0.28624, 0.47226, 0.782398", \ + "0.0155434, 0.0691483, 0.108514, 0.174675, 0.286241, 0.472261, 0.782816", \ + "0.015573, 0.0691493, 0.108515, 0.174676, 0.286242, 0.472296, 0.782981", \ + "0.0155773, 0.0691503, 0.108525, 0.174677, 0.286243, 0.472297, 0.782982", \ + "0.0156347, 0.0691513, 0.108526, 0.174681, 0.286244, 0.472298, 0.782983", \ + "0.015802, 0.069189, 0.108527, 0.174683, 0.286245, 0.472299, 0.782984", \ + "0.016107, 0.069303, 0.108579, 0.174715, 0.286246, 0.4723, 0.782985" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.112496, 0.151207, 0.174566, 0.212815, 0.27669, 0.383084, 0.560191", \ + "0.136542, 0.175262, 0.198621, 0.236869, 0.30074, 0.407082, 0.584284", \ + "0.149915, 0.188618, 0.211997, 0.250221, 0.314084, 0.420433, 0.597691", \ + "0.170012, 0.20878, 0.232111, 0.270369, 0.334211, 0.440559, 0.617656", \ + "0.193183, 0.231469, 0.254838, 0.293078, 0.356946, 0.46326, 0.640397", \ + "0.223216, 0.262033, 0.285382, 0.323667, 0.387533, 0.493955, 0.671072", \ + "0.258435, 0.297437, 0.320797, 0.359097, 0.42298, 0.52932, 0.706618" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115307, 0.0548438, 0.0863156, 0.139125, 0.227894, 0.376207, 0.623138", \ + "0.0115421, 0.0548463, 0.086353, 0.139126, 0.227947, 0.376208, 0.623183", \ + "0.0115707, 0.05486, 0.086354, 0.139127, 0.227948, 0.376209, 0.623184", \ + "0.0116012, 0.0548627, 0.0863572, 0.139128, 0.227949, 0.37621, 0.623185", \ + "0.0116548, 0.0548887, 0.0863582, 0.139129, 0.22795, 0.376211, 0.623186", \ + "0.0118, 0.054927, 0.0863592, 0.139136, 0.227951, 0.376212, 0.623272", \ + "0.012057, 0.055015, 0.086397, 0.139137, 0.227959, 0.376213, 0.623273" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.139585, 0.186392, 0.214335, 0.260012, 0.336226, 0.463109, 0.674591", \ + "0.167552, 0.214368, 0.242296, 0.288025, 0.364173, 0.491292, 0.702395", \ + "0.184319, 0.23117, 0.259077, 0.304758, 0.380935, 0.507809, 0.719183", \ + "0.209733, 0.256518, 0.284456, 0.330142, 0.406326, 0.533122, 0.744393", \ + "0.239677, 0.287057, 0.315004, 0.360664, 0.436856, 0.563676, 0.774921", \ + "0.283712, 0.331103, 0.358966, 0.404676, 0.480869, 0.60776, 0.819025", \ + "0.34589, 0.392724, 0.420631, 0.466303, 0.542507, 0.669391, 0.880756" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0154818, 0.0690799, 0.10851, 0.17464, 0.286247, 0.472259, 0.782399", \ + "0.0154828, 0.0690809, 0.108511, 0.174641, 0.286248, 0.472395, 0.7824", \ + "0.0154838, 0.0690827, 0.108512, 0.174642, 0.286249, 0.472396, 0.78304", \ + "0.0154848, 0.0690837, 0.108513, 0.174644, 0.28625, 0.472397, 0.783041", \ + "0.0154858, 0.069087, 0.108514, 0.174645, 0.286251, 0.472398, 0.783042", \ + "0.0154868, 0.069106, 0.108515, 0.174646, 0.286252, 0.472399, 0.783043", \ + "0.0154878, 0.069107, 0.108516, 0.174647, 0.286253, 0.4724, 0.783044" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.106796, 0.145639, 0.168985, 0.207224, 0.27109, 0.377433, 0.554633", \ + "0.135946, 0.174898, 0.198209, 0.236453, 0.300335, 0.406686, 0.583858", \ + "0.154298, 0.193246, 0.216556, 0.254841, 0.318659, 0.424961, 0.602272", \ + "0.182159, 0.22113, 0.244463, 0.282762, 0.346571, 0.452964, 0.630016", \ + "0.215898, 0.255898, 0.279323, 0.317613, 0.381454, 0.487827, 0.664969", \ + "0.265784, 0.305557, 0.328489, 0.366867, 0.431393, 0.537543, 0.714667", \ + "0.336242, 0.376017, 0.399408, 0.437734, 0.501601, 0.608044, 0.785143" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118131, 0.054919, 0.0863748, 0.139139, 0.227963, 0.376152, 0.623122", \ + "0.0118323, 0.05492, 0.0863758, 0.13914, 0.227964, 0.376153, 0.623184", \ + "0.0118823, 0.054921, 0.0863768, 0.139141, 0.228041, 0.376154, 0.623185", \ + "0.0119947, 0.0549241, 0.0863805, 0.139142, 0.228042, 0.376229, 0.623186", \ + "0.0122113, 0.0549884, 0.0863815, 0.139143, 0.228043, 0.37623, 0.623187", \ + "0.012598, 0.055109, 0.086461, 0.139161, 0.228044, 0.376231, 0.623188", \ + "0.013525, 0.055385, 0.086601, 0.139162, 0.228045, 0.376232, 0.623198" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0458527, 0.0846105, 0.108022, 0.146338, 0.210243, 0.316724, 0.493855", \ + "0.0743357, 0.114679, 0.138265, 0.1767, 0.240674, 0.347081, 0.524664", \ + "0.0910739, 0.133308, 0.156958, 0.1954, 0.259496, 0.36598, 0.543206", \ + "0.115515, 0.161, 0.184792, 0.223405, 0.287453, 0.39404, 0.57122", \ + "0.141535, 0.193112, 0.218032, 0.256537, 0.320727, 0.426846, 0.604219", \ + "0.177389, 0.237363, 0.26415, 0.303813, 0.36801, 0.474992, 0.652046", \ + "0.22129, 0.29427, 0.323864, 0.366096, 0.433189, 0.541807, 0.721377" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112622, 0.0549478, 0.0866497, 0.139213, 0.22811, 0.376288, 0.623139", \ + "0.0139577, 0.0559553, 0.0870865, 0.139578, 0.228234, 0.376289, 0.623697", \ + "0.0166714, 0.0571551, 0.0878669, 0.140068, 0.228546, 0.376328, 0.623816", \ + "0.0207832, 0.0595613, 0.0893384, 0.140955, 0.229137, 0.376839, 0.623817", \ + "0.0264758, 0.064647, 0.0932623, 0.143083, 0.230271, 0.377687, 0.624143", \ + "0.035052, 0.074005, 0.100314, 0.148091, 0.233953, 0.38011, 0.626365", \ + "0.048301, 0.090987, 0.11478, 0.160214, 0.243695, 0.38876, 0.63307" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0600452, 0.106956, 0.134855, 0.180532, 0.256675, 0.383566, 0.59494", \ + "0.0816958, 0.129667, 0.15769, 0.203422, 0.279563, 0.406405, 0.618801", \ + "0.0962962, 0.145669, 0.173817, 0.219621, 0.295903, 0.422739, 0.634048", \ + "0.120095, 0.172508, 0.200691, 0.246633, 0.322889, 0.449799, 0.661151", \ + "0.149653, 0.208018, 0.23685, 0.282932, 0.359205, 0.486183, 0.697525", \ + "0.193087, 0.262001, 0.292879, 0.340233, 0.417598, 0.544678, 0.756651", \ + "0.251476, 0.335142, 0.37073, 0.421718, 0.502521, 0.632583, 0.846914" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151739, 0.0691756, 0.108448, 0.174624, 0.286184, 0.472183, 0.782378", \ + "0.0166808, 0.069706, 0.108843, 0.174788, 0.286187, 0.472286, 0.783479", \ + "0.018512, 0.0705541, 0.10944, 0.175196, 0.286372, 0.472345, 0.78348", \ + "0.022134, 0.0726669, 0.110626, 0.175807, 0.286819, 0.472617, 0.783481", \ + "0.0288423, 0.0772239, 0.113658, 0.177578, 0.287706, 0.473129, 0.783482", \ + "0.038813, 0.088248, 0.122246, 0.183532, 0.291568, 0.475555, 0.784441", \ + "0.052873, 0.107836, 0.140169, 0.198975, 0.304464, 0.486096, 0.7925" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0085962, 0.0089861, 0.0090195, 0.0089983, 0.0089007, 0.0086598, 0.0082687", \ + "0.0085857, 0.0089644, 0.0090881, 0.0090339, 0.0089275, 0.0086647, 0.0083517", \ + "0.0085359, 0.0089471, 0.0089325, 0.0089946, 0.0089193, 0.0088052, 0.0083092", \ + "0.0085174, 0.0089476, 0.0090338, 0.0090156, 0.0088144, 0.0089253, 0.0081624", \ + "0.0084724, 0.0088631, 0.0089911, 0.0090461, 0.0090588, 0.0087699, 0.0085974", \ + "0.0084866, 0.0088149, 0.0088988, 0.008946, 0.0090027, 0.0089869, 0.008854", \ + "0.0087726, 0.0091224, 0.0091757, 0.0090812, 0.0091506, 0.0090464, 0.0090663" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0025842, 0.0031561, 0.0031863, 0.0031479, 0.0030555, 0.0028364, 0.0023711", \ + "6.47996e-05, 0.000642398, 0.000710599, 0.0007366, 0.0006516, 0.000287699, -0.000116501", \ + "-9.89996e-06, 0.0005788, 0.00058, 0.000563299, 0.000629799, 0.000295101, -0.000215599", \ + "-0.0001116, 0.000503801, 0.000556601, 0.0005983, 0.000375701, 0.000293901, -5.96996e-05", \ + "-0.0001505, 0.000438601, 0.0004621, 0.000526, 0.000568401, 0.0004037, -0.000271101", \ + "-0.000350999, 0.000190903, 0.000240102, 0.000357702, 0.000296302, 0.0003439, 0.000381101", \ + "-0.000437301, 0.0001137, 0.0001464, 7.62977e-05, 5.59986e-05, 0.000137597, 0.0001522" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0083049, 0.0086984, 0.0087406, 0.0087129, 0.0086297, 0.0083675, 0.0079774", \ + "0.0081755, 0.0085961, 0.0087509, 0.0086266, 0.0085106, 0.0082913, 0.0078629", \ + "0.0081884, 0.0085819, 0.008586, 0.0086929, 0.0085766, 0.008379, 0.0079996", \ + "0.0080692, 0.0085006, 0.0085695, 0.0085102, 0.0083901, 0.0085218, 0.0079444", \ + "0.0081018, 0.0085356, 0.008628, 0.0086904, 0.0086696, 0.0083532, 0.0083803", \ + "0.0080242, 0.0083935, 0.0085082, 0.0084789, 0.0085248, 0.0085844, 0.0082677", \ + "0.0075857, 0.0079704, 0.0079804, 0.0079738, 0.0080546, 0.0080013, 0.0080225" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0066584, 0.0072598, 0.0072937, 0.0072451, 0.0071411, 0.0069308, 0.0064968", \ + "0.0063999, 0.0069874, 0.0070747, 0.0070905, 0.0068929, 0.0066479, 0.0062255", \ + "0.006415, 0.0070233, 0.0070097, 0.0070202, 0.0070815, 0.0070092, 0.0062301", \ + "0.0063923, 0.006989, 0.0070682, 0.0070989, 0.0069178, 0.0068189, 0.0063899", \ + "0.0065161, 0.0071772, 0.0072254, 0.0072468, 0.0073149, 0.0070962, 0.0064416", \ + "0.0068572, 0.0074111, 0.0075348, 0.0076284, 0.007558, 0.0076115, 0.0076294", \ + "0.0074305, 0.0079279, 0.0079698, 0.0079214, 0.0079477, 0.0079557, 0.0080758" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124606, 0.0129234, 0.012918, 0.0128768, 0.0127376, 0.0124444, 0.01257", \ + "0.012253, 0.0125203, 0.0126093, 0.01266, 0.0124565, 0.0121564, 0.0124015", \ + "0.0123584, 0.012617, 0.0126427, 0.0126166, 0.0128881, 0.0124008, 0.0122219", \ + "0.0131385, 0.0131969, 0.0132591, 0.0133371, 0.0131762, 0.013344, 0.0130639", \ + "0.0156997, 0.0154033, 0.0153934, 0.0154488, 0.0155045, 0.0153282, 0.0150388", \ + "0.0222247, 0.021312, 0.021226, 0.0212106, 0.021256, 0.0211862, 0.0211412", \ + "0.0359783, 0.0342497, 0.0340336, 0.0336931, 0.0336239, 0.0333124, 0.0333009" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00941699, 0.00998141, 0.010061, 0.00997557, 0.00987366, 0.00970157, 0.0091743", \ + "0.00951312, 0.0098685, 0.00993215, 0.010136, 0.0101266, 0.00962739, 0.0093411", \ + "0.010019, 0.0102863, 0.0103648, 0.010308, 0.0104996, 0.0100486, 0.00974997", \ + "0.0113367, 0.0113827, 0.0114456, 0.0115828, 0.0113638, 0.0113364, 0.0110732", \ + "0.0145469, 0.0142308, 0.0143332, 0.0143767, 0.0145117, 0.0143053, 0.0137153", \ + "0.0212123, 0.0202605, 0.0202824, 0.0202512, 0.020266, 0.0203324, 0.0202899", \ + "0.0348635, 0.0331451, 0.0327889, 0.032572, 0.032499, 0.0324081, 0.0324106" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00221777; + rise_capacitance : 0.00243474; + rise_capacitance_range (0.00243474, 0.00243474); + fall_capacitance : 0.0020008; + fall_capacitance_range (0.0020008, 0.0020008); + timing () { + related_pin : "GATE_N"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0440134, 0.0297034, 0.0652935, 0.0882179", \ + "-0.124545, -0.0458363, -0.00952261, 0.0170917", \ + "-0.181023, -0.103241, -0.0620624, -0.0354333", \ + "-0.242025, -0.16004, -0.117114, -0.0885461" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0562394, -0.0451714, -0.0478647, -0.0628905", \ + "-0.129536, -0.114591, -0.117042, -0.131354", \ + "-0.165593, -0.147822, -0.14841, -0.162556", \ + "-0.198851, -0.176534, -0.176438, -0.188898" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0537942, -0.0247118, -0.0575781, -0.0801228", \ + "0.132032, 0.0534757, 0.0173898, -0.0088447", \ + "0.188739, 0.111108, 0.0701575, 0.0410833", \ + "0.25012, 0.168287, 0.125589, 0.0974008" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.063575, 0.065138, 0.101872, 0.173523", \ + "0.134528, 0.129869, 0.153755, 0.205577", \ + "0.170736, 0.160934, 0.178092, 0.22188", \ + "0.204248, 0.190279, 0.201863, 0.239075" \ + ); + } + } + internal_power () { + when : "(GATE_N * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00333208, 0.00329935, 0.00364696, 0.00475054, 0.00720915, 0.0125306, 0.0237649" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00377175, 0.00391675, 0.00436912, 0.00540324, 0.0078873, 0.013321, 0.0237526" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0141456, 0.0140844, 0.0144354, 0.0155081, 0.0180053, 0.0233432, 0.0343869" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0196239, 0.0221804, 0.0226472, 0.0237065, 0.0262223, 0.0314799, 0.0425091" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0141456, 0.0140844, 0.0144354, 0.0155081, 0.0180053, 0.0233432, 0.0343869" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0196239, 0.0221804, 0.0226472, 0.0237065, 0.0262223, 0.0314799, 0.0425091" \ + ); + } + } + } + pin (GATE_N) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00236537; + rise_capacitance : 0.00278391; + rise_capacitance_range (0.00278391, 0.00278391); + fall_capacitance : 0.0021561; + fall_capacitance_range (0.0021561, 0.0021561); + timing () { + related_pin : "GATE_N"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0697327, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0160393, 0.0158691, 0.0162245, 0.0174643, 0.020335, 0.0268116, 0.0406681" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0151057, 0.0151695, 0.0157026, 0.0169235, 0.0199783, 0.0265968, 0.0395673" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0187897, 0.0190373, 0.0195315, 0.0208615, 0.0238884, 0.0302447, 0.0443473" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00926995, 0.00921968, 0.00965092, 0.0108766, 0.01396, 0.0205116, 0.0343827" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0188201, 0.0190479, 0.0195703, 0.020917, 0.0239167, 0.0303113, 0.044036" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00926995, 0.00921968, 0.00965092, 0.0108766, 0.01396, 0.0205116, 0.0343827" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0188201, 0.0190479, 0.0195703, 0.020917, 0.0239167, 0.0303113, 0.044036" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0032023; + rise_capacitance : 0.00333243; + rise_capacitance_range (0.00333243, 0.00333243); + fall_capacitance : 0.00307216; + fall_capacitance_range (0.00307216, 0.00307216); + timing () { + related_pin : "GATE_N"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0220067, -0.0970907, -0.137303, -0.16647", \ + "0.0172243, -0.0560221, -0.0979963, -0.127052", \ + "0.0524346, -0.0200123, -0.059364, -0.0916895", \ + "0.0882179, 0.0170917, -0.0213086, -0.0531277" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0317875, 0.107074, 0.14759, 0.179962", \ + "-0.0097368, 0.0636615, 0.105864, 0.138048", \ + "-0.0447192, 0.0278795, 0.0674591, 0.100164", \ + "-0.0828212, -0.0115937, 0.0297834, 0.0590308" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.119019, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE_N'"; + } + } + cell (sg13g2_dlygate4sd1_1) { + area : 14.5152; + cell_footprint : "DLY1"; + cell_leakage_power : 324.831; + leakage_power () { + value : 340.955; + when : "!A&!X"; + } + leakage_power () { + value : 308.708; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0784838, 0.121732, 0.149455, 0.195082, 0.271209, 0.398045, 0.609346", \ + "0.102988, 0.146253, 0.173979, 0.219557, 0.295702, 0.422524, 0.634078", \ + "0.117512, 0.160762, 0.188457, 0.234015, 0.310155, 0.436928, 0.648197", \ + "0.13925, 0.182514, 0.210257, 0.255851, 0.332001, 0.458767, 0.670012", \ + "0.162523, 0.205829, 0.233493, 0.279116, 0.355296, 0.482079, 0.693376", \ + "0.18773, 0.231066, 0.258793, 0.304358, 0.380612, 0.507388, 0.718808", \ + "0.207433, 0.250995, 0.278671, 0.32423, 0.40057, 0.527427, 0.738606" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0117512, 0.0669835, 0.107121, 0.173828, 0.28541, 0.471398, 0.781591", \ + "0.0117728, 0.0669845, 0.107122, 0.173829, 0.285411, 0.471929, 0.781741", \ + "0.0118349, 0.0670159, 0.107123, 0.17383, 0.285412, 0.47193, 0.781742", \ + "0.0119248, 0.0670169, 0.107134, 0.173831, 0.285413, 0.471931, 0.781743", \ + "0.0121845, 0.0670735, 0.107135, 0.173832, 0.285414, 0.471932, 0.781744", \ + "0.012527, 0.067097, 0.107155, 0.173833, 0.285461, 0.471933, 0.781745", \ + "0.01335, 0.067226, 0.107196, 0.173834, 0.285462, 0.471934, 0.781746" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.090617, 0.127661, 0.15107, 0.189581, 0.253899, 0.360958, 0.539444", \ + "0.121794, 0.15886, 0.182245, 0.220695, 0.285089, 0.392186, 0.570559", \ + "0.141398, 0.178486, 0.201903, 0.240418, 0.304691, 0.41179, 0.590232", \ + "0.171851, 0.208953, 0.232377, 0.270889, 0.335189, 0.442282, 0.620667", \ + "0.20936, 0.24783, 0.271326, 0.30975, 0.374143, 0.481204, 0.659616", \ + "0.26748, 0.304988, 0.328354, 0.366882, 0.431305, 0.538398, 0.716788", \ + "0.354005, 0.391739, 0.415194, 0.4538, 0.518103, 0.625225, 0.803852" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0095141, 0.0541459, 0.0862367, 0.139657, 0.229087, 0.378422, 0.62699", \ + "0.0095384, 0.0541469, 0.0862377, 0.139658, 0.229094, 0.378424, 0.627072", \ + "0.009583, 0.0541479, 0.0862387, 0.139659, 0.229095, 0.378425, 0.627122", \ + "0.0097209, 0.0541485, 0.0862806, 0.13966, 0.22921, 0.378426, 0.627123", \ + "0.0099693, 0.0542128, 0.0862816, 0.139661, 0.229211, 0.378427, 0.627124", \ + "0.010374, 0.054307, 0.086301, 0.139662, 0.229212, 0.378428, 0.627125", \ + "0.01111, 0.054508, 0.086389, 0.139667, 0.229213, 0.378429, 0.627126" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0155845, 0.016059, 0.0160637, 0.0160408, 0.0159046, 0.0156447, 0.0155832", \ + "0.0154041, 0.0158618, 0.0159387, 0.0158982, 0.0158909, 0.0156105, 0.0156045", \ + "0.0155874, 0.0161065, 0.0160862, 0.0160682, 0.0161095, 0.0157423, 0.0156065", \ + "0.0162098, 0.0167078, 0.0167794, 0.0167972, 0.0165961, 0.0167456, 0.0160237", \ + "0.0177674, 0.0182296, 0.0182567, 0.0183094, 0.0183801, 0.0181537, 0.0178633", \ + "0.0212648, 0.0216226, 0.0216564, 0.0217545, 0.0217749, 0.0217825, 0.0216567", \ + "0.0285962, 0.0288881, 0.0289698, 0.0289084, 0.0289298, 0.0290021, 0.0288882" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0148186, 0.0153393, 0.0153554, 0.0153251, 0.0152013, 0.0149983, 0.0145867", \ + "0.0147358, 0.0152817, 0.0153482, 0.0154246, 0.0153243, 0.0149488, 0.014554", \ + "0.0149247, 0.0155364, 0.0154857, 0.0154846, 0.015674, 0.0151677, 0.0147858", \ + "0.0155649, 0.0161543, 0.0161831, 0.016261, 0.0160502, 0.0159396, 0.0155661", \ + "0.0171373, 0.0177167, 0.0177987, 0.0178058, 0.0178541, 0.0177301, 0.0169901", \ + "0.0203908, 0.0208911, 0.0209493, 0.0210193, 0.0210556, 0.021078, 0.021073", \ + "0.0274518, 0.027914, 0.0279138, 0.027899, 0.0279031, 0.0278072, 0.0279905" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00159361; + rise_capacitance : 0.00159165; + rise_capacitance_range (0.00159165, 0.00159165); + fall_capacitance : 0.00159557; + fall_capacitance_range (0.00159557, 0.00159557); + } + } + cell (sg13g2_dlygate4sd2_1) { + area : 14.5152; + cell_footprint : "DLY2"; + cell_leakage_power : 418.478; + leakage_power () { + value : 434.602; + when : "!A&!X"; + } + leakage_power () { + value : 402.354; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.116007, 0.160001, 0.187733, 0.233289, 0.309517, 0.436404, 0.647586", \ + "0.143742, 0.187732, 0.215485, 0.261123, 0.337237, 0.464104, 0.675334", \ + "0.160577, 0.204585, 0.232356, 0.277901, 0.354124, 0.480866, 0.69215", \ + "0.186731, 0.230772, 0.258479, 0.30409, 0.380291, 0.507057, 0.718271", \ + "0.218076, 0.262178, 0.289902, 0.335545, 0.411805, 0.538623, 0.749834", \ + "0.255267, 0.299472, 0.327189, 0.372798, 0.449077, 0.575942, 0.787112", \ + "0.292226, 0.336591, 0.364277, 0.409959, 0.486196, 0.613093, 0.824358" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0127754, 0.0675547, 0.107435, 0.173966, 0.285537, 0.471616, 0.781586", \ + "0.0127764, 0.0675557, 0.107446, 0.173967, 0.285573, 0.471617, 0.781716", \ + "0.0128399, 0.0675567, 0.107447, 0.173968, 0.285574, 0.471655, 0.784661", \ + "0.0128776, 0.0675577, 0.107448, 0.173969, 0.285575, 0.471656, 0.784662", \ + "0.0130531, 0.0675587, 0.107449, 0.174018, 0.285576, 0.471657, 0.784663", \ + "0.013421, 0.067633, 0.10752, 0.174019, 0.285577, 0.471658, 0.784664", \ + "0.014085, 0.067791, 0.107617, 0.174055, 0.285578, 0.471659, 0.784665" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.129191, 0.171519, 0.195531, 0.234234, 0.298693, 0.405806, 0.584417", \ + "0.163551, 0.205903, 0.229861, 0.268594, 0.332993, 0.440275, 0.618849", \ + "0.186695, 0.229034, 0.253035, 0.291756, 0.356183, 0.463415, 0.641981", \ + "0.222714, 0.265109, 0.289008, 0.327807, 0.392195, 0.499387, 0.677845", \ + "0.268206, 0.310176, 0.335553, 0.374245, 0.438743, 0.545892, 0.724454", \ + "0.334315, 0.376921, 0.400929, 0.439752, 0.504158, 0.61142, 0.789901", \ + "0.429712, 0.472609, 0.496706, 0.535526, 0.600063, 0.707227, 0.885838" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0137986, 0.0580481, 0.0892688, 0.141987, 0.231114, 0.380258, 0.629005", \ + "0.0137996, 0.0580674, 0.0893008, 0.141998, 0.231137, 0.38055, 0.629006", \ + "0.0138348, 0.0580814, 0.0893075, 0.141999, 0.231138, 0.380551, 0.629541", \ + "0.0138853, 0.0581988, 0.0893427, 0.142, 0.231139, 0.380552, 0.629542", \ + "0.0140364, 0.058214, 0.0893761, 0.142001, 0.23114, 0.380553, 0.629543", \ + "0.014289, 0.058362, 0.089495, 0.142002, 0.231141, 0.380554, 0.629544", \ + "0.01483, 0.058656, 0.089664, 0.142172, 0.231144, 0.380555, 0.629545" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0186202, 0.0190758, 0.0190767, 0.0190299, 0.0189189, 0.0186747, 0.0186397", \ + "0.018422, 0.0188858, 0.0189914, 0.0189117, 0.0190813, 0.0185218, 0.0185244", \ + "0.0185444, 0.019022, 0.0189929, 0.0190356, 0.0190691, 0.0187155, 0.0189931", \ + "0.0190528, 0.0195287, 0.0196034, 0.0195777, 0.0193311, 0.0196239, 0.0187019", \ + "0.0204982, 0.0209224, 0.0210088, 0.0210667, 0.0210827, 0.0208015, 0.0206405", \ + "0.0237735, 0.024128, 0.0241872, 0.0242288, 0.0242949, 0.0243059, 0.0240903", \ + "0.0307345, 0.0310719, 0.0311119, 0.0310431, 0.0311315, 0.0309921, 0.0310595" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179471, 0.0184949, 0.0185445, 0.0185327, 0.0184245, 0.0181507, 0.0177315", \ + "0.0177107, 0.0182944, 0.0183973, 0.0183486, 0.0182096, 0.0180803, 0.0175906", \ + "0.0179384, 0.0184741, 0.0184642, 0.0184686, 0.0185077, 0.0182231, 0.0178642", \ + "0.0185341, 0.0190472, 0.019149, 0.0191722, 0.0189171, 0.0189537, 0.0183415", \ + "0.0200258, 0.0204801, 0.0206578, 0.0206484, 0.0206981, 0.0204257, 0.0200704", \ + "0.0231724, 0.0236178, 0.0237286, 0.0236806, 0.0237974, 0.0238615, 0.0237756", \ + "0.0299674, 0.0303309, 0.0303869, 0.0303809, 0.0304878, 0.0303019, 0.0304703" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00159525; + rise_capacitance : 0.00159162; + rise_capacitance_range (0.00159162, 0.00159162); + fall_capacitance : 0.00159889; + fall_capacitance_range (0.00159889, 0.00159889); + } + } + cell (sg13g2_dlygate4sd3_1) { + area : 16.3296; + cell_footprint : "DLY4"; + cell_leakage_power : 955.351; + leakage_power () { + value : 971.449; + when : "!A&!X"; + } + leakage_power () { + value : 939.252; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.240286, 0.290706, 0.319391, 0.365755, 0.442277, 0.569337, 0.780732", \ + "0.273307, 0.323651, 0.35217, 0.398697, 0.475298, 0.602163, 0.813597", \ + "0.294816, 0.345205, 0.373992, 0.420348, 0.496862, 0.6239, 0.835272", \ + "0.330281, 0.380673, 0.409407, 0.455711, 0.532081, 0.659203, 0.870379", \ + "0.374923, 0.425253, 0.453993, 0.500393, 0.57683, 0.703847, 0.915085", \ + "0.43546, 0.485844, 0.514654, 0.560995, 0.637466, 0.764409, 0.975776", \ + "0.509026, 0.559606, 0.588415, 0.634797, 0.711251, 0.838541, 1.04991" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0184134, 0.0729536, 0.111829, 0.177374, 0.28824, 0.473745, 0.783647", \ + "0.0184144, 0.0729546, 0.11183, 0.177375, 0.288241, 0.473746, 0.783673", \ + "0.0184154, 0.0729556, 0.111864, 0.177376, 0.288242, 0.473747, 0.784582", \ + "0.0184164, 0.0729566, 0.111865, 0.17741, 0.288243, 0.473748, 0.784583", \ + "0.0184303, 0.0730045, 0.111926, 0.177411, 0.288244, 0.473749, 0.784584", \ + "0.018568, 0.07309, 0.111942, 0.177434, 0.288245, 0.47375, 0.784585", \ + "0.019075, 0.073356, 0.112215, 0.177591, 0.288292, 0.473751, 0.784586" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.243893, 0.297326, 0.324076, 0.365225, 0.430755, 0.538371, 0.716971", \ + "0.28202, 0.335561, 0.362313, 0.403431, 0.468867, 0.576472, 0.755383", \ + "0.310917, 0.364535, 0.391474, 0.432382, 0.497966, 0.60565, 0.784215", \ + "0.356929, 0.410579, 0.437349, 0.478293, 0.543979, 0.651632, 0.830481", \ + "0.419674, 0.472467, 0.499258, 0.540194, 0.605788, 0.713485, 0.892279", \ + "0.508874, 0.562509, 0.589133, 0.630482, 0.693716, 0.801081, 0.982652", \ + "0.630274, 0.684368, 0.711262, 0.752527, 0.818249, 0.925983, 1.10466" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0216953, 0.0695546, 0.0999383, 0.150606, 0.237377, 0.384546, 0.63254", \ + "0.0217271, 0.0695556, 0.0999393, 0.150877, 0.237378, 0.384621, 0.632541", \ + "0.0217281, 0.0695566, 0.100131, 0.150878, 0.237379, 0.384724, 0.632699", \ + "0.0217492, 0.0695576, 0.100132, 0.150879, 0.23738, 0.384725, 0.6327", \ + "0.021871, 0.0697131, 0.100133, 0.15088, 0.237381, 0.384726, 0.632701", \ + "0.022088, 0.069964, 0.100217, 0.150881, 0.237488, 0.384727, 0.632702", \ + "0.022505, 0.070443, 0.1007, 0.151219, 0.237752, 0.385015, 0.632703" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0270563, 0.0273287, 0.0273474, 0.0273565, 0.0272628, 0.0270452, 0.026951", \ + "0.0268056, 0.0270522, 0.027067, 0.0273018, 0.0270158, 0.0267051, 0.0266263", \ + "0.0266899, 0.0269706, 0.0270563, 0.0272244, 0.0270784, 0.0267091, 0.0268929", \ + "0.0271824, 0.0274657, 0.027556, 0.0275248, 0.0274139, 0.0278288, 0.0278849", \ + "0.0283053, 0.0285726, 0.0286252, 0.0286919, 0.0286859, 0.0282227, 0.0283617", \ + "0.0313229, 0.0315635, 0.0316192, 0.0316833, 0.0316627, 0.0316441, 0.0314096", \ + "0.0379007, 0.0380375, 0.0380728, 0.038092, 0.0381521, 0.0381364, 0.0381464" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0266437, 0.0268856, 0.0269224, 0.0269777, 0.0268832, 0.0266726, 0.0262395", \ + "0.0263379, 0.0266163, 0.0266336, 0.0266915, 0.0265554, 0.0263708, 0.0259236", \ + "0.0263745, 0.0266734, 0.0267978, 0.0268894, 0.0267046, 0.0265278, 0.0261534", \ + "0.0267382, 0.0270417, 0.0270776, 0.0270385, 0.0269924, 0.0271505, 0.0266356", \ + "0.0279672, 0.0281494, 0.0282469, 0.0283277, 0.0282981, 0.0278917, 0.0277438", \ + "0.030853, 0.0310615, 0.0310918, 0.031283, 0.0311887, 0.031201, 0.0310641", \ + "0.0372863, 0.0374278, 0.0374462, 0.037547, 0.0375326, 0.0376043, 0.0375554" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00160617; + rise_capacitance : 0.00159428; + rise_capacitance_range (0.00159428, 0.00159428); + fall_capacitance : 0.00161805; + fall_capacitance_range (0.00161805, 0.00161805); + } + } + cell (sg13g2_ebufn_2) { + area : 18.144; + cell_footprint : "BTL"; + cell_leakage_power : 523.633; + leakage_power () { + value : 298.642; + when : "A&TE_B"; + } + leakage_power () { + value : 218.528; + when : "!A&TE_B"; + } + leakage_power () { + value : 741.892; + when : "A&!TE_B"; + } + leakage_power () { + value : 835.471; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 0.6; + capacitance : 0.00619716; + rise_capacitance : 0.00492125; + rise_capacitance_range (0.00492125, 0.00492125); + fall_capacitance : 0.00747307; + fall_capacitance_range (0.00747307, 0.00747307); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00592125, 0.0517213, 0.0829213, 0.134521, 0.220921, 0.364921, 0.604921"); + values ( \ + "0.0451774, 0.132621, 0.188543, 0.281194, 0.436869, 0.696695, 1.13036", \ + "0.0734808, 0.161858, 0.218209, 0.311172, 0.466695, 0.726197, 1.15937", \ + "0.090482, 0.17907, 0.236171, 0.32893, 0.484734, 0.744595, 1.17724", \ + "0.118079, 0.207738, 0.264274, 0.357457, 0.513076, 0.772814, 1.20601", \ + "0.152698, 0.246367, 0.301832, 0.394802, 0.550559, 0.810338, 1.24346", \ + "0.201586, 0.303143, 0.358868, 0.451536, 0.608629, 0.867009, 1.30028", \ + "0.270142, 0.387771, 0.444401, 0.536873, 0.693014, 0.954735, 1.3863" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00592125, 0.0517213, 0.0829213, 0.134521, 0.220921, 0.364921, 0.604921"); + values ( \ + "0.0145339, 0.131149, 0.211432, 0.344145, 0.566338, 0.936656, 1.55385", \ + "0.0179117, 0.13135, 0.211433, 0.344146, 0.566339, 0.936657, 1.55386", \ + "0.0208247, 0.131774, 0.211677, 0.344196, 0.56634, 0.936658, 1.55387", \ + "0.0256264, 0.132602, 0.212165, 0.344472, 0.566341, 0.936659, 1.55388", \ + "0.0339942, 0.134916, 0.213489, 0.345386, 0.566995, 0.93666, 1.55389", \ + "0.047687, 0.142633, 0.21777, 0.348173, 0.568837, 0.937494, 1.5539", \ + "0.069759, 0.161745, 0.231401, 0.357625, 0.576196, 0.942655, 1.55693" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847307, 0.0542731, 0.0854731, 0.137073, 0.223473, 0.367473, 0.607473"); + values ( \ + "0.0467436, 0.11889, 0.163683, 0.237351, 0.360601, 0.565589, 0.907254", \ + "0.074338, 0.146984, 0.191918, 0.265809, 0.388875, 0.594095, 0.936593", \ + "0.0904032, 0.164028, 0.209091, 0.282906, 0.40619, 0.61149, 0.9534", \ + "0.113911, 0.188539, 0.233015, 0.306636, 0.429563, 0.6346, 0.976559", \ + "0.13949, 0.218271, 0.26206, 0.335423, 0.458114, 0.662857, 1.00449", \ + "0.172479, 0.259514, 0.30409, 0.376995, 0.499639, 0.704231, 1.04563", \ + "0.210331, 0.311278, 0.358069, 0.432432, 0.556675, 0.762233, 1.10346" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847307, 0.0542731, 0.0854731, 0.137073, 0.223473, 0.367473, 0.607473"); + values ( \ + "0.0129829, 0.100395, 0.161116, 0.261631, 0.4299, 0.710338, 1.17783", \ + "0.0161041, 0.10084, 0.161253, 0.261632, 0.429901, 0.710432, 1.17834", \ + "0.0194202, 0.101541, 0.161797, 0.261832, 0.429902, 0.71101, 1.17835", \ + "0.0246757, 0.103167, 0.162634, 0.262562, 0.430335, 0.711011, 1.17836", \ + "0.0323354, 0.10702, 0.164853, 0.263709, 0.431116, 0.711051, 1.17837", \ + "0.04514, 0.115808, 0.170999, 0.267927, 0.433678, 0.712594, 1.17884", \ + "0.065728, 0.134994, 0.185252, 0.278567, 0.443073, 0.720253, 1.18281" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00592125, 0.0517213, 0.0829213, 0.134521, 0.220921, 0.364921, 0.604921"); + values ( \ + "0.0352849, 0.0352918, 0.0352928, 0.0352964, 0.0352993, 0.0353003, 0.0353013", \ + "0.0562264, 0.0562274, 0.0562284, 0.0562294, 0.0562304, 0.0562314, 0.0562324", \ + "0.0676784, 0.0676794, 0.0676804, 0.0676814, 0.0676824, 0.0676834, 0.0676844", \ + "0.0882451, 0.0882461, 0.0882471, 0.0882481, 0.0882491, 0.0882501, 0.0882511", \ + "0.110822, 0.110823, 0.110824, 0.110825, 0.110826, 0.110827, 0.110828", \ + "0.142612, 0.142613, 0.142614, 0.142615, 0.142616, 0.142617, 0.142618", \ + "0.194061, 0.194062, 0.194063, 0.194064, 0.194065, 0.194066, 0.194067" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00592125, 0.0517213, 0.0829213, 0.134521, 0.220921, 0.364921, 0.604921"); + values ( \ + "0.0352849, 0.0352918, 0.0352928, 0.0352964, 0.0352993, 0.0353003, 0.0353013", \ + "0.0562264, 0.0562274, 0.0562284, 0.0562294, 0.0562304, 0.0562314, 0.0562324", \ + "0.0676784, 0.0676794, 0.0676804, 0.0676814, 0.0676824, 0.0676834, 0.0676844", \ + "0.0882451, 0.0882461, 0.0882471, 0.0882481, 0.0882491, 0.0882501, 0.0882511", \ + "0.110822, 0.110823, 0.110824, 0.110825, 0.110826, 0.110827, 0.110828", \ + "0.142612, 0.142613, 0.142614, 0.142615, 0.142616, 0.142617, 0.142618", \ + "0.194061, 0.194062, 0.194063, 0.194064, 0.194065, 0.194066, 0.194067" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847307, 0.0542731, 0.0854731, 0.137073, 0.223473, 0.367473, 0.607473"); + values ( \ + "0.0194382, 0.0194392, 0.0194402, 0.0194412, 0.0194422, 0.0194432, 0.0194442", \ + "0.0230757, 0.0230767, 0.0230777, 0.0230787, 0.0230797, 0.0230807, 0.0230817", \ + "0.0285299, 0.0285309, 0.0285319, 0.0285329, 0.0285339, 0.0285349, 0.0285359", \ + "0.0449878, 0.0449888, 0.0449898, 0.0449908, 0.0449918, 0.0449928, 0.0449938", \ + "0.0731728, 0.0731738, 0.0731748, 0.0731758, 0.0731768, 0.0731778, 0.0731788", \ + "0.127277, 0.127278, 0.127279, 0.12728, 0.127281, 0.127282, 0.127283", \ + "0.236833, 0.236834, 0.236835, 0.236836, 0.236837, 0.236838, 0.236839" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847307, 0.0542731, 0.0854731, 0.137073, 0.223473, 0.367473, 0.607473"); + values ( \ + "0.0194382, 0.0194392, 0.0194402, 0.0194412, 0.0194422, 0.0194432, 0.0194442", \ + "0.0230757, 0.0230767, 0.0230777, 0.0230787, 0.0230797, 0.0230807, 0.0230817", \ + "0.0285299, 0.0285309, 0.0285319, 0.0285329, 0.0285339, 0.0285349, 0.0285359", \ + "0.0449878, 0.0449888, 0.0449898, 0.0449908, 0.0449918, 0.0449928, 0.0449938", \ + "0.0731728, 0.0731738, 0.0731748, 0.0731758, 0.0731768, 0.0731778, 0.0731788", \ + "0.127277, 0.127278, 0.127279, 0.12728, 0.127281, 0.127282, 0.127283", \ + "0.236833, 0.236834, 0.236835, 0.236836, 0.236837, 0.236838, 0.236839" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00592125, 0.0517213, 0.0829213, 0.134521, 0.220921, 0.364921, 0.604921"); + values ( \ + "0.0249824, 0.108595, 0.165308, 0.258707, 0.415219, 0.675745, 1.11058", \ + "0.0374192, 0.135448, 0.192743, 0.286389, 0.443028, 0.704108, 1.13838", \ + "0.0400617, 0.156389, 0.216957, 0.312257, 0.469115, 0.730209, 1.16491", \ + "0.0400627, 0.188297, 0.257302, 0.359988, 0.521502, 0.783209, 1.21791", \ + "0.0400637, 0.222231, 0.310285, 0.430982, 0.609437, 0.883223, 1.3223", \ + "0.0400647, 0.243036, 0.36472, 0.524244, 0.739835, 1.0497, 1.51653", \ + "0.0400657, 0.243037, 0.376291, 0.603098, 0.897143, 1.28487, 1.82725" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00592125, 0.0517213, 0.0829213, 0.134521, 0.220921, 0.364921, 0.604921"); + values ( \ + "0.0146608, 0.131244, 0.211634, 0.344068, 0.566242, 0.936843, 1.55352", \ + "0.0221951, 0.135565, 0.213302, 0.345149, 0.566261, 0.936844, 1.55369", \ + "0.0302624, 0.146029, 0.221158, 0.348628, 0.567329, 0.937358, 1.5537", \ + "0.0460125, 0.170142, 0.244039, 0.366847, 0.577922, 0.939885, 1.55386", \ + "0.076088, 0.220228, 0.296636, 0.417531, 0.620258, 0.967658, 1.56542", \ + "0.128436, 0.309356, 0.394564, 0.523233, 0.724618, 1.05856, 1.63104", \ + "0.224145, 0.468118, 0.568746, 0.71658, 0.936173, 1.27236, 1.82531" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847307, 0.0542731, 0.0854731, 0.137073, 0.223473, 0.367473, 0.607473"); + values ( \ + "0.0443878, 0.144383, 0.209976, 0.31822, 0.499454, 0.801426, 1.30477", \ + "0.0665256, 0.167585, 0.233167, 0.341456, 0.522709, 0.824674, 1.32801", \ + "0.0784115, 0.180597, 0.246166, 0.354644, 0.535953, 0.837796, 1.34111", \ + "0.0955288, 0.199888, 0.26561, 0.373962, 0.555239, 0.857211, 1.36057", \ + "0.113939, 0.222434, 0.288087, 0.396586, 0.577828, 0.879758, 1.38331", \ + "0.134635, 0.251962, 0.318588, 0.42745, 0.608888, 0.91106, 1.4143", \ + "0.15092, 0.287006, 0.354845, 0.465949, 0.64969, 0.952569, 1.45577" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847307, 0.0542731, 0.0854731, 0.137073, 0.223473, 0.367473, 0.607473"); + values ( \ + "0.0114233, 0.100455, 0.161133, 0.261639, 0.429914, 0.71036, 1.17782", \ + "0.0119731, 0.100456, 0.161291, 0.262795, 0.429986, 0.710394, 1.17783", \ + "0.0126617, 0.100532, 0.161292, 0.262796, 0.429987, 0.710395, 1.17784", \ + "0.0142134, 0.100612, 0.1614, 0.262797, 0.429988, 0.710986, 1.17805", \ + "0.016859, 0.100926, 0.161476, 0.262798, 0.430461, 0.710987, 1.17806", \ + "0.021951, 0.102551, 0.162497, 0.262799, 0.430462, 0.710988, 1.17807", \ + "0.031111, 0.107152, 0.165757, 0.265427, 0.432825, 0.712278, 1.17809" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00592125, 0.0517213, 0.0829213, 0.134521, 0.220921, 0.364921, 0.604921"); + values ( \ + "0.0128789, 0.0138672, 0.0134505, 0.0128977, 0.0122886, 0.0119695, 0.0116041", \ + "0.0129671, 0.0140148, 0.0138473, 0.0135404, 0.0127848, 0.0123165, 0.0116038", \ + "0.0129532, 0.0136943, 0.0138969, 0.0135473, 0.0134407, 0.0120821, 0.01155", \ + "0.0132523, 0.013335, 0.0135252, 0.0134035, 0.013258, 0.012273, 0.0119849", \ + "0.0138102, 0.0129631, 0.0131286, 0.0132028, 0.0128709, 0.0129672, 0.0119641", \ + "0.0153875, 0.0129051, 0.0127496, 0.0129124, 0.0131431, 0.0124318, 0.0120459", \ + "0.0177818, 0.01285, 0.0124691, 0.0123841, 0.0124537, 0.0124128, 0.0121309" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847307, 0.0542731, 0.0854731, 0.137073, 0.223473, 0.367473, 0.607473"); + values ( \ + "0.0106989, 0.0125209, 0.0125561, 0.0125067, 0.0123017, 0.0118327, 0.0110424", \ + "0.0105436, 0.0119091, 0.0120353, 0.0120974, 0.0118433, 0.0115018, 0.0110122", \ + "0.0106694, 0.0115723, 0.0118428, 0.0121468, 0.0118753, 0.0114777, 0.0108275", \ + "0.0110132, 0.0112544, 0.0114138, 0.0113123, 0.0113811, 0.0107367, 0.0101713", \ + "0.0117373, 0.0109994, 0.0110336, 0.0110806, 0.0109278, 0.010418, 0.0108595", \ + "0.0126503, 0.0103084, 0.0102398, 0.010313, 0.0103364, 0.0102873, 0.0089862", \ + "0.0154316, 0.0108771, 0.010526, 0.010486, 0.0102461, 0.0103609, 0.0101522" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00592125, 0.0517213, 0.0829213, 0.134521, 0.220921, 0.364921, 0.604921"); + values ( \ + "0.0024793, 0.0023486, 0.0022611, 0.0020254, 0.0017528, 0.0016827, 0.0012956", \ + "0.0024558, 0.0023833, 0.002183, 0.0021173, 0.0017324, 0.0017214, 0.0014754", \ + "0.002447, 0.0022787, 0.0023324, 0.002133, 0.0018634, 0.0016796, 0.0012714", \ + "0.0024849, 0.0024735, 0.0023317, 0.0022297, 0.0019463, 0.0017327, 0.0015308", \ + "0.0024727, 0.0025222, 0.0025153, 0.0023648, 0.0018286, 0.0017596, 0.0016137", \ + "0.0024861, 0.0024441, 0.0024203, 0.0025695, 0.0024916, 0.0016729, 0.0023009", \ + "0.0024528, 0.0022039, 0.0022621, 0.0023129, 0.0025579, 0.0024409, 0.0017684" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847307, 0.0542731, 0.0854731, 0.137073, 0.223473, 0.367473, 0.607473"); + values ( \ + "0.0028603, 0.0125175, 0.0191884, 0.0302294, 0.0487363, 0.0795243, 0.130942", \ + "0.0027464, 0.0123321, 0.0189358, 0.0301629, 0.0484313, 0.079244, 0.130633", \ + "0.0026682, 0.0121202, 0.0187838, 0.0300819, 0.0482918, 0.0790572, 0.130541", \ + "0.0026024, 0.0119933, 0.0186732, 0.0294156, 0.0482983, 0.0791237, 0.130185", \ + "0.0025193, 0.0115644, 0.018138, 0.0293194, 0.0475499, 0.0785832, 0.12983", \ + "0.0024267, 0.0108214, 0.0174032, 0.0284444, 0.046986, 0.0778948, 0.128786", \ + "0.0023206, 0.0099668, 0.0160588, 0.0270215, 0.0454769, 0.076667, 0.128369" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00283578; + rise_capacitance : 0.00286116; + rise_capacitance_range (0.00286116, 0.00286116); + fall_capacitance : 0.0028104; + fall_capacitance_range (0.0028104, 0.0028104); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00342056, 0.00349298, 0.00397126, 0.00533835, 0.00831515, 0.0147555, 0.0282777" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00331387, 0.00360566, 0.00411388, 0.0054213, 0.00848661, 0.0150539, 0.0275991" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.006904; + rise_capacitance : 0.00701722; + rise_capacitance_range (0.00701722, 0.00701722); + fall_capacitance : 0.00679078; + fall_capacitance_range (0.00679078, 0.00679078); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000266196, 5.53171e-05, 0.000382317, 0.00160873, 0.00448096, 0.0107388, 0.0241217" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0171457, 0.0174612, 0.0180361, 0.0192973, 0.0222598, 0.0283842, 0.04111" \ + ); + } + } + } + } + cell (sg13g2_ebufn_4) { + area : 25.4016; + cell_footprint : "BTL"; + cell_leakage_power : 876.336; + leakage_power () { + value : 377.047; + when : "A&TE_B"; + } + leakage_power () { + value : 266.088; + when : "!A&TE_B"; + } + leakage_power () { + value : 1312.89; + when : "A&!TE_B"; + } + leakage_power () { + value : 1549.32; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 1.2; + capacitance : 0.012139; + rise_capacitance : 0.00962212; + rise_capacitance_range (0.00962212, 0.00962212); + fall_capacitance : 0.014656; + fall_capacitance_range (0.014656, 0.014656); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0106221, 0.103222, 0.165622, 0.268822, 0.441622, 0.729622, 1.20962"); + values ( \ + "0.0533812, 0.145186, 0.202052, 0.295992, 0.453419, 0.716261, 1.15462", \ + "0.0875808, 0.180187, 0.237458, 0.331551, 0.488931, 0.75188, 1.18978", \ + "0.109022, 0.202504, 0.259912, 0.354243, 0.51206, 0.774807, 1.21262", \ + "0.142386, 0.237885, 0.295297, 0.389586, 0.547116, 0.810259, 1.2484", \ + "0.186148, 0.285038, 0.34126, 0.434345, 0.592792, 0.856006, 1.29355", \ + "0.247451, 0.355166, 0.410877, 0.502914, 0.659685, 0.921512, 1.36148", \ + "0.333294, 0.454793, 0.512204, 0.60465, 0.760424, 1.02203, 1.45992" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0106221, 0.103222, 0.165622, 0.268822, 0.441622, 0.729622, 1.20962"); + values ( \ + "0.0163851, 0.134259, 0.215518, 0.349853, 0.574809, 0.949734, 1.57461", \ + "0.0199922, 0.134501, 0.215548, 0.349854, 0.57481, 0.949959, 1.57462", \ + "0.0237075, 0.135055, 0.215777, 0.349945, 0.574811, 0.94996, 1.57463", \ + "0.0300891, 0.136483, 0.216475, 0.350347, 0.574974, 0.949961, 1.57464", \ + "0.0400937, 0.140241, 0.218319, 0.351365, 0.575658, 0.949962, 1.57465", \ + "0.057507, 0.149858, 0.223766, 0.354456, 0.577423, 0.950809, 1.57466", \ + "0.08474, 0.173658, 0.240212, 0.364299, 0.584063, 0.955821, 1.57758" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015656, 0.108256, 0.170656, 0.273856, 0.446656, 0.734656, 1.21466"); + values ( \ + "0.0596179, 0.136879, 0.182126, 0.256268, 0.380187, 0.586112, 0.929696", \ + "0.0927159, 0.170217, 0.215491, 0.289837, 0.413513, 0.619711, 0.963133", \ + "0.114029, 0.192406, 0.237665, 0.311959, 0.435487, 0.641752, 0.986899", \ + "0.145324, 0.226365, 0.270818, 0.344653, 0.468303, 0.674116, 1.01711", \ + "0.182239, 0.268886, 0.31343, 0.386776, 0.51019, 0.71589, 1.05852", \ + "0.230908, 0.325452, 0.370057, 0.443581, 0.56627, 0.770899, 1.11305", \ + "0.29217, 0.39864, 0.446551, 0.520495, 0.64321, 0.847408, 1.18926" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015656, 0.108256, 0.170656, 0.273856, 0.446656, 0.734656, 1.21466"); + values ( \ + "0.0165525, 0.103767, 0.16451, 0.265393, 0.434314, 0.715992, 1.18602", \ + "0.0196237, 0.104292, 0.164583, 0.265406, 0.434346, 0.716214, 1.1864", \ + "0.0235319, 0.105399, 0.165277, 0.265569, 0.434554, 0.719496, 1.1877", \ + "0.0301391, 0.10834, 0.166708, 0.266299, 0.43484, 0.719497, 1.18771", \ + "0.0415409, 0.114412, 0.17029, 0.268075, 0.436084, 0.719498, 1.18772", \ + "0.057661, 0.127257, 0.179285, 0.273492, 0.43875, 0.719499, 1.18773", \ + "0.08201, 0.14991, 0.1975, 0.287638, 0.448244, 0.725621, 1.1916" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0106221, 0.103222, 0.165622, 0.268822, 0.441622, 0.729622, 1.20962"); + values ( \ + "0.0405094, 0.0405104, 0.0405114, 0.0405124, 0.0405134, 0.0405144, 0.0405154", \ + "0.0649083, 0.0649093, 0.0649103, 0.0649113, 0.0649123, 0.0649133, 0.0649143", \ + "0.0790967, 0.0790977, 0.0790987, 0.0790997, 0.0791007, 0.0791017, 0.0791027", \ + "0.104443, 0.104444, 0.104445, 0.104446, 0.104447, 0.104448, 0.104449", \ + "0.132703, 0.132704, 0.132705, 0.132706, 0.132707, 0.132708, 0.132709", \ + "0.174864, 0.174865, 0.174866, 0.174867, 0.174868, 0.174869, 0.17487", \ + "0.224976, 0.224977, 0.224978, 0.224979, 0.22498, 0.224981, 0.224982" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0106221, 0.103222, 0.165622, 0.268822, 0.441622, 0.729622, 1.20962"); + values ( \ + "0.0405094, 0.0405104, 0.0405114, 0.0405124, 0.0405134, 0.0405144, 0.0405154", \ + "0.0649083, 0.0649093, 0.0649103, 0.0649113, 0.0649123, 0.0649133, 0.0649143", \ + "0.0790967, 0.0790977, 0.0790987, 0.0790997, 0.0791007, 0.0791017, 0.0791027", \ + "0.104443, 0.104444, 0.104445, 0.104446, 0.104447, 0.104448, 0.104449", \ + "0.132703, 0.132704, 0.132705, 0.132706, 0.132707, 0.132708, 0.132709", \ + "0.174864, 0.174865, 0.174866, 0.174867, 0.174868, 0.174869, 0.17487", \ + "0.224976, 0.224977, 0.224978, 0.224979, 0.22498, 0.224981, 0.224982" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015656, 0.108256, 0.170656, 0.273856, 0.446656, 0.734656, 1.21466"); + values ( \ + "0.0204734, 0.0204744, 0.0204754, 0.0204764, 0.0204774, 0.0204784, 0.0204794", \ + "0.0236372, 0.0236382, 0.0236392, 0.0236402, 0.0236412, 0.0236422, 0.0236432", \ + "0.0293395, 0.0293405, 0.0293415, 0.0293425, 0.0293435, 0.0293445, 0.0293455", \ + "0.0463843, 0.0463853, 0.0463863, 0.0463873, 0.0463883, 0.0463893, 0.0463903", \ + "0.0739212, 0.0739222, 0.0739232, 0.0739242, 0.0739252, 0.0739262, 0.0739272", \ + "0.130372, 0.130373, 0.130374, 0.130375, 0.130376, 0.130377, 0.130378", \ + "0.240251, 0.240252, 0.240253, 0.240254, 0.240255, 0.240256, 0.240257" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015656, 0.108256, 0.170656, 0.273856, 0.446656, 0.734656, 1.21466"); + values ( \ + "0.0204734, 0.0204744, 0.0204754, 0.0204764, 0.0204774, 0.0204784, 0.0204794", \ + "0.0236372, 0.0236382, 0.0236392, 0.0236402, 0.0236412, 0.0236422, 0.0236432", \ + "0.0293395, 0.0293405, 0.0293415, 0.0293425, 0.0293435, 0.0293445, 0.0293455", \ + "0.0463843, 0.0463853, 0.0463863, 0.0463873, 0.0463883, 0.0463893, 0.0463903", \ + "0.0739212, 0.0739222, 0.0739232, 0.0739242, 0.0739252, 0.0739262, 0.0739272", \ + "0.130372, 0.130373, 0.130374, 0.130375, 0.130376, 0.130377, 0.130378", \ + "0.240251, 0.240252, 0.240253, 0.240254, 0.240255, 0.240256, 0.240257" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0106221, 0.103222, 0.165622, 0.268822, 0.441622, 0.729622, 1.20962"); + values ( \ + "0.0250441, 0.111853, 0.169358, 0.263906, 0.422377, 0.686367, 1.12665", \ + "0.0361156, 0.137537, 0.195678, 0.290568, 0.449149, 0.71377, 1.15314", \ + "0.0377054, 0.158204, 0.219655, 0.316259, 0.475197, 0.73963, 1.17992", \ + "0.0377064, 0.190066, 0.259886, 0.363929, 0.527374, 0.792427, 1.23319", \ + "0.0377074, 0.223971, 0.312568, 0.434726, 0.61508, 0.892172, 1.33656", \ + "0.0377084, 0.244722, 0.367301, 0.527828, 0.745384, 1.05824, 1.53037", \ + "0.0377094, 0.244723, 0.378985, 0.606735, 0.903274, 1.29393, 1.8421" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0106221, 0.103222, 0.165622, 0.268822, 0.441622, 0.729622, 1.20962"); + values ( \ + "0.0158895, 0.13381, 0.215606, 0.349882, 0.574771, 0.949678, 1.5747", \ + "0.0209306, 0.138001, 0.217329, 0.351385, 0.57516, 0.95032, 1.5752", \ + "0.0281605, 0.148455, 0.225069, 0.354335, 0.57581, 0.950885, 1.57521", \ + "0.0430069, 0.172235, 0.247741, 0.372313, 0.586318, 0.95297, 1.57771", \ + "0.0727332, 0.221887, 0.299788, 0.422368, 0.628229, 0.980455, 1.58614", \ + "0.124068, 0.3115, 0.39789, 0.526535, 0.731807, 1.07006, 1.6508", \ + "0.217957, 0.470318, 0.573293, 0.722574, 0.943175, 1.28536, 1.84563" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015656, 0.108256, 0.170656, 0.273856, 0.446656, 0.734656, 1.21466"); + values ( \ + "0.051585, 0.157918, 0.223917, 0.332814, 0.514868, 0.818221, 1.32373", \ + "0.0780438, 0.185664, 0.251808, 0.36062, 0.542675, 0.84603, 1.35157", \ + "0.0932005, 0.202434, 0.268544, 0.377425, 0.559731, 0.862916, 1.3684", \ + "0.11502, 0.22721, 0.293574, 0.402535, 0.584623, 0.887994, 1.39354", \ + "0.139136, 0.25724, 0.324188, 0.433404, 0.615792, 0.919286, 1.42451", \ + "0.168402, 0.29751, 0.364488, 0.474555, 0.657057, 0.960472, 1.46601", \ + "0.19791, 0.348348, 0.417909, 0.528601, 0.712639, 1.017, 1.52263" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015656, 0.108256, 0.170656, 0.273856, 0.446656, 0.734656, 1.21466"); + values ( \ + "0.0127648, 0.10338, 0.164403, 0.265376, 0.434471, 0.716312, 1.18605", \ + "0.0132761, 0.10346, 0.16441, 0.265451, 0.43449, 0.716313, 1.18607", \ + "0.014056, 0.103524, 0.164433, 0.265452, 0.434491, 0.716328, 1.18608", \ + "0.0157481, 0.103684, 0.164552, 0.265453, 0.43473, 0.716329, 1.18672", \ + "0.0187805, 0.104187, 0.164731, 0.265635, 0.434731, 0.71633, 1.18673", \ + "0.024759, 0.106184, 0.165703, 0.266331, 0.435195, 0.716513, 1.18674", \ + "0.035263, 0.111757, 0.169346, 0.26891, 0.436846, 0.717329, 1.18675" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0106221, 0.103222, 0.165622, 0.268822, 0.441622, 0.729622, 1.20962"); + values ( \ + "0.024896, 0.0268815, 0.0262507, 0.0253336, 0.0241633, 0.0226864, 0.0224849", \ + "0.0257172, 0.0277158, 0.0274965, 0.0267964, 0.0256357, 0.0239941, 0.0231704", \ + "0.0264325, 0.0271731, 0.0277302, 0.0273284, 0.027063, 0.0245921, 0.0241168", \ + "0.0274781, 0.0268976, 0.0273045, 0.0273505, 0.0280757, 0.0255382, 0.0249218", \ + "0.0296004, 0.0263186, 0.0265817, 0.0265811, 0.0263597, 0.0267123, 0.0249244", \ + "0.0342366, 0.026615, 0.0262169, 0.0260829, 0.0262472, 0.0251467, 0.0255224", \ + "0.0409453, 0.0273216, 0.0264159, 0.0260029, 0.0258761, 0.0260794, 0.0266167" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015656, 0.108256, 0.170656, 0.273856, 0.446656, 0.734656, 1.21466"); + values ( \ + "0.0214647, 0.024581, 0.0248396, 0.0247464, 0.0243856, 0.0234367, 0.022215", \ + "0.0216236, 0.0236774, 0.0239125, 0.0247535, 0.0237381, 0.0229424, 0.0218187", \ + "0.022282, 0.0228779, 0.0234769, 0.0243378, 0.0233564, 0.0240924, 0.0223584", \ + "0.0239048, 0.0228246, 0.0228765, 0.0226343, 0.0233239, 0.0223523, 0.0203808", \ + "0.0264717, 0.0228663, 0.0230226, 0.0231703, 0.0229134, 0.0222827, 0.0211232", \ + "0.029898, 0.0221539, 0.0218035, 0.0219489, 0.0220281, 0.0219427, 0.0193497", \ + "0.0368863, 0.0234992, 0.022864, 0.0225569, 0.0217541, 0.0215652, 0.0210833" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0106221, 0.103222, 0.165622, 0.268822, 0.441622, 0.729622, 1.20962"); + values ( \ + "0.0046281, 0.0043442, 0.0041756, 0.0037505, 0.0031987, 0.0030416, 0.0024108", \ + "0.0045675, 0.0045545, 0.0040179, 0.0040583, 0.0032343, 0.0032712, 0.0024945", \ + "0.0046951, 0.0044297, 0.0045664, 0.0041181, 0.0031946, 0.0034806, 0.0025511", \ + "0.0046606, 0.004654, 0.0042535, 0.0041451, 0.0036404, 0.0033832, 0.0034533", \ + "0.0047443, 0.0047185, 0.0046615, 0.0044034, 0.0035375, 0.0047383, 0.0044839", \ + "0.0047768, 0.0046786, 0.0047876, 0.0047216, 0.0047895, 0.0030305, 0.0037263", \ + "0.0046358, 0.0043273, 0.0046429, 0.0045914, 0.005143, 0.0050766, 0.0033799" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015656, 0.108256, 0.170656, 0.273856, 0.446656, 0.734656, 1.21466"); + values ( \ + "0.0053349, 0.0246934, 0.0379857, 0.0600991, 0.0970994, 0.15863, 0.261442", \ + "0.0050023, 0.024316, 0.0374632, 0.0594494, 0.0963778, 0.157947, 0.260729", \ + "0.0049984, 0.0238568, 0.0373377, 0.0592112, 0.0961135, 0.157705, 0.260572", \ + "0.0047986, 0.0236427, 0.0369361, 0.0585271, 0.0965478, 0.157656, 0.260165", \ + "0.0046486, 0.022843, 0.0363532, 0.0584977, 0.0952069, 0.15741, 0.26022", \ + "0.0045238, 0.0214571, 0.0342692, 0.0567214, 0.0940014, 0.155545, 0.257549", \ + "0.0044292, 0.0198383, 0.0321916, 0.0539467, 0.0905097, 0.152761, 0.256504" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0031958; + rise_capacitance : 0.00323256; + rise_capacitance_range (0.00323256, 0.00323256); + fall_capacitance : 0.00315904; + fall_capacitance_range (0.00315904, 0.00315904); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00570387, 0.00565746, 0.00609247, 0.00752059, 0.0107882, 0.017936, 0.033256" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00521084, 0.00541382, 0.00596389, 0.00737059, 0.0106651, 0.0180146, 0.0322397" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0112621; + rise_capacitance : 0.0114861; + rise_capacitance_range (0.0114861, 0.0114861); + fall_capacitance : 0.0110382; + fall_capacitance_range (0.0110382, 0.0110382); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000659341, -0.00119291, -0.000904591, 0.000199358, 0.00319172, 0.0101486, 0.0250034" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0327696, 0.0330862, 0.0335485, 0.0348882, 0.0381921, 0.0449776, 0.0593593" \ + ); + } + } + } + } + cell (sg13g2_ebufn_8) { + area : 45.36; + cell_footprint : "BTL"; + cell_leakage_power : 1634.34; + leakage_power () { + value : 596.457; + when : "A&TE_B"; + } + leakage_power () { + value : 374.5; + when : "!A&TE_B"; + } + leakage_power () { + value : 2546.82; + when : "A&!TE_B"; + } + leakage_power () { + value : 3019.6; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 2.4; + capacitance : 0.0239367; + rise_capacitance : 0.0189745; + rise_capacitance_range (0.0189745, 0.0189745); + fall_capacitance : 0.0288988; + fall_capacitance_range (0.0288988, 0.0288988); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0199745, 0.206174, 0.330974, 0.537374, 0.882975, 1.45897, 2.41897"); + values ( \ + "0.0521964, 0.145165, 0.202273, 0.296475, 0.45433, 0.717994, 1.15765", \ + "0.0859912, 0.179842, 0.237429, 0.331877, 0.489935, 0.753599, 1.19281", \ + "0.107201, 0.201704, 0.259721, 0.354585, 0.51262, 0.775922, 1.21562", \ + "0.140299, 0.236719, 0.294394, 0.389361, 0.547287, 0.811279, 1.25048", \ + "0.183542, 0.283204, 0.339807, 0.433953, 0.592809, 0.856505, 1.29592", \ + "0.245017, 0.352664, 0.408266, 0.500848, 0.658474, 0.922937, 1.36278", \ + "0.328988, 0.451607, 0.50798, 0.601049, 0.759018, 1.02174, 1.4615" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0199745, 0.206174, 0.330974, 0.537374, 0.882975, 1.45897, 2.41897"); + values ( \ + "0.0157609, 0.135089, 0.216854, 0.351903, 0.578128, 0.955169, 1.58357", \ + "0.0194695, 0.135293, 0.216855, 0.351916, 0.578129, 0.955379, 1.58358", \ + "0.023258, 0.135912, 0.21717, 0.352016, 0.57813, 0.95538, 1.58362", \ + "0.0296728, 0.137257, 0.21785, 0.352479, 0.578223, 0.955381, 1.58363", \ + "0.0398808, 0.140929, 0.219601, 0.353478, 0.578983, 0.955382, 1.58364", \ + "0.057352, 0.150351, 0.225046, 0.356438, 0.580893, 0.956502, 1.58369", \ + "0.085281, 0.174201, 0.241458, 0.366458, 0.587542, 0.961337, 1.58677" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0298988, 0.216099, 0.340899, 0.547299, 0.892899, 1.4689, 2.4289"); + values ( \ + "0.0581959, 0.136058, 0.181395, 0.255765, 0.380217, 0.586825, 0.931347", \ + "0.0909466, 0.169069, 0.214322, 0.288883, 0.413256, 0.619981, 0.964731", \ + "0.111988, 0.191051, 0.236256, 0.310701, 0.434792, 0.641845, 0.988076", \ + "0.143093, 0.224418, 0.269035, 0.342969, 0.466788, 0.673571, 1.01799", \ + "0.178683, 0.265715, 0.310718, 0.38436, 0.507882, 0.714613, 1.05872", \ + "0.227088, 0.321912, 0.367361, 0.440659, 0.563193, 0.769026, 1.11287", \ + "0.287584, 0.394445, 0.442302, 0.515742, 0.638846, 0.844364, 1.18683" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0298988, 0.216099, 0.340899, 0.547299, 0.892899, 1.4689, 2.4289"); + values ( \ + "0.015983, 0.104124, 0.165297, 0.266719, 0.436636, 0.720162, 1.19177", \ + "0.0191726, 0.104656, 0.165416, 0.26672, 0.436637, 0.720163, 1.19203", \ + "0.0231424, 0.10577, 0.165949, 0.266911, 0.436723, 0.723072, 1.19365", \ + "0.0297903, 0.108601, 0.167484, 0.267678, 0.437096, 0.723073, 1.19366", \ + "0.0414108, 0.114243, 0.170934, 0.269517, 0.438176, 0.723074, 1.19367", \ + "0.057563, 0.126979, 0.179468, 0.274678, 0.440905, 0.723075, 1.19368", \ + "0.082166, 0.150027, 0.198541, 0.28815, 0.450897, 0.729262, 1.19732" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0199745, 0.206174, 0.330974, 0.537374, 0.882975, 1.45897, 2.41897"); + values ( \ + "0.0508207, 0.0508217, 0.0508227, 0.0508237, 0.0508247, 0.0508257, 0.0508267", \ + "0.0832293, 0.0832303, 0.0832313, 0.0832323, 0.0832333, 0.0832343, 0.0832353", \ + "0.104154, 0.104155, 0.104156, 0.104157, 0.104158, 0.104159, 0.10416", \ + "0.13685, 0.136851, 0.136852, 0.136853, 0.136854, 0.136855, 0.136856", \ + "0.177395, 0.177396, 0.177397, 0.177398, 0.177399, 0.1774, 0.177401", \ + "0.238932, 0.238933, 0.238934, 0.238935, 0.238936, 0.238937, 0.238938", \ + "0.318573, 0.318574, 0.318575, 0.318576, 0.318577, 0.318578, 0.318579" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0199745, 0.206174, 0.330974, 0.537374, 0.882975, 1.45897, 2.41897"); + values ( \ + "0.0508207, 0.0508217, 0.0508227, 0.0508237, 0.0508247, 0.0508257, 0.0508267", \ + "0.0832293, 0.0832303, 0.0832313, 0.0832323, 0.0832333, 0.0832343, 0.0832353", \ + "0.104154, 0.104155, 0.104156, 0.104157, 0.104158, 0.104159, 0.10416", \ + "0.13685, 0.136851, 0.136852, 0.136853, 0.136854, 0.136855, 0.136856", \ + "0.177395, 0.177396, 0.177397, 0.177398, 0.177399, 0.1774, 0.177401", \ + "0.238932, 0.238933, 0.238934, 0.238935, 0.238936, 0.238937, 0.238938", \ + "0.318573, 0.318574, 0.318575, 0.318576, 0.318577, 0.318578, 0.318579" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0298988, 0.216099, 0.340899, 0.547299, 0.892899, 1.4689, 2.4289"); + values ( \ + "0.0218134, 0.0218144, 0.0218154, 0.0218164, 0.0218174, 0.0218184, 0.0218194", \ + "0.0247063, 0.0247073, 0.0247083, 0.0247093, 0.0247103, 0.0247113, 0.0247123", \ + "0.030561, 0.030562, 0.030563, 0.030564, 0.030565, 0.030566, 0.030567", \ + "0.0478229, 0.0478239, 0.0478249, 0.0478259, 0.0478269, 0.0478279, 0.0478289", \ + "0.075826, 0.075827, 0.075828, 0.075829, 0.07583, 0.075831, 0.075832", \ + "0.132501, 0.132502, 0.132503, 0.132504, 0.132505, 0.132506, 0.132507", \ + "0.243033, 0.243034, 0.243035, 0.243036, 0.243037, 0.243038, 0.243039" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0298988, 0.216099, 0.340899, 0.547299, 0.892899, 1.4689, 2.4289"); + values ( \ + "0.0218134, 0.0218144, 0.0218154, 0.0218164, 0.0218174, 0.0218184, 0.0218194", \ + "0.0247063, 0.0247073, 0.0247083, 0.0247093, 0.0247103, 0.0247113, 0.0247123", \ + "0.030561, 0.030562, 0.030563, 0.030564, 0.030565, 0.030566, 0.030567", \ + "0.0478229, 0.0478239, 0.0478249, 0.0478259, 0.0478269, 0.0478279, 0.0478289", \ + "0.075826, 0.075827, 0.075828, 0.075829, 0.07583, 0.075831, 0.075832", \ + "0.132501, 0.132502, 0.132503, 0.132504, 0.132505, 0.132506, 0.132507", \ + "0.243033, 0.243034, 0.243035, 0.243036, 0.243037, 0.243038, 0.243039" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0199745, 0.206174, 0.330974, 0.537374, 0.882975, 1.45897, 2.41897"); + values ( \ + "0.0255639, 0.113847, 0.17152, 0.266761, 0.426147, 0.691385, 1.134", \ + "0.0365337, 0.138941, 0.197391, 0.292841, 0.452375, 0.71827, 1.16037", \ + "0.0377135, 0.159406, 0.22116, 0.318248, 0.477968, 0.743681, 1.18649", \ + "0.0377145, 0.191115, 0.261217, 0.365691, 0.529941, 0.796437, 1.23951", \ + "0.0377155, 0.224969, 0.313859, 0.436356, 0.61744, 0.895742, 1.3424", \ + "0.0377165, 0.245727, 0.368503, 0.52908, 0.74732, 1.06083, 1.53524", \ + "0.0377175, 0.245728, 0.37998, 0.608227, 0.905154, 1.29481, 1.84592" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0199745, 0.206174, 0.330974, 0.537374, 0.882975, 1.45897, 2.41897"); + values ( \ + "0.0150797, 0.133662, 0.216738, 0.351893, 0.578144, 0.955546, 1.58362", \ + "0.0196524, 0.137524, 0.218346, 0.353614, 0.578293, 0.955547, 1.58365", \ + "0.0268337, 0.147994, 0.225984, 0.356335, 0.579434, 0.956215, 1.58368", \ + "0.0416785, 0.172239, 0.248477, 0.374217, 0.589539, 0.958598, 1.58489", \ + "0.0714978, 0.222075, 0.300412, 0.424459, 0.631043, 0.985424, 1.59488", \ + "0.122271, 0.311917, 0.397892, 0.527626, 0.734092, 1.07451, 1.65936", \ + "0.214726, 0.470711, 0.573261, 0.722019, 0.946673, 1.28756, 1.85291" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0298988, 0.216099, 0.340899, 0.547299, 0.892899, 1.4689, 2.4289"); + values ( \ + "0.0665822, 0.178418, 0.24493, 0.354241, 0.536997, 0.841454, 1.34878", \ + "0.098582, 0.211958, 0.278486, 0.387856, 0.570756, 0.875184, 1.38243", \ + "0.118936, 0.235336, 0.301755, 0.411317, 0.594105, 0.898626, 1.40589", \ + "0.148695, 0.269919, 0.337082, 0.44687, 0.629613, 0.934049, 1.44132", \ + "0.182531, 0.313308, 0.380672, 0.491006, 0.674292, 0.978647, 1.48595", \ + "0.224812, 0.37117, 0.440138, 0.550489, 0.734521, 1.03923, 1.54653", \ + "0.270707, 0.443993, 0.515634, 0.627427, 0.812389, 1.11854, 1.62494" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0298988, 0.216099, 0.340899, 0.547299, 0.892899, 1.4689, 2.4289"); + values ( \ + "0.0135112, 0.104187, 0.165312, 0.266777, 0.436648, 0.719789, 1.19168", \ + "0.0142546, 0.104277, 0.16549, 0.266982, 0.436786, 0.71979, 1.19169", \ + "0.0155641, 0.104568, 0.165491, 0.266983, 0.438432, 0.719909, 1.1917", \ + "0.0181324, 0.104978, 0.165737, 0.266984, 0.438433, 0.71991, 1.19171", \ + "0.023313, 0.106339, 0.166043, 0.266985, 0.438434, 0.719911, 1.19261", \ + "0.031446, 0.109984, 0.167956, 0.267359, 0.438435, 0.719912, 1.19262", \ + "0.044977, 0.117745, 0.172925, 0.270229, 0.438436, 0.720435, 1.19263" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0199745, 0.206174, 0.330974, 0.537374, 0.882975, 1.45897, 2.41897"); + values ( \ + "0.0494108, 0.0536875, 0.0525541, 0.0507631, 0.0484731, 0.0474018, 0.0458797", \ + "0.0511838, 0.0554095, 0.0555415, 0.0540135, 0.0518546, 0.0488422, 0.0482107", \ + "0.0525075, 0.0546047, 0.0557502, 0.0552935, 0.0549507, 0.0501137, 0.0497562", \ + "0.054747, 0.0537544, 0.0547529, 0.0551366, 0.0571482, 0.0520795, 0.0514699", \ + "0.0592857, 0.0527153, 0.0532434, 0.0541294, 0.0540734, 0.0557549, 0.0524825", \ + "0.068001, 0.0531417, 0.0524209, 0.0528377, 0.0541707, 0.0535554, 0.054537", \ + "0.0824026, 0.0559136, 0.0527376, 0.0525036, 0.0523476, 0.0542556, 0.0572876" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0298988, 0.216099, 0.340899, 0.547299, 0.892899, 1.4689, 2.4289"); + values ( \ + "0.0426839, 0.0492158, 0.0496591, 0.0494191, 0.0488564, 0.0473053, 0.0442105", \ + "0.0432606, 0.0473186, 0.0478197, 0.0493206, 0.0473335, 0.0457269, 0.0434901", \ + "0.0445462, 0.0456158, 0.0465092, 0.0484134, 0.0465536, 0.0479279, 0.0446647", \ + "0.0475755, 0.0452407, 0.0455997, 0.0447736, 0.0458916, 0.0440013, 0.0420367", \ + "0.0527005, 0.0444621, 0.0453367, 0.046053, 0.0450377, 0.04411, 0.0434536", \ + "0.0598231, 0.0438213, 0.0429739, 0.0436091, 0.0436609, 0.0434469, 0.0381879", \ + "0.0743479, 0.0464459, 0.0456079, 0.0442919, 0.0434859, 0.0417869, 0.0412559" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0199745, 0.206174, 0.330974, 0.537374, 0.882975, 1.45897, 2.41897"); + values ( \ + "0.0086764, 0.0080701, 0.0077283, 0.0069397, 0.0056776, 0.0058136, 0.004303", \ + "0.0089617, 0.0089375, 0.0077003, 0.0079462, 0.0060844, 0.0056005, 0.004364", \ + "0.0088978, 0.0083351, 0.0087251, 0.0077842, 0.0061173, 0.0064913, 0.0042346", \ + "0.00902, 0.0089536, 0.0082967, 0.0078866, 0.0077539, 0.00565819, 0.0052254", \ + "0.0091303, 0.0090249, 0.0089897, 0.0086313, 0.0068955, 0.0090445, 0.006038", \ + "0.0091274, 0.009119, 0.0091554, 0.0089657, 0.0090813, 0.0056903, 0.0082602", \ + "0.0091529, 0.0086358, 0.0091511, 0.00903431, 0.0105494, 0.0090581, 0.0074198" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0298988, 0.216099, 0.340899, 0.547299, 0.892899, 1.4689, 2.4289"); + values ( \ + "0.0096415, 0.0486833, 0.0754023, 0.119577, 0.193461, 0.316632, 0.522257", \ + "0.0094376, 0.0484385, 0.0745285, 0.118649, 0.192571, 0.315616, 0.521217", \ + "0.0091456, 0.0474949, 0.0743239, 0.118152, 0.193333, 0.315234, 0.52083", \ + "0.00906119, 0.0471034, 0.0739284, 0.117501, 0.193865, 0.314987, 0.520267", \ + "0.0087361, 0.0450543, 0.0718103, 0.116397, 0.189317, 0.314587, 0.522809", \ + "0.0084564, 0.0427515, 0.0685485, 0.112569, 0.188171, 0.311751, 0.515247", \ + "0.0081762, 0.0390776, 0.0640816, 0.107066, 0.181044, 0.30631, 0.513546" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00624913; + rise_capacitance : 0.0063252; + rise_capacitance_range (0.0063252, 0.0063252); + fall_capacitance : 0.00617306; + fall_capacitance_range (0.00617306, 0.00617306); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0105119, 0.0104782, 0.0114322, 0.0143126, 0.0209401, 0.035289, 0.0660314" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00965093, 0.0100668, 0.0113232, 0.014156, 0.020769, 0.0355805, 0.0641181" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0187812; + rise_capacitance : 0.0192242; + rise_capacitance_range (0.0192242, 0.0192242); + fall_capacitance : 0.0183382; + fall_capacitance_range (0.0183382, 0.0183382); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00351097, -0.00423399, -0.00427143, -0.00351204, -0.0010361, 0.00543508, 0.019273" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0641827, 0.0639295, 0.0644721, 0.0655466, 0.0685867, 0.0749105, 0.0886054" \ + ); + } + } + } + } + cell (sg13g2_einvn_2) { + area : 16.3296; + cell_footprint : "einvin"; + cell_leakage_power : 660.352; + leakage_power () { + value : 581.539; + when : "!A&!TE_B&Z"; + } + leakage_power () { + value : 739.164; + when : "A&!TE_B&!Z"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 0.6; + capacitance : 0.0062156; + rise_capacitance : 0.00493465; + rise_capacitance_range (0.00493465, 0.00493465); + fall_capacitance : 0.00749655; + fall_capacitance_range (0.00749655, 0.00749655); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00593465, 0.0517346, 0.0829347, 0.134535, 0.220935, 0.364935, 0.604935"); + values ( \ + "0.0196554, 0.105334, 0.161245, 0.254136, 0.410829, 0.67168, 1.10728", \ + "0.030802, 0.140024, 0.197006, 0.290056, 0.446322, 0.707612, 1.14256", \ + "0.0367176, 0.167513, 0.229601, 0.324906, 0.480901, 0.741736, 1.17699", \ + "0.044209, 0.209541, 0.283783, 0.389974, 0.552483, 0.812351, 1.24772", \ + "0.0530259, 0.264002, 0.357855, 0.48785, 0.673903, 0.950814, 1.38939", \ + "0.061811, 0.335206, 0.457227, 0.623312, 0.8543, 1.17957, 1.65637", \ + "0.0688346, 0.425051, 0.583505, 0.80059, 1.09963, 1.50875, 2.08155" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00593465, 0.0517346, 0.0829347, 0.134535, 0.220935, 0.364935, 0.604935"); + values ( \ + "0.0133543, 0.129918, 0.210343, 0.343485, 0.566675, 0.938494, 1.55819", \ + "0.0256653, 0.137434, 0.213641, 0.344305, 0.566805, 0.938536, 1.5582", \ + "0.0348039, 0.154103, 0.226711, 0.351386, 0.568304, 0.939335, 1.55821", \ + "0.0499298, 0.187771, 0.260973, 0.37981, 0.585353, 0.943641, 1.56277", \ + "0.0740074, 0.245521, 0.328733, 0.451146, 0.650058, 0.987952, 1.57745", \ + "0.114745, 0.334985, 0.436, 0.577376, 0.78781, 1.12037, 1.6775", \ + "0.18849, 0.472042, 0.603675, 0.783439, 1.02876, 1.39118, 1.95239" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00849655, 0.0542965, 0.0854965, 0.137097, 0.223497, 0.367497, 0.607497"); + values ( \ + "0.018226, 0.0878498, 0.132496, 0.206167, 0.32938, 0.53468, 0.876828", \ + "0.0302098, 0.125034, 0.171789, 0.246057, 0.369318, 0.57463, 0.916929", \ + "0.0366035, 0.152402, 0.205255, 0.283884, 0.408324, 0.613599, 0.955482", \ + "0.0479167, 0.196397, 0.260807, 0.351686, 0.486511, 0.696198, 1.03865", \ + "0.0592453, 0.252948, 0.336756, 0.449933, 0.610063, 0.842452, 1.19743", \ + "0.0738502, 0.331395, 0.439098, 0.587633, 0.790497, 1.07345, 1.47675", \ + "0.0918876, 0.438717, 0.580758, 0.772163, 1.03908, 1.40259, 1.90329" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00849655, 0.0542965, 0.0854965, 0.137097, 0.223497, 0.367497, 0.607497"); + values ( \ + "0.00996957, 0.0976255, 0.158391, 0.258973, 0.427581, 0.708428, 1.17658", \ + "0.0217153, 0.10845, 0.164467, 0.260955, 0.427988, 0.708429, 1.17659", \ + "0.0307844, 0.125608, 0.180301, 0.272105, 0.432679, 0.709415, 1.1766", \ + "0.0472067, 0.158612, 0.216212, 0.306526, 0.458845, 0.723056, 1.18033", \ + "0.0736371, 0.214511, 0.279515, 0.377361, 0.529789, 0.782141, 1.2155", \ + "0.118185, 0.300837, 0.3829, 0.497367, 0.669005, 0.926377, 1.3454", \ + "0.198598, 0.445299, 0.544643, 0.689787, 0.895686, 1.19217, 1.63285" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00593465, 0.0517346, 0.0829347, 0.134535, 0.220935, 0.364935, 0.604935"); + values ( \ + "0.0380451, 0.0380461, 0.0380471, 0.0380481, 0.0380491, 0.0380501, 0.0380511", \ + "0.0611331, 0.0611341, 0.0611351, 0.0611361, 0.0611371, 0.0611381, 0.0611391", \ + "0.0749192, 0.0749202, 0.0749212, 0.0749222, 0.0749232, 0.0749242, 0.0749252", \ + "0.0992098, 0.0992108, 0.0992118, 0.0992128, 0.0992138, 0.0992148, 0.0992158", \ + "0.125734, 0.125735, 0.125736, 0.125737, 0.125738, 0.125739, 0.12574", \ + "0.162471, 0.162472, 0.162473, 0.162474, 0.162475, 0.162476, 0.162477", \ + "0.211741, 0.211742, 0.211743, 0.211744, 0.211745, 0.211746, 0.211747" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00593465, 0.0517346, 0.0829347, 0.134535, 0.220935, 0.364935, 0.604935"); + values ( \ + "0.0380451, 0.0380461, 0.0380471, 0.0380481, 0.0380491, 0.0380501, 0.0380511", \ + "0.0611331, 0.0611341, 0.0611351, 0.0611361, 0.0611371, 0.0611381, 0.0611391", \ + "0.0749192, 0.0749202, 0.0749212, 0.0749222, 0.0749232, 0.0749242, 0.0749252", \ + "0.0992098, 0.0992108, 0.0992118, 0.0992128, 0.0992138, 0.0992148, 0.0992158", \ + "0.125734, 0.125735, 0.125736, 0.125737, 0.125738, 0.125739, 0.12574", \ + "0.162471, 0.162472, 0.162473, 0.162474, 0.162475, 0.162476, 0.162477", \ + "0.211741, 0.211742, 0.211743, 0.211744, 0.211745, 0.211746, 0.211747" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00593465, 0.0517346, 0.0829347, 0.134535, 0.220935, 0.364935, 0.604935"); + values ( \ + "0.0239408, 0.10763, 0.164535, 0.258264, 0.415203, 0.677112, 1.11378", \ + "0.0375468, 0.135232, 0.192537, 0.286471, 0.443651, 0.705841, 1.14206", \ + "0.0403233, 0.156206, 0.216746, 0.312208, 0.46957, 0.731691, 1.16823", \ + "0.0403243, 0.188495, 0.257393, 0.360237, 0.522071, 0.784775, 1.2213", \ + "0.0403253, 0.222856, 0.310664, 0.43171, 0.61048, 0.885339, 1.32599", \ + "0.0403263, 0.243975, 0.365867, 0.525336, 0.741578, 1.05236, 1.5206", \ + "0.0403273, 0.243976, 0.377725, 0.604961, 0.901022, 1.28737, 1.83267" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00593465, 0.0517346, 0.0829347, 0.134535, 0.220935, 0.364935, 0.604935"); + values ( \ + "0.0124162, 0.129978, 0.210487, 0.343509, 0.56639, 0.938119, 1.55792", \ + "0.0212645, 0.134187, 0.212156, 0.344575, 0.566428, 0.938636, 1.55803", \ + "0.0299963, 0.144795, 0.220087, 0.348011, 0.56766, 0.939167, 1.55878", \ + "0.0462027, 0.169199, 0.243266, 0.366417, 0.578241, 0.94151, 1.56275", \ + "0.0771288, 0.219916, 0.296127, 0.417432, 0.620695, 0.969496, 1.56999", \ + "0.129892, 0.30975, 0.395222, 0.522328, 0.725524, 1.06049, 1.63567", \ + "0.225295, 0.469686, 0.571284, 0.718834, 0.939806, 1.27362, 1.83023" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00593465, 0.0517346, 0.0829347, 0.134535, 0.220935, 0.364935, 0.604935"); + values ( \ + "0.00633964, 0.00697141, 0.00645431, 0.00591434, 0.00538513, 0.00504988, 0.00450781", \ + "0.00718137, 0.00722357, 0.0068207, 0.00635662, 0.00573946, 0.00495274, 0.00471998", \ + "0.00847025, 0.00741622, 0.00740635, 0.00681926, 0.0060523, 0.00537133, 0.00493884", \ + "0.0113233, 0.00876071, 0.00819769, 0.00772169, 0.0070638, 0.00586691, 0.00630861", \ + "0.0177087, 0.012241, 0.0113224, 0.0104317, 0.00904344, 0.00872905, 0.00694644", \ + "0.0299296, 0.021139, 0.0189382, 0.0167103, 0.014593, 0.0116648, 0.0109411", \ + "0.0545281, 0.0422791, 0.0380325, 0.033425, 0.0286566, 0.0248066, 0.0194339" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00849655, 0.0542965, 0.0854965, 0.137097, 0.223497, 0.367497, 0.607497"); + values ( \ + "0.00588163, 0.00766507, 0.00765032, 0.0075708, 0.007355, 0.00691902, 0.00610683", \ + "0.00638925, 0.00706908, 0.00745765, 0.00739062, 0.00728119, 0.00682327, 0.00604819", \ + "0.00752156, 0.00712615, 0.00719325, 0.00749099, 0.00717082, 0.00683193, 0.00608198", \ + "0.0104078, 0.00800825, 0.00784285, 0.00754791, 0.00757983, 0.00701075, 0.00606396", \ + "0.0165589, 0.0112591, 0.0102784, 0.00953421, 0.00863295, 0.00785066, 0.00713276", \ + "0.0294205, 0.0201495, 0.0177288, 0.0153466, 0.0134864, 0.0114125, 0.00889508", \ + "0.0553813, 0.0412705, 0.036491, 0.0314656, 0.0268241, 0.0221874, 0.0180241" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00593465, 0.0517346, 0.0829347, 0.134535, 0.220935, 0.364935, 0.604935"); + values ( \ + "0.0102076, 0.0101183, 0.00999093, 0.00978123, 0.00947833, 0.00934983, 0.00932873", \ + "0.0101378, 0.0101079, 0.00990469, 0.00983569, 0.00941079, 0.00936729, 0.00909579", \ + "0.010128, 0.00997767, 0.0100727, 0.00980417, 0.00954177, 0.00935097, 0.00920807", \ + "0.0100472, 0.0100472, 0.00990968, 0.00975618, 0.00989138, 0.00926708, 0.00989128", \ + "0.0100131, 0.0100281, 0.0100289, 0.0099844, 0.0094686, 0.0100007, 0.0095448", \ + "0.0099031, 0.0098577, 0.0098964, 0.0099317, 0.0099209, 0.0089044, 0.0096417", \ + "0.0096053, 0.009439, 0.0095599, 0.0096172, 0.0100619, 0.0094473, 0.0088973" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00410445; + rise_capacitance : 0.00518412; + rise_capacitance_range (0.00518412, 0.00518412); + fall_capacitance : 0.00302478; + fall_capacitance_range (0.00302478, 0.00302478); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00526721; + rise_capacitance : 0.00590495; + rise_capacitance_range (0.00590495, 0.00590495); + fall_capacitance : 0.00462947; + fall_capacitance_range (0.00462947, 0.00462947); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00588171, -0.00620405, -0.0060565, -0.005419, -0.00372377, 0.000130189, 0.00844805" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00855317, 0.00873761, 0.00908663, 0.00992112, 0.0117708, 0.0156356, 0.0238073" \ + ); + } + } + } + } + cell (sg13g2_einvn_4) { + area : 23.5872; + cell_footprint : "einvin"; + cell_leakage_power : 1312.66; + leakage_power () { + value : 1155.03; + when : "!A&!TE_B"; + } + leakage_power () { + value : 1470.28; + when : "A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 1.2; + capacitance : 0.0122162; + rise_capacitance : 0.00971718; + rise_capacitance_range (0.00971718, 0.00971718); + fall_capacitance : 0.0147153; + fall_capacitance_range (0.0147153, 0.0147153); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107172, 0.103317, 0.165717, 0.268917, 0.441717, 0.729717, 1.20972"); + values ( \ + "0.0182894, 0.105412, 0.161425, 0.2544, 0.41107, 0.672004, 1.1077", \ + "0.0285508, 0.140109, 0.197291, 0.290356, 0.446614, 0.70817, 1.14385", \ + "0.0338051, 0.16768, 0.229985, 0.325562, 0.481712, 0.742857, 1.17823", \ + "0.0403789, 0.210064, 0.284046, 0.390384, 0.553163, 0.814259, 1.2491", \ + "0.0481596, 0.264322, 0.358241, 0.488514, 0.67497, 0.952467, 1.39176", \ + "0.055609, 0.335345, 0.457734, 0.624203, 0.854743, 1.1801, 1.65739", \ + "0.060598, 0.425831, 0.583483, 0.801678, 1.10087, 1.51055, 2.08479" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107172, 0.103317, 0.165717, 0.268917, 0.441717, 0.729717, 1.20972"); + values ( \ + "0.012208, 0.130107, 0.210739, 0.344168, 0.567749, 0.940322, 1.56128", \ + "0.0238133, 0.137658, 0.214044, 0.345682, 0.567896, 0.940769, 1.56129", \ + "0.0325506, 0.154336, 0.227094, 0.352028, 0.569479, 0.941446, 1.56156", \ + "0.0467304, 0.187726, 0.261525, 0.380519, 0.586601, 0.945333, 1.56264", \ + "0.0702436, 0.245839, 0.329163, 0.451846, 0.651167, 0.989825, 1.58082", \ + "0.109848, 0.335351, 0.436256, 0.578038, 0.789554, 1.12267, 1.68141", \ + "0.182182, 0.473061, 0.603077, 0.781489, 1.03225, 1.39316, 1.95578" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0157153, 0.108315, 0.170715, 0.273915, 0.446715, 0.734715, 1.21472"); + values ( \ + "0.0170033, 0.0877362, 0.132368, 0.205968, 0.329087, 0.534247, 0.876188", \ + "0.0280574, 0.124971, 0.17174, 0.245911, 0.369037, 0.5743, 0.916104", \ + "0.0338111, 0.152389, 0.205299, 0.283876, 0.408312, 0.613362, 0.955056", \ + "0.0442129, 0.196373, 0.260846, 0.35172, 0.486513, 0.696104, 1.03826", \ + "0.0543979, 0.252987, 0.336801, 0.450183, 0.610412, 0.84281, 1.19753", \ + "0.0674264, 0.331751, 0.43934, 0.588044, 0.790996, 1.07416, 1.47803", \ + "0.083646, 0.438844, 0.581307, 0.772326, 1.03965, 1.4029, 1.90355" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0157153, 0.108315, 0.170715, 0.273915, 0.446715, 0.734715, 1.21472"); + values ( \ + "0.0089938, 0.0975827, 0.158373, 0.259031, 0.427554, 0.708403, 1.17639", \ + "0.020149, 0.108444, 0.164459, 0.26093, 0.427769, 0.708404, 1.1764", \ + "0.0287067, 0.125751, 0.180319, 0.272141, 0.432562, 0.708915, 1.17641", \ + "0.0446421, 0.15864, 0.216125, 0.306392, 0.458878, 0.722983, 1.17954", \ + "0.0701854, 0.214653, 0.27972, 0.378355, 0.530022, 0.782157, 1.21551", \ + "0.113734, 0.30146, 0.384019, 0.497865, 0.669294, 0.926704, 1.34594", \ + "0.192155, 0.445533, 0.544601, 0.690211, 0.896357, 1.1941, 1.63449" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107172, 0.103317, 0.165717, 0.268917, 0.441717, 0.729717, 1.20972"); + values ( \ + "0.0393467, 0.0393468, 0.0393478, 0.0393488, 0.0393498, 0.0393508, 0.0393518", \ + "0.0637089, 0.0637099, 0.0637109, 0.0637119, 0.0637129, 0.0637139, 0.0637149", \ + "0.0781222, 0.0781232, 0.0781242, 0.0781252, 0.0781262, 0.0781272, 0.0781282", \ + "0.103886, 0.103887, 0.103888, 0.103889, 0.10389, 0.103891, 0.103892", \ + "0.130959, 0.13096, 0.130961, 0.130962, 0.130963, 0.130964, 0.130965", \ + "0.170876, 0.170877, 0.170878, 0.170879, 0.17088, 0.170881, 0.170882", \ + "0.223687, 0.223688, 0.223689, 0.22369, 0.223691, 0.223692, 0.223693" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107172, 0.103317, 0.165717, 0.268917, 0.441717, 0.729717, 1.20972"); + values ( \ + "0.0393467, 0.0393468, 0.0393478, 0.0393488, 0.0393498, 0.0393508, 0.0393518", \ + "0.0637089, 0.0637099, 0.0637109, 0.0637119, 0.0637129, 0.0637139, 0.0637149", \ + "0.0781222, 0.0781232, 0.0781242, 0.0781252, 0.0781262, 0.0781272, 0.0781282", \ + "0.103886, 0.103887, 0.103888, 0.103889, 0.10389, 0.103891, 0.103892", \ + "0.130959, 0.13096, 0.130961, 0.130962, 0.130963, 0.130964, 0.130965", \ + "0.170876, 0.170877, 0.170878, 0.170879, 0.17088, 0.170881, 0.170882", \ + "0.223687, 0.223688, 0.223689, 0.22369, 0.223691, 0.223692, 0.223693" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107172, 0.103317, 0.165717, 0.268917, 0.441717, 0.729717, 1.20972"); + values ( \ + "0.0230873, 0.107962, 0.164962, 0.258793, 0.416119, 0.678701, 1.11595", \ + "0.0357184, 0.135329, 0.19275, 0.286832, 0.444181, 0.707008, 1.14357", \ + "0.0378247, 0.156312, 0.216965, 0.312564, 0.470203, 0.732809, 1.1702", \ + "0.0378257, 0.188394, 0.257336, 0.360308, 0.522491, 0.785584, 1.22311", \ + "0.0378267, 0.222562, 0.310355, 0.431502, 0.610452, 0.885682, 1.32701", \ + "0.0378277, 0.243453, 0.365356, 0.524938, 0.741097, 1.05217, 1.52111", \ + "0.0378287, 0.243454, 0.377026, 0.604202, 0.899101, 1.28807, 1.83173" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107172, 0.103317, 0.165717, 0.268917, 0.441717, 0.729717, 1.20972"); + values ( \ + "0.0111747, 0.12996, 0.210949, 0.344343, 0.567607, 0.940331, 1.5613", \ + "0.0199074, 0.134358, 0.2126, 0.34519, 0.567608, 0.940685, 1.56134", \ + "0.0284066, 0.144957, 0.220522, 0.348749, 0.56891, 0.942113, 1.56135", \ + "0.0441863, 0.169506, 0.243574, 0.367042, 0.579521, 0.94368, 1.56678", \ + "0.0744698, 0.22005, 0.296437, 0.418181, 0.621691, 0.971831, 1.57307", \ + "0.125952, 0.31029, 0.395331, 0.522306, 0.725367, 1.06192, 1.63859", \ + "0.219554, 0.469664, 0.571406, 0.716628, 0.937983, 1.27698, 1.83234" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107172, 0.103317, 0.165717, 0.268917, 0.441717, 0.729717, 1.20972"); + values ( \ + "0.0125423, 0.0140694, 0.0130956, 0.0120197, 0.0109638, 0.00936789, 0.00897891", \ + "0.0143455, 0.0144609, 0.0137869, 0.0131263, 0.0117675, 0.0102638, 0.00981634", \ + "0.0170259, 0.0150339, 0.0149826, 0.01399, 0.0124087, 0.0111949, 0.0103991", \ + "0.0227467, 0.0176134, 0.0167441, 0.0159201, 0.0144826, 0.0124965, 0.011979", \ + "0.035153, 0.0246663, 0.0227734, 0.0206597, 0.0185195, 0.0180145, 0.0137339", \ + "0.0596372, 0.042468, 0.0383082, 0.0336556, 0.0307317, 0.024837, 0.0235546", \ + "0.109644, 0.084575, 0.0757778, 0.0668659, 0.0579018, 0.0486287, 0.0392875" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0157153, 0.108315, 0.170715, 0.273915, 0.446715, 0.734715, 1.21472"); + values ( \ + "0.0114336, 0.0151674, 0.0152018, 0.0149931, 0.0145607, 0.0137485, 0.0121654", \ + "0.0126463, 0.014014, 0.0147955, 0.0146346, 0.0143279, 0.0134824, 0.0120459", \ + "0.0150243, 0.0141223, 0.0142262, 0.0149568, 0.0142147, 0.0132404, 0.0120368", \ + "0.0208338, 0.0158745, 0.0155241, 0.0149783, 0.0149619, 0.0138526, 0.0119724", \ + "0.0332278, 0.0222866, 0.0202802, 0.0189719, 0.0171679, 0.0156306, 0.0134497", \ + "0.059015, 0.0400996, 0.035369, 0.0304557, 0.0267155, 0.0224756, 0.0176594", \ + "0.11097, 0.0824583, 0.0729314, 0.0627618, 0.0536391, 0.044238, 0.0361325" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107172, 0.103317, 0.165717, 0.268917, 0.441717, 0.729717, 1.20972"); + values ( \ + "0.0207037, 0.0204265, 0.0203185, 0.0198705, 0.0192438, 0.0191192, 0.0184717", \ + "0.0205367, 0.0205026, 0.0200998, 0.0198514, 0.0190401, 0.0189403, 0.0183409", \ + "0.0205193, 0.0202184, 0.0202865, 0.0200279, 0.0192183, 0.0196972, 0.0183835", \ + "0.0203632, 0.0203714, 0.0201, 0.0199002, 0.019753, 0.019005, 0.0207003", \ + "0.0204591, 0.0204963, 0.0204564, 0.0202726, 0.019311, 0.0198211, 0.0186596", \ + "0.0199982, 0.0199211, 0.019955, 0.019889, 0.0199818, 0.0184795, 0.0195595", \ + "0.0197304, 0.0193739, 0.01966, 0.0194284, 0.0202235, 0.0200505, 0.0179666" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00807497; + rise_capacitance : 0.0102121; + rise_capacitance_range (0.0102121, 0.0102121); + fall_capacitance : 0.00593785; + fall_capacitance_range (0.00593785, 0.00593785); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0098851; + rise_capacitance : 0.0110868; + rise_capacitance_range (0.0110868, 0.0110868); + fall_capacitance : 0.00868344; + fall_capacitance_range (0.00868344, 0.00868344); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0123649, -0.0129883, -0.0127663, -0.0116644, -0.00862649, -0.00174465, 0.0132933" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0169292, 0.0172644, 0.0179276, 0.0194042, 0.0226579, 0.0299832, 0.044571" \ + ); + } + } + } + } + cell (sg13g2_einvn_8) { + area : 39.9168; + cell_footprint : "ITL"; + cell_leakage_power : 2546.27; + leakage_power () { + value : 2231.02; + when : "!A&!TE_B"; + } + leakage_power () { + value : 2861.52; + when : "A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 2.4; + capacitance : 0.0242894; + rise_capacitance : 0.0193566; + rise_capacitance_range (0.0193566, 0.0193566); + fall_capacitance : 0.0292222; + fall_capacitance_range (0.0292222, 0.0292222); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0203566, 0.206557, 0.331357, 0.537757, 0.883357, 1.45936, 2.41936"); + values ( \ + "0.0176897, 0.105849, 0.162148, 0.255535, 0.412621, 0.674614, 1.11181", \ + "0.0274889, 0.140678, 0.198071, 0.291452, 0.448475, 0.711031, 1.14788", \ + "0.0324804, 0.168215, 0.230856, 0.32664, 0.483463, 0.74551, 1.1827", \ + "0.0385904, 0.210911, 0.285198, 0.39213, 0.55516, 0.817411, 1.25378", \ + "0.0458307, 0.265135, 0.359403, 0.490305, 0.677412, 0.956242, 1.39693", \ + "0.0525714, 0.33653, 0.459277, 0.626226, 0.858207, 1.18501, 1.66392", \ + "0.0567359, 0.427269, 0.586039, 0.804325, 1.10417, 1.51577, 2.09213" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0203566, 0.206557, 0.331357, 0.537757, 0.883357, 1.45936, 2.41936"); + values ( \ + "0.0116396, 0.130835, 0.212051, 0.34642, 0.571341, 0.946256, 1.57112", \ + "0.0229117, 0.138439, 0.215312, 0.347623, 0.571342, 0.946795, 1.57113", \ + "0.0314554, 0.15511, 0.22827, 0.354146, 0.57313, 0.946796, 1.57114", \ + "0.0452812, 0.188666, 0.262632, 0.382459, 0.590082, 0.95146, 1.57115", \ + "0.0685197, 0.246712, 0.330244, 0.453853, 0.654333, 0.995528, 1.58989", \ + "0.107507, 0.335793, 0.437372, 0.580159, 0.79275, 1.12796, 1.69065", \ + "0.179533, 0.473815, 0.603777, 0.783446, 1.03621, 1.39866, 1.96482" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0302222, 0.216422, 0.341222, 0.547622, 0.893222, 1.46922, 2.42922"); + values ( \ + "0.0166395, 0.0883801, 0.13334, 0.207526, 0.331624, 0.538417, 0.883027", \ + "0.0271735, 0.125486, 0.17249, 0.247193, 0.371318, 0.578243, 0.922941", \ + "0.0325949, 0.15304, 0.206179, 0.285286, 0.410635, 0.617377, 0.961853", \ + "0.0425594, 0.197311, 0.262137, 0.353488, 0.489214, 0.700321, 1.04505", \ + "0.052235, 0.25436, 0.338224, 0.452166, 0.613289, 0.847014, 1.20432", \ + "0.0647561, 0.332841, 0.441371, 0.589025, 0.792244, 1.07644, 1.48247", \ + "0.0797267, 0.440671, 0.583458, 0.775288, 1.04405, 1.40903, 1.91252" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0302222, 0.216422, 0.341222, 0.547622, 0.893222, 1.46922, 2.42922"); + values ( \ + "0.00859766, 0.0982787, 0.159755, 0.261376, 0.431387, 0.714704, 1.1868", \ + "0.0193518, 0.109117, 0.165732, 0.263171, 0.432808, 0.714705, 1.18681", \ + "0.0277087, 0.126406, 0.181565, 0.27427, 0.436089, 0.715373, 1.18682", \ + "0.0434385, 0.159354, 0.217487, 0.308583, 0.462563, 0.729161, 1.19035", \ + "0.0685413, 0.215162, 0.281333, 0.380048, 0.534107, 0.787976, 1.22588", \ + "0.111362, 0.302034, 0.385379, 0.50172, 0.674548, 0.933527, 1.35614", \ + "0.189362, 0.446681, 0.547522, 0.694705, 0.900489, 1.19999, 1.64251" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0203566, 0.206557, 0.331357, 0.537757, 0.883357, 1.45936, 2.41936"); + values ( \ + "0.0500744, 0.0500765, 0.0500775, 0.0500785, 0.0500795, 0.0500805, 0.0500815", \ + "0.0823436, 0.0823446, 0.0823456, 0.0823466, 0.0823476, 0.0823486, 0.0823496", \ + "0.103264, 0.103265, 0.103266, 0.103267, 0.103268, 0.103269, 0.10327", \ + "0.136172, 0.136173, 0.136174, 0.136175, 0.136176, 0.136177, 0.136178", \ + "0.177033, 0.177034, 0.177035, 0.177036, 0.177037, 0.177038, 0.177039", \ + "0.233095, 0.233096, 0.233097, 0.233098, 0.233099, 0.2331, 0.233101", \ + "0.314022, 0.314023, 0.314024, 0.314025, 0.314026, 0.314027, 0.314028" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0203566, 0.206557, 0.331357, 0.537757, 0.883357, 1.45936, 2.41936"); + values ( \ + "0.0500744, 0.0500765, 0.0500775, 0.0500785, 0.0500795, 0.0500805, 0.0500815", \ + "0.0823436, 0.0823446, 0.0823456, 0.0823466, 0.0823476, 0.0823486, 0.0823496", \ + "0.103264, 0.103265, 0.103266, 0.103267, 0.103268, 0.103269, 0.10327", \ + "0.136172, 0.136173, 0.136174, 0.136175, 0.136176, 0.136177, 0.136178", \ + "0.177033, 0.177034, 0.177035, 0.177036, 0.177037, 0.177038, 0.177039", \ + "0.233095, 0.233096, 0.233097, 0.233098, 0.233099, 0.2331, 0.233101", \ + "0.314022, 0.314023, 0.314024, 0.314025, 0.314026, 0.314027, 0.314028" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0203566, 0.206557, 0.331357, 0.537757, 0.883357, 1.45936, 2.41936"); + values ( \ + "0.0242848, 0.110296, 0.167531, 0.262064, 0.420288, 0.684199, 1.12434", \ + "0.0367584, 0.137564, 0.195301, 0.289913, 0.448459, 0.712267, 1.15241", \ + "0.0383388, 0.158294, 0.219213, 0.315359, 0.473889, 0.738117, 1.17818", \ + "0.0383398, 0.190201, 0.259425, 0.362852, 0.525843, 0.790539, 1.23046", \ + "0.0383408, 0.224297, 0.312378, 0.433835, 0.613517, 0.890176, 1.334", \ + "0.0383418, 0.245085, 0.367219, 0.526895, 0.743791, 1.05571, 1.52703", \ + "0.0383428, 0.245086, 0.378885, 0.606216, 0.901943, 1.29013, 1.83706" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0203566, 0.206557, 0.331357, 0.537757, 0.883357, 1.45936, 2.41936"); + values ( \ + "0.0107923, 0.130804, 0.212143, 0.346393, 0.571553, 0.946669, 1.57118", \ + "0.0192311, 0.135061, 0.213917, 0.347254, 0.571762, 0.94667, 1.57182", \ + "0.0276998, 0.145659, 0.221678, 0.350854, 0.572365, 0.947965, 1.57183", \ + "0.0432789, 0.170083, 0.244674, 0.368988, 0.582703, 0.94963, 1.57184", \ + "0.0731843, 0.220815, 0.297226, 0.419782, 0.624688, 0.977229, 1.58323", \ + "0.124143, 0.310845, 0.395751, 0.523687, 0.727735, 1.06744, 1.64756", \ + "0.216348, 0.469924, 0.570925, 0.718993, 0.941605, 1.28004, 1.84008" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0203566, 0.206557, 0.331357, 0.537757, 0.883357, 1.45936, 2.41936"); + values ( \ + "0.0248575, 0.0281751, 0.0264198, 0.0244809, 0.0223433, 0.0193776, 0.0195535", \ + "0.0287173, 0.0290885, 0.0279473, 0.0266834, 0.0241528, 0.021653, 0.0207931", \ + "0.034103, 0.0303741, 0.0303167, 0.0284072, 0.0257234, 0.0229347, 0.0220852", \ + "0.0451602, 0.035279, 0.0334718, 0.0324948, 0.0299246, 0.0272678, 0.0250974", \ + "0.0700309, 0.0495568, 0.0459864, 0.0424583, 0.0383557, 0.0382429, 0.0369281", \ + "0.119224, 0.0850916, 0.0771179, 0.0687012, 0.0613522, 0.0516488, 0.0519748", \ + "0.219486, 0.168509, 0.151918, 0.134368, 0.117531, 0.10082, 0.0850862" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0302222, 0.216422, 0.341222, 0.547622, 0.893222, 1.46922, 2.42922"); + values ( \ + "0.0222846, 0.0299276, 0.0300331, 0.0296551, 0.0287507, 0.0271832, 0.0237972", \ + "0.0249856, 0.0276246, 0.0287424, 0.028907, 0.0291609, 0.0266956, 0.0235826", \ + "0.0298024, 0.0278293, 0.0280019, 0.0294549, 0.0277471, 0.0264522, 0.0236525", \ + "0.0414031, 0.0312411, 0.0306497, 0.0293929, 0.0296251, 0.0276055, 0.0232813", \ + "0.0662804, 0.0442372, 0.0402471, 0.0372489, 0.0342559, 0.0310979, 0.0270525", \ + "0.118182, 0.0788173, 0.0697296, 0.0656227, 0.0570791, 0.0471902, 0.0366124", \ + "0.220267, 0.163121, 0.144939, 0.12505, 0.106441, 0.0876442, 0.07057" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0203566, 0.206557, 0.331357, 0.537757, 0.883357, 1.45936, 2.41936"); + values ( \ + "0.0433917, 0.0427067, 0.0424534, 0.0416555, 0.0406106, 0.0403708, 0.0387432", \ + "0.0421852, 0.041954, 0.0411663, 0.0408013, 0.0395848, 0.0386084, 0.0386501", \ + "0.0420847, 0.0414785, 0.0418934, 0.0405413, 0.0392022, 0.040076, 0.0378598", \ + "0.0419892, 0.0420268, 0.0411273, 0.0407644, 0.0410287, 0.0396799, 0.0377401", \ + "0.0420435, 0.042012, 0.0419139, 0.041608, 0.0395134, 0.0418028, 0.0403509", \ + "0.0415303, 0.0415858, 0.0415478, 0.041544, 0.0413434, 0.0383172, 0.0413063", \ + "0.0412501, 0.0405928, 0.0410164, 0.0410443, 0.0425541, 0.0412124, 0.0385192" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0158842; + rise_capacitance : 0.0201045; + rise_capacitance_range (0.0201045, 0.0201045); + fall_capacitance : 0.011664; + fall_capacitance_range (0.011664, 0.011664); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0167555; + rise_capacitance : 0.0185965; + rise_capacitance_range (0.0185965, 0.0185965); + fall_capacitance : 0.0149146; + fall_capacitance_range (0.0149146, 0.0149146); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0272851, -0.0282753, -0.0283349, -0.0276589, -0.0252965, -0.0188877, -0.00480466" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0296209, 0.0307236, 0.0312601, 0.0325133, 0.0356779, 0.0424816, 0.0566848" \ + ); + } + } + } + } + cell (sg13g2_fill_1) { + area : 1.8144; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_2) { + area : 3.6288; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_4) { + area : 7.2576; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_8) { + area : 14.5152; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_inv_1) { + area : 5.4432; + cell_footprint : "IN"; + cell_leakage_power : 118.444; + leakage_power () { + value : 157.85; + when : "A&!Y"; + } + leakage_power () { + value : 79.0378; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0150645, 0.0573416, 0.0848541, 0.130295, 0.206198, 0.33274, 0.543428", \ + "0.0269984, 0.093875, 0.125237, 0.17252, 0.248649, 0.375086, 0.585895", \ + "0.0327116, 0.118418, 0.156287, 0.209676, 0.289661, 0.416798, 0.627522", \ + "0.0393382, 0.154485, 0.203844, 0.26985, 0.36281, 0.499524, 0.712508", \ + "0.0463676, 0.198013, 0.265433, 0.353963, 0.472923, 0.636519, 0.872016", \ + "0.0517092, 0.253129, 0.344168, 0.466097, 0.626047, 0.839324, 1.1284", \ + "0.0517102, 0.319013, 0.439585, 0.604098, 0.82639, 1.11679, 1.49947" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00990698, 0.0648172, 0.104984, 0.171507, 0.28288, 0.468543, 0.778036", \ + "0.023374, 0.0803154, 0.11573, 0.176908, 0.284303, 0.4692, 0.778037", \ + "0.0329082, 0.0995895, 0.135061, 0.193311, 0.294589, 0.472191, 0.779786", \ + "0.0478585, 0.132956, 0.172114, 0.232498, 0.32931, 0.495667, 0.788041", \ + "0.0723571, 0.186627, 0.237949, 0.307763, 0.409528, 0.571831, 0.845536", \ + "0.112971, 0.266781, 0.336594, 0.427592, 0.549826, 0.726047, 0.999941", \ + "0.186872, 0.389338, 0.484574, 0.611507, 0.779165, 0.993378, 1.29969" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0148759, 0.0515545, 0.0746328, 0.112742, 0.17652, 0.282776, 0.460128", \ + "0.02795, 0.0894947, 0.117855, 0.158966, 0.223487, 0.329775, 0.506768", \ + "0.034895, 0.114741, 0.149032, 0.196929, 0.267337, 0.375653, 0.552641", \ + "0.0471565, 0.154038, 0.199506, 0.260057, 0.344067, 0.464929, 0.648723", \ + "0.0601527, 0.204479, 0.26619, 0.348075, 0.456923, 0.605662, 0.815612", \ + "0.0779658, 0.274935, 0.357367, 0.46858, 0.617992, 0.813337, 1.07923", \ + "0.102437, 0.369233, 0.483737, 0.632545, 0.833637, 1.10501, 1.45837" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00847666, 0.051877, 0.0836797, 0.136696, 0.225536, 0.373745, 0.620522", \ + "0.020885, 0.0695588, 0.0976969, 0.145335, 0.228897, 0.374148, 0.621479", \ + "0.0301546, 0.0872893, 0.117024, 0.163977, 0.243091, 0.381522, 0.622031", \ + "0.04658, 0.119321, 0.153125, 0.20334, 0.28221, 0.413301, 0.641234", \ + "0.0726647, 0.169352, 0.21371, 0.273093, 0.359773, 0.492925, 0.710566", \ + "0.116579, 0.248574, 0.306717, 0.38567, 0.492421, 0.644145, 0.870266", \ + "0.196975, 0.381276, 0.455144, 0.558453, 0.702164, 0.890173, 1.16037" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00180611, 0.00234648, 0.00234507, 0.00232058, 0.00219385, 0.0019617, 0.0018979", \ + "0.00230178, 0.00212441, 0.00220174, 0.00214534, 0.00247178, 0.00202147, 0.0018446", \ + "0.00308444, 0.00242577, 0.00228898, 0.00226472, 0.00224168, 0.00217953, 0.00213537", \ + "0.0046909, 0.0032335, 0.00295538, 0.00263428, 0.00234541, 0.00253754, 0.00181724", \ + "0.00840867, 0.00570573, 0.00502641, 0.00432326, 0.00371895, 0.00278797, 0.00262572", \ + "0.0156715, 0.0113347, 0.00995213, 0.00880586, 0.00727549, 0.00584175, 0.00426845", \ + "0.0306833, 0.0242565, 0.0218871, 0.019223, 0.0165745, 0.0132389, 0.0105957" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00178384, 0.00236838, 0.00247627, 0.00233583, 0.0022207, 0.00203114, 0.001764", \ + "0.00209166, 0.00216075, 0.00215181, 0.00226527, 0.00218045, 0.00195898, 0.00187695", \ + "0.00276713, 0.0022837, 0.00227445, 0.00217877, 0.00225558, 0.00205331, 0.00155036", \ + "0.00444585, 0.00303957, 0.00277496, 0.0026525, 0.00235759, 0.00220243, 0.00232674", \ + "0.00814286, 0.00523124, 0.00464542, 0.00399845, 0.0034484, 0.00289993, 0.00206877", \ + "0.0157354, 0.010762, 0.00941061, 0.00792667, 0.00643955, 0.00525585, 0.0042528", \ + "0.0311937, 0.0237984, 0.021034, 0.0182295, 0.0150775, 0.0121562, 0.00957416" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00307904; + rise_capacitance : 0.00312188; + rise_capacitance_range (0.00312188, 0.00312188); + fall_capacitance : 0.0030362; + fall_capacitance_range (0.0030362, 0.0030362); + } + } + cell (sg13g2_inv_16) { + area : 34.4736; + cell_footprint : "IN"; + cell_leakage_power : 1895.09; + leakage_power () { + value : 2525.59; + when : "A&!Y"; + } + leakage_power () { + value : 1264.6; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 4.8; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0119665, 0.0576853, 0.0854725, 0.131602, 0.208094, 0.335907, 0.548938", \ + "0.0202698, 0.0940922, 0.125816, 0.173516, 0.250407, 0.378273, 0.591076", \ + "0.0239072, 0.118724, 0.156859, 0.210683, 0.291353, 0.419659, 0.63251", \ + "0.0275832, 0.154586, 0.204339, 0.27081, 0.364522, 0.502336, 0.717412", \ + "0.031108, 0.198057, 0.265891, 0.354931, 0.474725, 0.639295, 0.876707", \ + "0.0317743, 0.253031, 0.344609, 0.46703, 0.628275, 0.84239, 1.13344", \ + "0.0317753, 0.318782, 0.439931, 0.605076, 0.828461, 1.12147, 1.50511" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00737098, 0.0652932, 0.106217, 0.173812, 0.286573, 0.474967, 0.788911", \ + "0.0186606, 0.080752, 0.116707, 0.178871, 0.28797, 0.474968, 0.78895", \ + "0.0265986, 0.0999882, 0.135945, 0.195164, 0.298132, 0.478527, 0.789488", \ + "0.0387467, 0.133214, 0.173065, 0.234211, 0.332681, 0.501673, 0.79875", \ + "0.0609402, 0.18669, 0.2384, 0.308543, 0.412776, 0.577331, 0.855573", \ + "0.0982036, 0.266715, 0.33746, 0.428918, 0.552966, 0.731007, 1.00894", \ + "0.168692, 0.389091, 0.484949, 0.611749, 0.781461, 0.997941, 1.31114" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.012056, 0.0520351, 0.0754199, 0.114019, 0.178674, 0.286339, 0.465994", \ + "0.0218618, 0.0899179, 0.118512, 0.160198, 0.225602, 0.333197, 0.512669", \ + "0.0264926, 0.115142, 0.149797, 0.198195, 0.269339, 0.37903, 0.558382", \ + "0.03572, 0.154386, 0.200213, 0.261269, 0.346083, 0.468207, 0.654284", \ + "0.0450609, 0.204786, 0.266923, 0.349348, 0.459174, 0.609144, 0.821221", \ + "0.058251, 0.275096, 0.35805, 0.470161, 0.620506, 0.817367, 1.08477", \ + "0.0772855, 0.369554, 0.484625, 0.634139, 0.836893, 1.10945, 1.46562" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00618201, 0.0524867, 0.0849022, 0.139006, 0.229392, 0.380432, 0.631809", \ + "0.0165515, 0.0700639, 0.0988501, 0.147438, 0.232572, 0.380588, 0.631876", \ + "0.024034, 0.0877499, 0.118122, 0.16582, 0.246664, 0.38783, 0.633219", \ + "0.0387463, 0.119535, 0.154428, 0.204954, 0.285474, 0.419334, 0.652016", \ + "0.0618358, 0.169904, 0.21446, 0.275156, 0.362779, 0.498845, 0.720708", \ + "0.102529, 0.248504, 0.306425, 0.387558, 0.495274, 0.649958, 0.87968", \ + "0.178848, 0.381621, 0.455839, 0.559435, 0.704184, 0.897577, 1.16913" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0267224, 0.0366462, 0.0369231, 0.0368769, 0.0339476, 0.0303341, 0.0305449", \ + "0.0378023, 0.0334877, 0.0346423, 0.0333258, 0.0395316, 0.029036, 0.0286268", \ + "0.0516113, 0.0381409, 0.0363743, 0.0357982, 0.0330763, 0.0342587, 0.0301291", \ + "0.0787352, 0.0512819, 0.047098, 0.0423308, 0.0375634, 0.0409375, 0.026937", \ + "0.139723, 0.0908244, 0.0796752, 0.0686698, 0.0587985, 0.0438231, 0.0399906", \ + "0.258079, 0.180656, 0.158968, 0.140212, 0.117105, 0.0942464, 0.0678973", \ + "0.499798, 0.387357, 0.349804, 0.305695, 0.264861, 0.214535, 0.176252" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0219098, 0.0335471, 0.0336114, 0.0328721, 0.0308399, 0.0280395, 0.0233843", \ + "0.0305083, 0.0301954, 0.0303834, 0.0319525, 0.0300188, 0.0260385, 0.0215284", \ + "0.0427813, 0.0323829, 0.0325609, 0.0305203, 0.0320666, 0.031063, 0.0207749", \ + "0.0715414, 0.0441225, 0.0407949, 0.0376047, 0.0322538, 0.0310298, 0.0238547", \ + "0.132808, 0.0799436, 0.0702378, 0.060213, 0.0513624, 0.0431816, 0.0308168", \ + "0.256748, 0.168034, 0.145793, 0.122747, 0.0992017, 0.0827114, 0.0645164", \ + "0.505595, 0.37666, 0.332279, 0.2869, 0.237534, 0.193796, 0.151722" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.04921; + rise_capacitance : 0.0498557; + rise_capacitance_range (0.0498557, 0.0498557); + fall_capacitance : 0.0485642; + fall_capacitance_range (0.0485642, 0.0485642); + } + } + cell (sg13g2_inv_2) { + area : 7.2576; + cell_footprint : "IN"; + cell_leakage_power : 236.879; + leakage_power () { + value : 315.681; + when : "A&!Y"; + } + leakage_power () { + value : 158.077; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0130041, 0.0570262, 0.0845758, 0.130083, 0.206048, 0.33268, 0.543551", \ + "0.0225642, 0.0934855, 0.125023, 0.172288, 0.248503, 0.37509, 0.586023", \ + "0.0268988, 0.117948, 0.155619, 0.209355, 0.289378, 0.416578, 0.627263", \ + "0.031563, 0.153661, 0.203297, 0.269405, 0.362571, 0.499332, 0.712513", \ + "0.0362812, 0.197, 0.264607, 0.353357, 0.472514, 0.636305, 0.871912", \ + "0.0384834, 0.251619, 0.343072, 0.465042, 0.625643, 0.839058, 1.1283", \ + "0.0384844, 0.317033, 0.437972, 0.60271, 0.82479, 1.11687, 1.49948" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00816665, 0.0642654, 0.104605, 0.171198, 0.28269, 0.468672, 0.778708", \ + "0.0201537, 0.0798427, 0.115291, 0.176566, 0.284142, 0.468673, 0.778709", \ + "0.0287636, 0.0991542, 0.134778, 0.193016, 0.29443, 0.472354, 0.780178", \ + "0.0418748, 0.132117, 0.171821, 0.232216, 0.32944, 0.495757, 0.788584", \ + "0.0647981, 0.18608, 0.237305, 0.307321, 0.409404, 0.572089, 0.845964", \ + "0.103136, 0.265603, 0.335878, 0.427072, 0.549936, 0.726062, 1.00047", \ + "0.174392, 0.387753, 0.483344, 0.61097, 0.77805, 0.992701, 1.29974" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0130058, 0.0513345, 0.0744727, 0.1126, 0.176455, 0.282833, 0.460373", \ + "0.0238869, 0.0891268, 0.117565, 0.158788, 0.223504, 0.329808, 0.507064", \ + "0.0293005, 0.114272, 0.148683, 0.196697, 0.267195, 0.375695, 0.552876", \ + "0.0395791, 0.153339, 0.199062, 0.259647, 0.343789, 0.464799, 0.648943", \ + "0.0501001, 0.203503, 0.26546, 0.347588, 0.456597, 0.605537, 0.815704", \ + "0.0648641, 0.273349, 0.356233, 0.467767, 0.617476, 0.813059, 1.07918", \ + "0.0856011, 0.367545, 0.482243, 0.631502, 0.832934, 1.10425, 1.45874" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00691693, 0.0515027, 0.0833727, 0.136526, 0.225648, 0.374155, 0.621521", \ + "0.0179828, 0.0691383, 0.0974277, 0.14524, 0.228977, 0.374517, 0.621667", \ + "0.0261124, 0.0868222, 0.116743, 0.163807, 0.243117, 0.381882, 0.622987", \ + "0.0414632, 0.11878, 0.153219, 0.203185, 0.282235, 0.41372, 0.641981", \ + "0.0656398, 0.168936, 0.213243, 0.272784, 0.359727, 0.49324, 0.711461", \ + "0.107588, 0.247524, 0.306125, 0.385336, 0.491963, 0.644339, 0.871018", \ + "0.184647, 0.380211, 0.454269, 0.557389, 0.701687, 0.893035, 1.16099" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00320472, 0.00436336, 0.00442855, 0.00432748, 0.00407116, 0.00362678, 0.003575", \ + "0.00439729, 0.00394327, 0.00409381, 0.00399067, 0.00388883, 0.00347694, 0.00268815", \ + "0.00604834, 0.00452814, 0.00442134, 0.00425897, 0.00394221, 0.00402732, 0.00307582", \ + "0.00946756, 0.00618079, 0.00565369, 0.00507761, 0.00445618, 0.004464, 0.00328743", \ + "0.017025, 0.0112059, 0.00978546, 0.00838336, 0.00714923, 0.00521188, 0.00496299", \ + "0.0317132, 0.0224256, 0.0196792, 0.0173164, 0.0143164, 0.0113793, 0.0082398", \ + "0.0619569, 0.0483189, 0.0435334, 0.0381939, 0.0327197, 0.0263292, 0.0209715" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0027317, 0.00408684, 0.00413627, 0.00402443, 0.00383629, 0.00348458, 0.00288271", \ + "0.0036431, 0.00365666, 0.00364149, 0.00387383, 0.00377107, 0.00324879, 0.00263195", \ + "0.00512036, 0.00391805, 0.00391423, 0.00374698, 0.00385266, 0.00329412, 0.00252321", \ + "0.00865704, 0.00541856, 0.00499111, 0.00463541, 0.00418592, 0.00369706, 0.00329488", \ + "0.016221, 0.00989639, 0.0086685, 0.00733069, 0.00625326, 0.0049344, 0.00329579", \ + "0.0316395, 0.0209277, 0.0182027, 0.0152152, 0.0122019, 0.00989828, 0.00794359", \ + "0.0627605, 0.0470933, 0.0414973, 0.0358643, 0.0295173, 0.0238687, 0.0187195" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00603165; + rise_capacitance : 0.00611394; + rise_capacitance_range (0.00611394, 0.00611394); + fall_capacitance : 0.00594935; + fall_capacitance_range (0.00594935, 0.00594935); + } + } + cell (sg13g2_inv_4) { + area : 10.8864; + cell_footprint : "IN"; + cell_leakage_power : 473.776; + leakage_power () { + value : 631.4; + when : "A&!Y"; + } + leakage_power () { + value : 316.153; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 1.2; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0121102, 0.0571798, 0.084878, 0.130591, 0.207, 0.334326, 0.54651", \ + "0.0207325, 0.0936103, 0.125245, 0.172775, 0.249236, 0.376648, 0.588719", \ + "0.0244967, 0.118062, 0.156204, 0.209897, 0.290333, 0.418238, 0.630222", \ + "0.0284008, 0.153939, 0.203726, 0.269977, 0.363471, 0.500802, 0.715123", \ + "0.0321939, 0.197148, 0.264986, 0.353865, 0.473539, 0.637941, 0.874534", \ + "0.0331625, 0.251849, 0.343273, 0.465791, 0.626698, 0.840907, 1.13108", \ + "0.0331635, 0.317252, 0.438599, 0.603615, 0.826116, 1.11821, 1.50274" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0075468, 0.0645871, 0.105048, 0.172048, 0.284227, 0.47123, 0.78288", \ + "0.0189943, 0.0800998, 0.115776, 0.177435, 0.285648, 0.47185, 0.782881", \ + "0.0271097, 0.0993173, 0.13505, 0.193785, 0.295808, 0.474796, 0.783519", \ + "0.0395037, 0.132446, 0.172376, 0.233002, 0.330655, 0.4981, 0.79278", \ + "0.0618615, 0.186142, 0.237813, 0.30768, 0.410602, 0.57391, 0.849993", \ + "0.0994131, 0.266018, 0.336461, 0.427934, 0.551314, 0.727804, 1.00367", \ + "0.170026, 0.388293, 0.483935, 0.611887, 0.779548, 0.99556, 1.30328" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0121932, 0.0517572, 0.0751834, 0.113852, 0.178587, 0.286394, 0.466294", \ + "0.0222762, 0.0895668, 0.118338, 0.160017, 0.225528, 0.333224, 0.512949", \ + "0.0270969, 0.114793, 0.149516, 0.197969, 0.269148, 0.37903, 0.558636", \ + "0.036611, 0.153992, 0.199997, 0.26111, 0.346027, 0.468245, 0.6546", \ + "0.0463156, 0.20426, 0.266587, 0.34913, 0.459168, 0.609318, 0.821667", \ + "0.059876, 0.274705, 0.357649, 0.469868, 0.620453, 0.817523, 1.08547", \ + "0.0795709, 0.368848, 0.483631, 0.633845, 0.836668, 1.10972, 1.46612" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00635609, 0.0521526, 0.0844836, 0.138445, 0.228588, 0.379228, 0.6302", \ + "0.0169193, 0.0697676, 0.0983853, 0.146913, 0.231803, 0.379538, 0.630201", \ + "0.0245375, 0.0874984, 0.117807, 0.165426, 0.245865, 0.386596, 0.631175", \ + "0.0394197, 0.119479, 0.15426, 0.204811, 0.284815, 0.418199, 0.650268", \ + "0.062854, 0.16906, 0.214351, 0.274962, 0.36314, 0.497357, 0.718952", \ + "0.103865, 0.248279, 0.307427, 0.387405, 0.495096, 0.649631, 0.878109", \ + "0.180289, 0.381313, 0.45561, 0.559757, 0.704999, 0.89759, 1.16876" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00640642, 0.00885941, 0.0088587, 0.0087074, 0.00823602, 0.0073573, 0.00743602", \ + "0.00900921, 0.0079957, 0.00829294, 0.00806005, 0.00933039, 0.00744734, 0.00537949", \ + "0.0123668, 0.00920666, 0.00869074, 0.00861069, 0.00815337, 0.00827715, 0.00572418", \ + "0.0191871, 0.0123795, 0.0114368, 0.0102322, 0.00891524, 0.00843369, 0.00809496", \ + "0.0343616, 0.0223074, 0.0196289, 0.0166929, 0.0141916, 0.0104413, 0.0101807", \ + "0.0637929, 0.0448016, 0.0391827, 0.0345328, 0.0285642, 0.0225656, 0.0161778", \ + "0.124473, 0.0964893, 0.0870107, 0.0761637, 0.0652964, 0.0523315, 0.0418361" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00527355, 0.00814489, 0.00837961, 0.00804037, 0.00756677, 0.00693197, 0.00582622", \ + "0.00728798, 0.00725223, 0.00725387, 0.00774901, 0.00721889, 0.0064195, 0.00538839", \ + "0.0103126, 0.00777472, 0.00782301, 0.00738225, 0.00768197, 0.00643856, 0.00463867", \ + "0.0174675, 0.0108054, 0.00992282, 0.00921813, 0.00791271, 0.00739328, 0.00601021", \ + "0.0327285, 0.0195451, 0.0172193, 0.0146638, 0.0127826, 0.0104903, 0.0071401", \ + "0.0635644, 0.0417839, 0.0361104, 0.0304377, 0.0243098, 0.0200429, 0.0155405", \ + "0.125876, 0.0939353, 0.0825595, 0.0712813, 0.0587933, 0.0474107, 0.0373595" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0120321; + rise_capacitance : 0.0121927; + rise_capacitance_range (0.0121927, 0.0121927); + fall_capacitance : 0.0118715; + fall_capacitance_range (0.0118715, 0.0118715); + } + } + cell (sg13g2_inv_8) { + area : 18.144; + cell_footprint : "IN"; + cell_leakage_power : 947.576; + leakage_power () { + value : 1262.86; + when : "A&!Y"; + } + leakage_power () { + value : 632.292; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 2.4; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0118378, 0.0573782, 0.0851023, 0.130891, 0.20739, 0.334857, 0.547176", \ + "0.020088, 0.0938185, 0.125442, 0.173081, 0.249747, 0.37718, 0.589469", \ + "0.0236546, 0.118321, 0.156461, 0.210219, 0.290692, 0.418703, 0.631004", \ + "0.0272718, 0.154088, 0.203926, 0.270236, 0.363836, 0.501428, 0.715858", \ + "0.0307286, 0.19736, 0.26529, 0.354254, 0.474049, 0.638322, 0.875253", \ + "0.0311539, 0.252203, 0.343633, 0.466321, 0.627419, 0.841396, 1.13177", \ + "0.0311549, 0.31764, 0.439038, 0.604078, 0.827157, 1.11866, 1.50326" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00734751, 0.0647279, 0.105248, 0.172322, 0.284644, 0.471878, 0.783995", \ + "0.0185543, 0.0802173, 0.115962, 0.177651, 0.28605, 0.472156, 0.784053", \ + "0.0264304, 0.0995411, 0.135207, 0.194103, 0.29621, 0.47538, 0.784054", \ + "0.038556, 0.132555, 0.172604, 0.233282, 0.33101, 0.498805, 0.793791", \ + "0.0608159, 0.18664, 0.237991, 0.307961, 0.411435, 0.574775, 0.85093", \ + "0.0978932, 0.266212, 0.336672, 0.42808, 0.551819, 0.728966, 1.00473", \ + "0.168227, 0.388599, 0.484191, 0.611913, 0.780647, 0.997346, 1.30462" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0119782, 0.0519451, 0.0753819, 0.114078, 0.178863, 0.286753, 0.466839", \ + "0.0217053, 0.0897582, 0.118558, 0.160253, 0.225821, 0.333558, 0.513502", \ + "0.0263054, 0.114979, 0.149793, 0.198252, 0.26952, 0.379449, 0.559239", \ + "0.0355024, 0.154205, 0.200234, 0.261354, 0.346285, 0.468624, 0.65502", \ + "0.0447612, 0.204532, 0.266825, 0.349433, 0.459498, 0.609637, 0.822312", \ + "0.057956, 0.275017, 0.357955, 0.470125, 0.620811, 0.818036, 1.08625", \ + "0.0770229, 0.369019, 0.484447, 0.634569, 0.837077, 1.10977, 1.46699" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00614118, 0.0522365, 0.0846141, 0.138615, 0.228839, 0.37958, 0.630405", \ + "0.0164678, 0.0698804, 0.098481, 0.147045, 0.23216, 0.379908, 0.630571", \ + "0.0239202, 0.0875469, 0.117881, 0.165421, 0.246086, 0.386946, 0.632689", \ + "0.0386007, 0.119412, 0.154366, 0.20496, 0.285135, 0.418543, 0.650657", \ + "0.0617326, 0.169544, 0.2142, 0.275082, 0.362641, 0.498383, 0.719258", \ + "0.102257, 0.248433, 0.306394, 0.387644, 0.495439, 0.649851, 0.879864", \ + "0.178543, 0.381307, 0.455868, 0.559247, 0.705279, 0.898333, 1.16926" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0127598, 0.0176132, 0.0178359, 0.0174995, 0.0165311, 0.0147629, 0.013913", \ + "0.0181493, 0.015987, 0.0167128, 0.0161342, 0.0183181, 0.0147355, 0.0110666", \ + "0.0248903, 0.0184635, 0.0172839, 0.017054, 0.0159079, 0.0167432, 0.0136106", \ + "0.0387794, 0.0248208, 0.023, 0.0202146, 0.0182516, 0.0174272, 0.0131184", \ + "0.0694495, 0.0447898, 0.039318, 0.0334298, 0.0290372, 0.021203, 0.0200017", \ + "0.128259, 0.0896653, 0.0784213, 0.0692395, 0.0574236, 0.0457626, 0.0323635", \ + "0.249229, 0.19303, 0.17407, 0.152299, 0.131226, 0.10531, 0.0829829" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0104627, 0.0163031, 0.0167103, 0.0160898, 0.0151293, 0.0137919, 0.0114793", \ + "0.0147642, 0.0145191, 0.0145313, 0.0155338, 0.0156109, 0.0127581, 0.0108944", \ + "0.0208991, 0.0155386, 0.0157104, 0.0147374, 0.0155249, 0.0139231, 0.0108333", \ + "0.0352985, 0.0214678, 0.0198338, 0.018434, 0.0163026, 0.0149134, 0.0130989", \ + "0.0658985, 0.0392843, 0.0342683, 0.0293149, 0.0250042, 0.0202215, 0.0137948", \ + "0.127788, 0.0836055, 0.0720238, 0.0608679, 0.0488683, 0.040621, 0.03242", \ + "0.252569, 0.187736, 0.165376, 0.142674, 0.117673, 0.0946617, 0.0743239" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0240502; + rise_capacitance : 0.0243709; + rise_capacitance_range (0.0243709, 0.0243709); + fall_capacitance : 0.0237295; + fall_capacitance_range (0.0237295, 0.0237295); + } + } + cell (sg13g2_lgcp_1) { + area : 27.216; + cell_footprint : "gclk"; + clock_gating_integrated_cell : "latch_posedge"; + dont_use : true; + cell_leakage_power : 828.583; + leakage_power () { + value : 804.308; + when : "!CLK&GATE&!GCLK"; + } + leakage_power () { + value : 867.509; + when : "CLK&GATE&GCLK"; + } + leakage_power () { + value : 813.933; + when : "!GATE&!GCLK"; + } + statetable ("CLK GATE", "int_GATE") { + table : "L L : - : L ,\ + L H : - : H ,\ + H - : - : N "; + } + pin (int_GATE) { + direction : "internal"; + internal_node : "int_GATE"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : "output"; + state_function : "CLK * int_GATE"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0527745, 0.0978943, 0.12611, 0.171353, 0.247258, 0.3741, 0.584966", \ + "0.0743862, 0.120675, 0.148572, 0.194168, 0.270218, 0.396928, 0.608199", \ + "0.0881657, 0.135706, 0.163658, 0.209381, 0.285502, 0.412082, 0.622995", \ + "0.109934, 0.160226, 0.188317, 0.233948, 0.310169, 0.436795, 0.647708", \ + "0.135707, 0.192219, 0.220803, 0.266544, 0.342689, 0.469321, 0.680233", \ + "0.173402, 0.240462, 0.270796, 0.317924, 0.395298, 0.522472, 0.733643", \ + "0.224963, 0.306774, 0.342106, 0.392405, 0.4728, 0.604103, 0.818331" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0129982, 0.0679904, 0.108137, 0.174116, 0.285377, 0.471093, 0.780703", \ + "0.0148995, 0.0687149, 0.108144, 0.174232, 0.285442, 0.471114, 0.781487", \ + "0.0168434, 0.0695608, 0.108652, 0.174688, 0.285657, 0.471115, 0.781488", \ + "0.0207185, 0.0714459, 0.10983, 0.175207, 0.286185, 0.471493, 0.781489", \ + "0.0277299, 0.0764384, 0.113148, 0.1771, 0.287202, 0.472365, 0.78149", \ + "0.037814, 0.087641, 0.121686, 0.183419, 0.291606, 0.475132, 0.782857", \ + "0.053057, 0.107898, 0.140693, 0.199215, 0.30513, 0.487329, 0.792206" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0428334, 0.080896, 0.1043, 0.142571, 0.206605, 0.312985, 0.490178", \ + "0.070134, 0.109541, 0.13306, 0.1715, 0.235452, 0.341941, 0.519106", \ + "0.0858198, 0.126874, 0.150472, 0.188939, 0.253, 0.359536, 0.536738", \ + "0.109203, 0.152892, 0.176528, 0.214977, 0.279025, 0.385502, 0.562787", \ + "0.134717, 0.183285, 0.206846, 0.24538, 0.309441, 0.415842, 0.593127", \ + "0.169131, 0.225374, 0.25066, 0.29033, 0.354872, 0.461982, 0.63939", \ + "0.211183, 0.280145, 0.308517, 0.34983, 0.416289, 0.525628, 0.705694" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.010441, 0.0545359, 0.0863205, 0.139142, 0.228129, 0.376209, 0.622962", \ + "0.0132949, 0.0555283, 0.0868929, 0.139514, 0.22813, 0.37621, 0.623184", \ + "0.0159808, 0.0566453, 0.0876452, 0.140096, 0.228562, 0.37634, 0.623585", \ + "0.0202859, 0.0588171, 0.0889784, 0.140852, 0.229251, 0.376944, 0.623586", \ + "0.0261436, 0.0634125, 0.0921764, 0.142899, 0.230483, 0.377967, 0.624095", \ + "0.035481, 0.072385, 0.09915, 0.148097, 0.234281, 0.380889, 0.626659", \ + "0.050383, 0.089706, 0.114013, 0.159986, 0.244462, 0.390387, 0.634424" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118501, 0.0123954, 0.0125112, 0.0123666, 0.0122127, 0.0122751, 0.0120403", \ + "0.0116176, 0.0120039, 0.012087, 0.0120856, 0.0119194, 0.0116592, 0.0119056", \ + "0.0117774, 0.0120607, 0.0121037, 0.012059, 0.0122079, 0.0119893, 0.0117883", \ + "0.0124658, 0.0125546, 0.0126098, 0.0126658, 0.0125707, 0.0127077, 0.0126933", \ + "0.0148679, 0.0145159, 0.0145127, 0.0145758, 0.0145821, 0.0145265, 0.0141784", \ + "0.0204293, 0.0194381, 0.0193328, 0.0194035, 0.0194215, 0.0194766, 0.0194175", \ + "0.0323863, 0.0305053, 0.030367, 0.0300056, 0.0299903, 0.029985, 0.0299976" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0070256, 0.0076771, 0.0076934, 0.0076309, 0.0075834, 0.0073903, 0.006912", \ + "0.0071623, 0.0075518, 0.007603, 0.0078041, 0.0075457, 0.0073709, 0.0070101", \ + "0.0076761, 0.0079977, 0.0080578, 0.0080228, 0.0081895, 0.0078586, 0.0075229", \ + "0.008959, 0.0089795, 0.0090639, 0.0091779, 0.0090006, 0.0089269, 0.0090111", \ + "0.0117395, 0.0114108, 0.0114061, 0.0114887, 0.0115442, 0.0114981, 0.0107445", \ + "0.0170854, 0.0161711, 0.0160889, 0.0161152, 0.0160442, 0.0160861, 0.0162039", \ + "0.0294059, 0.0273346, 0.0270958, 0.0268715, 0.0267095, 0.0267445, 0.0267901" \ + ); + } + } + } + pin (CLK) { + clock_gate_clock_pin : true; + direction : "input"; + clock : true; + max_transition : 2.5074; + capacitance : 0.00533997; + rise_capacitance : 0.00540898; + rise_capacitance_range (0.00540898, 0.00540898); + fall_capacitance : 0.00527095; + fall_capacitance_range (0.00527095, 0.00527095); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.150146, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0671387, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00782593, 0.00778002, 0.00820079, 0.00949126, 0.0125035, 0.0190561, 0.032543" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0100768, 0.0101004, 0.0106304, 0.0119109, 0.0149225, 0.0215653, 0.0347008" \ + ); + } + } + } + pin (GATE) { + clock_gate_enable_pin : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00249914; + rise_capacitance : 0.0028085; + rise_capacitance_range (0.0028085, 0.0028085); + fall_capacitance : 0.00218977; + fall_capacitance_range (0.00218977, 0.00218977); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0253218, -0.00973947, -0.0118599, -0.0249432", \ + "-0.103562, -0.0719899, -0.0713986, -0.0831961", \ + "-0.160449, -0.130163, -0.13222, -0.139957", \ + "-0.223446, -0.191874, -0.201863, -0.21907" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.00873186, 0.0586419, 0.0910112, 0.113493", \ + "-0.0742588, 0.00110989, 0.0441859, 0.0759484", \ + "-0.109014, -0.0309333, 0.0134918, 0.0493152", \ + "-0.133621, -0.0582518, -0.00976587, 0.0285792" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0526055, 0.0395457, 0.0427212, 0.0605548", \ + "0.143389, 0.114339, 0.112231, 0.123356", \ + "0.211885, 0.181549, 0.18079, 0.187981", \ + "0.293277, 0.256232, 0.255537, 0.269246" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0293393, -0.0451479, -0.0781524, -0.0966433", \ + "0.104107, 0.0256219, -0.0166635, -0.0498586", \ + "0.14759, 0.0638222, 0.0188885, -0.0182407", \ + "0.184663, 0.0981831, 0.0493152, 0.0107101" \ + ); + } + } + internal_power () { + when : "!CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0240534, 0.0245098, 0.0247089, 0.0254462, 0.0275823, 0.0329231, 0.0440867" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0200933, 0.034681, 0.0355291, 0.0364488, 0.0388891, 0.0439565, 0.0548913" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0240534, 0.0245098, 0.0247089, 0.0254462, 0.0275823, 0.0329231, 0.0440867" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0200933, 0.034681, 0.0355291, 0.0364488, 0.0388891, 0.0439565, 0.0548913" \ + ); + } + } + } + } + cell (sg13g2_mux2_1) { + area : 18.144; + cell_footprint : "mux2"; + cell_leakage_power : 559.069; + leakage_power () { + value : 535.327; + when : "!A0&!A1&!S"; + } + leakage_power () { + value : 504.678; + when : "A0&!A1&!S"; + } + leakage_power () { + value : 481.218; + when : "!A0&A1&!S"; + } + leakage_power () { + value : 608.29; + when : "A0&A1&!S"; + } + leakage_power () { + value : 588.713; + when : "!A0&!A1&S"; + } + leakage_power () { + value : 534.601; + when : "A0&!A1&S"; + } + leakage_power () { + value : 558.065; + when : "!A0&A1&S"; + } + leakage_power () { + value : 661.66; + when : "A0&A1&S"; + } + pin (X) { + direction : "output"; + function : "(!S*A0)+(S*A1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0519206, 0.0995161, 0.127331, 0.172891, 0.248872, 0.375572, 0.58648", \ + "0.0775909, 0.126989, 0.155069, 0.200767, 0.276782, 0.403434, 0.615456", \ + "0.0933013, 0.145011, 0.173047, 0.218795, 0.295015, 0.42158, 0.63256", \ + "0.118628, 0.173877, 0.202451, 0.248287, 0.324301, 0.451172, 0.662069", \ + "0.149296, 0.21178, 0.240563, 0.286195, 0.362634, 0.489414, 0.70047", \ + "0.189341, 0.263424, 0.295081, 0.341703, 0.418295, 0.545705, 0.756808", \ + "0.239494, 0.329455, 0.366306, 0.417703, 0.496627, 0.626637, 0.839518" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0162136, 0.0694739, 0.108668, 0.174684, 0.285918, 0.471691, 0.781211", \ + "0.0198886, 0.0707848, 0.109436, 0.175057, 0.286115, 0.472502, 0.782498", \ + "0.0233382, 0.0721358, 0.110308, 0.175681, 0.286559, 0.472503, 0.782499", \ + "0.0287968, 0.074789, 0.112005, 0.176506, 0.28705, 0.472504, 0.7825", \ + "0.0373549, 0.0810621, 0.1157, 0.178481, 0.287989, 0.473176, 0.782501", \ + "0.05026, 0.095099, 0.12672, 0.185135, 0.29196, 0.475643, 0.783761", \ + "0.067151, 0.119629, 0.149731, 0.204056, 0.305485, 0.486133, 0.791465" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0622684, 0.109865, 0.134482, 0.17329, 0.237367, 0.344234, 0.522485", \ + "0.0894388, 0.13916, 0.164318, 0.203476, 0.267666, 0.374419, 0.552468", \ + "0.106448, 0.158413, 0.183976, 0.223855, 0.288303, 0.394935, 0.573087", \ + "0.132611, 0.188513, 0.214926, 0.254539, 0.319671, 0.426742, 0.605093", \ + "0.164269, 0.226405, 0.253745, 0.294457, 0.360116, 0.46718, 0.645278", \ + "0.209841, 0.282364, 0.31185, 0.354787, 0.421416, 0.529053, 0.708512", \ + "0.274199, 0.359895, 0.393436, 0.441641, 0.511439, 0.622922, 0.805352" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0177621, 0.0624861, 0.0927163, 0.144249, 0.232395, 0.381165, 0.629672", \ + "0.0206569, 0.0639675, 0.0938121, 0.144911, 0.232648, 0.381712, 0.62971", \ + "0.0238391, 0.0659908, 0.0954418, 0.146016, 0.233495, 0.381713, 0.629843", \ + "0.0288039, 0.0698358, 0.0984069, 0.147963, 0.234753, 0.382703, 0.630388", \ + "0.0364088, 0.0766806, 0.103917, 0.151333, 0.236845, 0.383792, 0.63151", \ + "0.047468, 0.089458, 0.115293, 0.160777, 0.242351, 0.386638, 0.633221", \ + "0.063557, 0.110787, 0.135432, 0.178376, 0.257246, 0.399517, 0.642186" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0503123, 0.0985189, 0.126011, 0.171211, 0.246596, 0.372834, 0.583611", \ + "0.0779971, 0.128635, 0.156722, 0.202286, 0.277744, 0.403804, 0.614517", \ + "0.0942623, 0.147274, 0.175296, 0.220902, 0.296818, 0.422965, 0.633322", \ + "0.119642, 0.176889, 0.205404, 0.251219, 0.327371, 0.454042, 0.664456", \ + "0.151494, 0.215793, 0.24467, 0.290395, 0.366807, 0.493821, 0.70479", \ + "0.1934, 0.270147, 0.301212, 0.348397, 0.424945, 0.552338, 0.763345", \ + "0.247126, 0.338268, 0.374915, 0.426817, 0.505435, 0.635267, 0.848268" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170756, 0.0698494, 0.108856, 0.174818, 0.285967, 0.471564, 0.781225", \ + "0.0209456, 0.0711015, 0.109582, 0.175062, 0.286053, 0.471747, 0.781383", \ + "0.0246486, 0.0725402, 0.110518, 0.175706, 0.286509, 0.471826, 0.781384", \ + "0.0307072, 0.0754793, 0.112176, 0.176493, 0.287042, 0.472428, 0.781462", \ + "0.0402436, 0.0820349, 0.116172, 0.178554, 0.287998, 0.472993, 0.782398", \ + "0.054689, 0.09639, 0.126731, 0.185221, 0.291605, 0.475222, 0.783743", \ + "0.073207, 0.12079, 0.149712, 0.203776, 0.304608, 0.485017, 0.790914" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0644413, 0.113836, 0.138767, 0.178109, 0.242681, 0.349884, 0.528399", \ + "0.0910612, 0.14192, 0.167253, 0.206763, 0.271418, 0.378636, 0.557197", \ + "0.108337, 0.161457, 0.187153, 0.226941, 0.291925, 0.399213, 0.577788", \ + "0.13542, 0.192248, 0.218699, 0.258338, 0.323714, 0.431274, 0.609963", \ + "0.167286, 0.230644, 0.258046, 0.299491, 0.364444, 0.471967, 0.65061", \ + "0.215966, 0.287998, 0.318252, 0.361291, 0.4274, 0.535898, 0.714339", \ + "0.282826, 0.367876, 0.402628, 0.450195, 0.520588, 0.632435, 0.813672" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.019205, 0.0627503, 0.0928865, 0.144144, 0.23238, 0.381006, 0.629633", \ + "0.0221598, 0.0643342, 0.0939383, 0.144831, 0.23259, 0.38113, 0.62971", \ + "0.0257235, 0.0663287, 0.0955405, 0.146131, 0.233418, 0.381347, 0.629866", \ + "0.0310393, 0.0702302, 0.0984878, 0.147943, 0.2347, 0.382539, 0.630256", \ + "0.0395814, 0.0772809, 0.103715, 0.152052, 0.236688, 0.383674, 0.631488", \ + "0.051239, 0.08968, 0.115216, 0.160279, 0.242314, 0.386577, 0.63305", \ + "0.06689, 0.110982, 0.135093, 0.17881, 0.258192, 0.400091, 0.642911" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0571704, 0.102672, 0.130505, 0.176261, 0.252337, 0.379067, 0.589902", \ + "0.0813325, 0.127826, 0.155757, 0.201493, 0.277689, 0.404412, 0.615572", \ + "0.0971382, 0.144818, 0.172867, 0.218632, 0.294903, 0.421654, 0.632653", \ + "0.122276, 0.172528, 0.200563, 0.246444, 0.322752, 0.449595, 0.660612", \ + "0.15286, 0.208383, 0.236991, 0.28294, 0.359219, 0.486148, 0.697121", \ + "0.194588, 0.259699, 0.289438, 0.336285, 0.413158, 0.540671, 0.752075", \ + "0.249398, 0.327718, 0.361525, 0.410515, 0.489899, 0.619523, 0.832997" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0142077, 0.0688853, 0.108553, 0.174897, 0.286175, 0.471789, 0.781259", \ + "0.0158398, 0.0695585, 0.108924, 0.175004, 0.286285, 0.472118, 0.781605", \ + "0.0176924, 0.0703242, 0.109441, 0.175359, 0.286536, 0.472119, 0.781606", \ + "0.0211282, 0.0720338, 0.110374, 0.175839, 0.28686, 0.472338, 0.781633", \ + "0.0272939, 0.0759885, 0.112933, 0.177334, 0.287736, 0.472957, 0.782011", \ + "0.036274, 0.08537, 0.119711, 0.18184, 0.290797, 0.47514, 0.783647", \ + "0.049513, 0.102985, 0.135146, 0.193807, 0.300606, 0.483473, 0.789887" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0719642, 0.116077, 0.140333, 0.179379, 0.24399, 0.351291, 0.529933", \ + "0.0949082, 0.140031, 0.164502, 0.2036, 0.268318, 0.375568, 0.554231", \ + "0.109641, 0.156336, 0.181019, 0.220335, 0.285075, 0.392503, 0.571196", \ + "0.133006, 0.182447, 0.20775, 0.247051, 0.312055, 0.419483, 0.598216", \ + "0.16121, 0.215837, 0.242074, 0.281806, 0.347084, 0.454642, 0.633606", \ + "0.203317, 0.264956, 0.293436, 0.334576, 0.401112, 0.509697, 0.688379", \ + "0.260293, 0.333608, 0.365041, 0.409681, 0.479032, 0.590584, 0.773502" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157914, 0.0599512, 0.0908418, 0.143114, 0.232202, 0.381183, 0.629755", \ + "0.0171076, 0.0607165, 0.0914753, 0.143629, 0.232298, 0.381802, 0.629948", \ + "0.0188294, 0.06213, 0.0924738, 0.144238, 0.232706, 0.381803, 0.63023", \ + "0.0219844, 0.0646144, 0.0944864, 0.14539, 0.233467, 0.381987, 0.63036", \ + "0.0272357, 0.0697143, 0.0983525, 0.148056, 0.234985, 0.382912, 0.631071", \ + "0.034987, 0.078269, 0.106257, 0.154318, 0.239805, 0.386054, 0.632881", \ + "0.047054, 0.093225, 0.120343, 0.167286, 0.251717, 0.397142, 0.642684" \ + ); + } + } + timing () { + related_pin : "S"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0571704, 0.102672, 0.130505, 0.176261, 0.252337, 0.379067, 0.589902", \ + "0.0813325, 0.127826, 0.155757, 0.201493, 0.277689, 0.404412, 0.615572", \ + "0.0971382, 0.144818, 0.172867, 0.218632, 0.294903, 0.421654, 0.632653", \ + "0.122276, 0.172528, 0.200563, 0.246444, 0.322752, 0.449595, 0.660612", \ + "0.15286, 0.208383, 0.236991, 0.28294, 0.359219, 0.486148, 0.697121", \ + "0.194588, 0.259699, 0.289438, 0.336285, 0.413158, 0.540671, 0.752075", \ + "0.249398, 0.327718, 0.361525, 0.410515, 0.489899, 0.619523, 0.832997" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0142077, 0.0688853, 0.108553, 0.174897, 0.286175, 0.471789, 0.781259", \ + "0.0158398, 0.0695585, 0.108924, 0.175004, 0.286285, 0.472118, 0.781605", \ + "0.0176924, 0.0703242, 0.109441, 0.175359, 0.286536, 0.472119, 0.781606", \ + "0.0211282, 0.0720338, 0.110374, 0.175839, 0.28686, 0.472338, 0.781633", \ + "0.0272939, 0.0759885, 0.112933, 0.177334, 0.287736, 0.472957, 0.782011", \ + "0.036274, 0.08537, 0.119711, 0.18184, 0.290797, 0.47514, 0.783647", \ + "0.049513, 0.102985, 0.135146, 0.193807, 0.300606, 0.483473, 0.789887" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0719642, 0.116077, 0.140333, 0.179379, 0.24399, 0.351291, 0.529933", \ + "0.0949082, 0.140031, 0.164502, 0.2036, 0.268318, 0.375568, 0.554231", \ + "0.109641, 0.156336, 0.181019, 0.220335, 0.285075, 0.392503, 0.571196", \ + "0.133006, 0.182447, 0.20775, 0.247051, 0.312055, 0.419483, 0.598216", \ + "0.16121, 0.215837, 0.242074, 0.281806, 0.347084, 0.454642, 0.633606", \ + "0.203317, 0.264956, 0.293436, 0.334576, 0.401112, 0.509697, 0.688379", \ + "0.260293, 0.333608, 0.365041, 0.409681, 0.479032, 0.590584, 0.773502" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157914, 0.0599512, 0.0908418, 0.143114, 0.232202, 0.381183, 0.629755", \ + "0.0171076, 0.0607165, 0.0914753, 0.143629, 0.232298, 0.381802, 0.629948", \ + "0.0188294, 0.06213, 0.0924738, 0.144238, 0.232706, 0.381803, 0.63023", \ + "0.0219844, 0.0646144, 0.0944864, 0.14539, 0.233467, 0.381987, 0.63036", \ + "0.0272357, 0.0697143, 0.0983525, 0.148056, 0.234985, 0.382912, 0.631071", \ + "0.034987, 0.078269, 0.106257, 0.154318, 0.239805, 0.386054, 0.632881", \ + "0.047054, 0.093225, 0.120343, 0.167286, 0.251717, 0.397142, 0.642684" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0808086, 0.126229, 0.154086, 0.199748, 0.275865, 0.402505, 0.613536", \ + "0.105312, 0.150758, 0.17863, 0.224259, 0.300413, 0.427122, 0.638327", \ + "0.119154, 0.164611, 0.192493, 0.23814, 0.3142, 0.440875, 0.651826", \ + "0.139584, 0.185199, 0.213066, 0.258701, 0.334804, 0.461439, 0.672348", \ + "0.16318, 0.208977, 0.236934, 0.282666, 0.3589, 0.485566, 0.696446", \ + "0.193703, 0.240152, 0.268079, 0.313798, 0.390023, 0.516802, 0.727682", \ + "0.227752, 0.276041, 0.303699, 0.349492, 0.425612, 0.552509, 0.763749" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0144953, 0.0690129, 0.108615, 0.174867, 0.286197, 0.471762, 0.781294", \ + "0.0145002, 0.0690149, 0.108648, 0.174868, 0.286198, 0.472003, 0.781756", \ + "0.0145748, 0.0690739, 0.108649, 0.174869, 0.286199, 0.472004, 0.783095", \ + "0.0148155, 0.0691365, 0.10869, 0.17487, 0.2862, 0.472005, 0.783096", \ + "0.0153555, 0.0693259, 0.10871, 0.174922, 0.286201, 0.472006, 0.783097", \ + "0.016476, 0.069813, 0.109011, 0.175039, 0.286328, 0.472007, 0.783098", \ + "0.018737, 0.071008, 0.109577, 0.175339, 0.286448, 0.472008, 0.783099" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0938493, 0.137993, 0.162376, 0.201406, 0.265945, 0.373307, 0.551961", \ + "0.118618, 0.162743, 0.187151, 0.226168, 0.290778, 0.398235, 0.577115", \ + "0.133686, 0.178007, 0.202224, 0.241295, 0.305852, 0.413204, 0.591785", \ + "0.158427, 0.20276, 0.227003, 0.266057, 0.330719, 0.438044, 0.61665", \ + "0.190233, 0.234629, 0.259108, 0.298148, 0.362794, 0.470171, 0.648738", \ + "0.234921, 0.280295, 0.304716, 0.343854, 0.408521, 0.515935, 0.694635", \ + "0.296527, 0.343596, 0.368242, 0.407633, 0.472339, 0.579975, 0.758586" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160548, 0.0601473, 0.0911045, 0.143506, 0.232329, 0.38127, 0.629872", \ + "0.0160558, 0.0602172, 0.091126, 0.143507, 0.23233, 0.381271, 0.630243", \ + "0.0160834, 0.0602478, 0.091127, 0.143508, 0.232331, 0.381272, 0.631911", \ + "0.0161962, 0.0603141, 0.091128, 0.143509, 0.232332, 0.381273, 0.631912", \ + "0.0165055, 0.0604512, 0.0913011, 0.14351, 0.232333, 0.381274, 0.631913", \ + "0.017543, 0.061261, 0.091724, 0.143634, 0.232492, 0.381367, 0.631914", \ + "0.019694, 0.062799, 0.092809, 0.144414, 0.232787, 0.381368, 0.631915" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123784, 0.0128608, 0.0128565, 0.0128127, 0.0126725, 0.0124513, 0.0124296", \ + "0.0123089, 0.0124716, 0.0125687, 0.0126372, 0.0124126, 0.0123202, 0.0123939", \ + "0.0126922, 0.0128143, 0.0127978, 0.0128112, 0.0128467, 0.0125075, 0.0120792", \ + "0.0140397, 0.0138034, 0.0138857, 0.0139089, 0.0137009, 0.013879, 0.0134893", \ + "0.0171793, 0.0164349, 0.0163398, 0.0163317, 0.0163661, 0.016174, 0.0158992", \ + "0.0238332, 0.0223529, 0.0222319, 0.0220466, 0.021982, 0.0220435, 0.0218685", \ + "0.0371544, 0.0347805, 0.0345151, 0.0341067, 0.0337866, 0.0335818, 0.0334143" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157016, 0.0160081, 0.0157891, 0.015435, 0.0150574, 0.0146934, 0.0140672", \ + "0.0159806, 0.016262, 0.0162375, 0.0161565, 0.0156741, 0.0152892, 0.0144979", \ + "0.0165669, 0.016731, 0.0167472, 0.016641, 0.0165594, 0.015929, 0.0151456", \ + "0.0179485, 0.0179005, 0.0179921, 0.0179264, 0.0176427, 0.0173811, 0.0167303", \ + "0.0210794, 0.0204313, 0.0204536, 0.0204241, 0.0206168, 0.0204302, 0.0192859", \ + "0.0273421, 0.0259065, 0.0258517, 0.0259771, 0.0258543, 0.0258794, 0.0259057", \ + "0.0402933, 0.0379152, 0.0375141, 0.0372752, 0.0369503, 0.0368377, 0.0370925" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153306, 0.0156634, 0.0154563, 0.0151768, 0.0147763, 0.0143251, 0.0141033", \ + "0.0158806, 0.0161104, 0.0161848, 0.0161168, 0.0156653, 0.0151316, 0.0148702", \ + "0.016325, 0.016456, 0.0164431, 0.0164084, 0.0163191, 0.0158439, 0.0149667", \ + "0.017643, 0.0174496, 0.0175154, 0.0175543, 0.0173698, 0.0174426, 0.0163502", \ + "0.0208182, 0.0201339, 0.0200178, 0.0200253, 0.0200674, 0.0198714, 0.0195261", \ + "0.0274989, 0.025957, 0.0257692, 0.0256364, 0.0255994, 0.0256397, 0.0255211", \ + "0.0411876, 0.0384912, 0.0379872, 0.0375915, 0.0372628, 0.037238, 0.0368876" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122576, 0.0127229, 0.0127642, 0.0127402, 0.0126466, 0.0124328, 0.0120591", \ + "0.012295, 0.01247, 0.0125609, 0.0127446, 0.0125145, 0.0123377, 0.0119523", \ + "0.0128408, 0.0129073, 0.0129158, 0.0129226, 0.013134, 0.012815, 0.0123248", \ + "0.014239, 0.0140113, 0.014076, 0.0140592, 0.0139755, 0.0138354, 0.0135427", \ + "0.0174458, 0.0167057, 0.0166538, 0.0168593, 0.016838, 0.0166853, 0.0159445", \ + "0.0236435, 0.0221034, 0.0220828, 0.0220697, 0.0219784, 0.0220652, 0.0219576", \ + "0.0368441, 0.034323, 0.0339277, 0.0337476, 0.0335637, 0.0333847, 0.0334654" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(A0 * !A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121374, 0.0124342, 0.0124417, 0.0124131, 0.0123052, 0.0120278, 0.0119711", \ + "0.0120251, 0.0123713, 0.0124963, 0.0123735, 0.0122438, 0.0120309, 0.0119861", \ + "0.0119858, 0.0123577, 0.0123214, 0.0123895, 0.0121889, 0.0125816, 0.0121514", \ + "0.0119058, 0.0122686, 0.0123404, 0.0123186, 0.0121435, 0.0123946, 0.0117877", \ + "0.0119197, 0.0122386, 0.0123058, 0.0123597, 0.0124057, 0.0119222, 0.0120092", \ + "0.0116689, 0.0118354, 0.0119062, 0.011932, 0.0120009, 0.0120379, 0.0118166", \ + "0.0121777, 0.0123011, 0.012219, 0.0122065, 0.0122685, 0.0122361, 0.0123412" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012578, 0.0129777, 0.0130398, 0.0129974, 0.0129336, 0.0127051, 0.012319", \ + "0.0126099, 0.0129968, 0.0130687, 0.0131727, 0.0129925, 0.0127018, 0.0123877", \ + "0.0125872, 0.0130594, 0.0131037, 0.0130142, 0.0131472, 0.0129223, 0.0127235", \ + "0.0125448, 0.0129946, 0.0130728, 0.0131278, 0.0129626, 0.012764, 0.0130491", \ + "0.0125286, 0.0129217, 0.0129533, 0.0130871, 0.0131373, 0.0131269, 0.0123304", \ + "0.0125821, 0.0128614, 0.0128853, 0.01298, 0.0129574, 0.0130115, 0.0131317", \ + "0.0126333, 0.0127828, 0.0128459, 0.0127969, 0.0126974, 0.0129478, 0.0129725" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(!A0 * A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126051, 0.0130929, 0.0131389, 0.0131366, 0.013022, 0.0128033, 0.0127194", \ + "0.0125805, 0.0129028, 0.013012, 0.0130603, 0.0129167, 0.0127091, 0.0126637", \ + "0.0127622, 0.0130432, 0.0130941, 0.0130981, 0.0131548, 0.0130449, 0.0124327", \ + "0.0135536, 0.0136313, 0.013716, 0.0137687, 0.0136183, 0.0138592, 0.0132087", \ + "0.0159492, 0.0157103, 0.0157368, 0.0157937, 0.0159037, 0.0158059, 0.0155102", \ + "0.0217322, 0.0209134, 0.0208283, 0.0208451, 0.0209001, 0.0209538, 0.0208928", \ + "0.0335381, 0.0319635, 0.0317823, 0.0314282, 0.0314405, 0.0312123, 0.0313481" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011883, 0.0123674, 0.0124098, 0.0124036, 0.0123146, 0.01217, 0.0116773", \ + "0.0117991, 0.0121414, 0.0122324, 0.0123758, 0.0121536, 0.0120431, 0.0115751", \ + "0.0119715, 0.0122976, 0.0123495, 0.0122954, 0.0124292, 0.0121974, 0.0117322", \ + "0.0127596, 0.0129271, 0.0130492, 0.0131129, 0.0129106, 0.0129483, 0.0124787", \ + "0.0151907, 0.0150643, 0.0151185, 0.0151954, 0.0153428, 0.0152861, 0.0145841", \ + "0.0204374, 0.0197041, 0.0197687, 0.019773, 0.0198997, 0.0199188, 0.0198692", \ + "0.0323086, 0.0308213, 0.0307028, 0.0304786, 0.0304589, 0.0304321, 0.0308443" \ + ); + } + } + internal_power () { + related_pin : "S"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126051, 0.0130929, 0.0131389, 0.0131366, 0.013022, 0.0128033, 0.0127194", \ + "0.0125805, 0.0129028, 0.013012, 0.0130603, 0.0129167, 0.0127091, 0.0126637", \ + "0.0127622, 0.0130432, 0.0130941, 0.0130981, 0.0131548, 0.0130449, 0.0124327", \ + "0.0135536, 0.0136313, 0.013716, 0.0137687, 0.0136183, 0.0138592, 0.0132087", \ + "0.0159492, 0.0157103, 0.0157368, 0.0157937, 0.0159037, 0.0158059, 0.0155102", \ + "0.0217322, 0.0209134, 0.0208283, 0.0208451, 0.0209001, 0.0209538, 0.0208928", \ + "0.0335381, 0.0319635, 0.0317823, 0.0314282, 0.0314405, 0.0312123, 0.0313481" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011883, 0.0123674, 0.0124098, 0.0124036, 0.0123146, 0.01217, 0.0116773", \ + "0.0117991, 0.0121414, 0.0122324, 0.0123758, 0.0121536, 0.0120431, 0.0115751", \ + "0.0119715, 0.0122976, 0.0123495, 0.0122954, 0.0124292, 0.0121974, 0.0117322", \ + "0.0127596, 0.0129271, 0.0130492, 0.0131129, 0.0129106, 0.0129483, 0.0124787", \ + "0.0151907, 0.0150643, 0.0151185, 0.0151954, 0.0153428, 0.0152861, 0.0145841", \ + "0.0204374, 0.0197041, 0.0197687, 0.019773, 0.0198997, 0.0199188, 0.0198692", \ + "0.0323086, 0.0308213, 0.0307028, 0.0304786, 0.0304589, 0.0304321, 0.0308443" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00214791; + rise_capacitance : 0.0038601; + rise_capacitance_range (0.0038601, 0.0038601); + fall_capacitance : 0.000435721; + fall_capacitance_range (0.000435721, 0.000435721); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0022543; + rise_capacitance : 0.000596525; + rise_capacitance_range (0.000596525, 0.000596525); + fall_capacitance : 0.00391208; + fall_capacitance_range (0.00391208, 0.00391208); + } + pin (S) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00552113; + rise_capacitance : 0.00554507; + rise_capacitance_range (0.00554507, 0.00554507); + fall_capacitance : 0.0054972; + fall_capacitance_range (0.0054972, 0.0054972); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00420853, 0.00407094, 0.00439863, 0.00544344, 0.00786796, 0.0131157, 0.0242899" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00479606, 0.00485883, 0.00528513, 0.00632428, 0.00875364, 0.0141213, 0.0245029" \ + ); + } + } + } + } + cell (sg13g2_mux2_2) { + area : 19.9584; + cell_footprint : "mux2"; + cell_leakage_power : 677.512; + leakage_power () { + value : 693.176; + when : "!A0&!A1&!S"; + } + leakage_power () { + value : 583.714; + when : "A0&!A1&!S"; + } + leakage_power () { + value : 639.066; + when : "!A0&A1&!S"; + } + leakage_power () { + value : 687.326; + when : "A0&A1&!S"; + } + leakage_power () { + value : 746.562; + when : "!A0&!A1&S"; + } + leakage_power () { + value : 692.45; + when : "A0&!A1&S"; + } + leakage_power () { + value : 637.101; + when : "!A0&A1&S"; + } + leakage_power () { + value : 740.697; + when : "A0&A1&S"; + } + pin (X) { + direction : "output"; + function : "(!S*A0)+(S*A1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.059927, 0.114386, 0.142808, 0.18877, 0.265064, 0.39171, 0.602998", \ + "0.0896075, 0.145652, 0.174305, 0.220185, 0.296508, 0.42343, 0.634637", \ + "0.108769, 0.167296, 0.195913, 0.241945, 0.318201, 0.445045, 0.656266", \ + "0.138649, 0.201691, 0.230644, 0.276451, 0.352696, 0.479597, 0.690791", \ + "0.176783, 0.246899, 0.27693, 0.322868, 0.398929, 0.52519, 0.736576", \ + "0.229068, 0.309483, 0.34194, 0.388968, 0.465291, 0.592306, 0.802881", \ + "0.29402, 0.390121, 0.42973, 0.481895, 0.560229, 0.688613, 0.900653" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0173104, 0.0722074, 0.110845, 0.176394, 0.287584, 0.473515, 0.783617", \ + "0.0208667, 0.0735997, 0.111616, 0.176752, 0.287671, 0.473586, 0.783979", \ + "0.0246741, 0.0755121, 0.112903, 0.177437, 0.288026, 0.473715, 0.784367", \ + "0.0310475, 0.0793795, 0.115447, 0.178839, 0.289, 0.474286, 0.784368", \ + "0.0406406, 0.0870086, 0.120798, 0.181961, 0.290135, 0.475031, 0.78474", \ + "0.055712, 0.103118, 0.133074, 0.189654, 0.294621, 0.4775, 0.786054", \ + "0.077565, 0.132831, 0.160626, 0.211865, 0.30964, 0.487569, 0.792778" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0723321, 0.128226, 0.154047, 0.193855, 0.258416, 0.365237, 0.543138", \ + "0.106276, 0.163515, 0.189694, 0.229751, 0.294365, 0.400965, 0.578615", \ + "0.127263, 0.186528, 0.213292, 0.25366, 0.318598, 0.425299, 0.603106", \ + "0.159346, 0.222712, 0.250035, 0.290842, 0.356296, 0.463391, 0.641092", \ + "0.198398, 0.269633, 0.297659, 0.338476, 0.40483, 0.512413, 0.690392", \ + "0.255006, 0.335356, 0.367281, 0.411103, 0.477754, 0.585751, 0.763478", \ + "0.334415, 0.42729, 0.463301, 0.511834, 0.580599, 0.694609, 0.873103" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0206417, 0.0682689, 0.0982339, 0.149001, 0.235886, 0.383623, 0.631869", \ + "0.0231705, 0.0693542, 0.0988056, 0.149172, 0.236043, 0.383698, 0.63187", \ + "0.0266809, 0.0715409, 0.100712, 0.150456, 0.23675, 0.384064, 0.631871", \ + "0.0327933, 0.0763938, 0.104361, 0.153086, 0.238597, 0.385059, 0.632421", \ + "0.0431061, 0.0852561, 0.112061, 0.15794, 0.241674, 0.386769, 0.633734", \ + "0.057129, 0.101074, 0.12468, 0.169199, 0.248222, 0.39061, 0.63556", \ + "0.076692, 0.125935, 0.150569, 0.190617, 0.266115, 0.403379, 0.643594" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.053246, 0.108386, 0.136412, 0.181845, 0.257586, 0.384012, 0.594933", \ + "0.0886134, 0.145988, 0.174604, 0.220245, 0.296085, 0.422436, 0.633315", \ + "0.108952, 0.168784, 0.197546, 0.243564, 0.319529, 0.446021, 0.656531", \ + "0.139148, 0.204023, 0.233018, 0.279251, 0.355475, 0.481842, 0.692573", \ + "0.178161, 0.250165, 0.279946, 0.326022, 0.402029, 0.528664, 0.739721", \ + "0.231382, 0.314089, 0.346733, 0.3936, 0.47023, 0.597523, 0.808284", \ + "0.30029, 0.397562, 0.435345, 0.487268, 0.56558, 0.694352, 0.907034" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0178359, 0.072996, 0.11116, 0.176505, 0.287573, 0.473453, 0.783711", \ + "0.0216353, 0.0739398, 0.111854, 0.176885, 0.287675, 0.474171, 0.784026", \ + "0.0256236, 0.0757546, 0.113076, 0.177506, 0.288111, 0.474172, 0.784027", \ + "0.0324076, 0.0797482, 0.11552, 0.178945, 0.288869, 0.474173, 0.784028", \ + "0.0429067, 0.087864, 0.12116, 0.181956, 0.29024, 0.475102, 0.784627", \ + "0.059751, 0.103757, 0.133429, 0.189721, 0.294585, 0.477255, 0.78613", \ + "0.082251, 0.132749, 0.160299, 0.211035, 0.308937, 0.486727, 0.792475" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.077935, 0.135637, 0.162144, 0.20222, 0.267308, 0.37445, 0.552689", \ + "0.10763, 0.166273, 0.192755, 0.233177, 0.298194, 0.405453, 0.583554", \ + "0.12882, 0.189452, 0.216272, 0.256816, 0.32212, 0.429303, 0.607644", \ + "0.161426, 0.225863, 0.253139, 0.294331, 0.359821, 0.467298, 0.645509", \ + "0.202213, 0.273654, 0.302341, 0.344935, 0.409999, 0.517538, 0.69591", \ + "0.260058, 0.339582, 0.371091, 0.415234, 0.481804, 0.58985, 0.767973", \ + "0.342724, 0.433357, 0.470082, 0.519542, 0.589952, 0.70016, 0.880943" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0221022, 0.0684067, 0.0983779, 0.148901, 0.23572, 0.383399, 0.631435", \ + "0.024603, 0.0696199, 0.0989351, 0.149158, 0.236069, 0.38539, 0.631589", \ + "0.0284006, 0.0720929, 0.100976, 0.150511, 0.236752, 0.385391, 0.631774", \ + "0.0350504, 0.0765424, 0.104348, 0.15298, 0.238632, 0.385392, 0.632318", \ + "0.046037, 0.0855889, 0.111728, 0.158472, 0.241554, 0.38668, 0.633583", \ + "0.060655, 0.101852, 0.12551, 0.168878, 0.24844, 0.390608, 0.635351", \ + "0.079559, 0.126489, 0.150727, 0.191686, 0.266545, 0.404367, 0.644063" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0656549, 0.117307, 0.145674, 0.191675, 0.26801, 0.394969, 0.6062", \ + "0.0919479, 0.144666, 0.173095, 0.218979, 0.295356, 0.422307, 0.633788", \ + "0.110062, 0.164178, 0.192771, 0.238829, 0.315165, 0.442112, 0.653375", \ + "0.139138, 0.196817, 0.225389, 0.271514, 0.347787, 0.474742, 0.686062", \ + "0.176283, 0.239294, 0.268402, 0.314879, 0.391289, 0.518278, 0.729294", \ + "0.227756, 0.300664, 0.331336, 0.378403, 0.454921, 0.582047, 0.793392", \ + "0.296355, 0.38362, 0.418704, 0.468555, 0.546806, 0.675316, 0.888352" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0156522, 0.0712963, 0.110371, 0.176456, 0.287727, 0.47371, 0.783913", \ + "0.0172319, 0.0720882, 0.110829, 0.176605, 0.287809, 0.474449, 0.783914", \ + "0.0193445, 0.0731741, 0.111619, 0.177014, 0.287979, 0.47445, 0.784609", \ + "0.0233246, 0.0760028, 0.113226, 0.177862, 0.288535, 0.474451, 0.78461", \ + "0.0302108, 0.0809907, 0.116734, 0.180105, 0.289606, 0.474927, 0.784611", \ + "0.041723, 0.092374, 0.125026, 0.185535, 0.293047, 0.477006, 0.786094", \ + "0.059572, 0.113404, 0.144342, 0.200279, 0.303578, 0.484848, 0.791762" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0858305, 0.138185, 0.163508, 0.203259, 0.268038, 0.375324, 0.553532", \ + "0.110564, 0.163562, 0.189154, 0.228825, 0.293805, 0.400993, 0.579281", \ + "0.127694, 0.182221, 0.208158, 0.247993, 0.312969, 0.420312, 0.598731", \ + "0.155132, 0.212705, 0.239033, 0.279314, 0.344222, 0.451806, 0.630025", \ + "0.189911, 0.252986, 0.280157, 0.321301, 0.386771, 0.494244, 0.672583", \ + "0.241095, 0.312072, 0.341842, 0.384392, 0.45097, 0.558996, 0.737318", \ + "0.311939, 0.393293, 0.426554, 0.47272, 0.543244, 0.653169, 0.834505" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0192314, 0.0656041, 0.0958283, 0.147292, 0.235245, 0.383537, 0.63182", \ + "0.0203034, 0.0662202, 0.0963082, 0.14755, 0.235304, 0.383782, 0.631887", \ + "0.0222238, 0.0677435, 0.0976288, 0.14832, 0.235705, 0.383893, 0.631907", \ + "0.0258741, 0.0711338, 0.10023, 0.150133, 0.236883, 0.384647, 0.632267", \ + "0.0328458, 0.077435, 0.105079, 0.153846, 0.239189, 0.38573, 0.633045", \ + "0.043012, 0.088129, 0.115334, 0.161806, 0.244827, 0.389238, 0.635036", \ + "0.058243, 0.106229, 0.132259, 0.177369, 0.259254, 0.400717, 0.643807" \ + ); + } + } + timing () { + related_pin : "S"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0656549, 0.117307, 0.145674, 0.191675, 0.26801, 0.394969, 0.6062", \ + "0.0919479, 0.144666, 0.173095, 0.218979, 0.295356, 0.422307, 0.633788", \ + "0.110062, 0.164178, 0.192771, 0.238829, 0.315165, 0.442112, 0.653375", \ + "0.139138, 0.196817, 0.225389, 0.271514, 0.347787, 0.474742, 0.686062", \ + "0.176283, 0.239294, 0.268402, 0.314879, 0.391289, 0.518278, 0.729294", \ + "0.227756, 0.300664, 0.331336, 0.378403, 0.454921, 0.582047, 0.793392", \ + "0.296355, 0.38362, 0.418704, 0.468555, 0.546806, 0.675316, 0.888352" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0156522, 0.0712963, 0.110371, 0.176456, 0.287727, 0.47371, 0.783913", \ + "0.0172319, 0.0720882, 0.110829, 0.176605, 0.287809, 0.474449, 0.783914", \ + "0.0193445, 0.0731741, 0.111619, 0.177014, 0.287979, 0.47445, 0.784609", \ + "0.0233246, 0.0760028, 0.113226, 0.177862, 0.288535, 0.474451, 0.78461", \ + "0.0302108, 0.0809907, 0.116734, 0.180105, 0.289606, 0.474927, 0.784611", \ + "0.041723, 0.092374, 0.125026, 0.185535, 0.293047, 0.477006, 0.786094", \ + "0.059572, 0.113404, 0.144342, 0.200279, 0.303578, 0.484848, 0.791762" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0858305, 0.138185, 0.163508, 0.203259, 0.268038, 0.375324, 0.553532", \ + "0.110564, 0.163562, 0.189154, 0.228825, 0.293805, 0.400993, 0.579281", \ + "0.127694, 0.182221, 0.208158, 0.247993, 0.312969, 0.420312, 0.598731", \ + "0.155132, 0.212705, 0.239033, 0.279314, 0.344222, 0.451806, 0.630025", \ + "0.189911, 0.252986, 0.280157, 0.321301, 0.386771, 0.494244, 0.672583", \ + "0.241095, 0.312072, 0.341842, 0.384392, 0.45097, 0.558996, 0.737318", \ + "0.311939, 0.393293, 0.426554, 0.47272, 0.543244, 0.653169, 0.834505" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0192314, 0.0656041, 0.0958283, 0.147292, 0.235245, 0.383537, 0.63182", \ + "0.0203034, 0.0662202, 0.0963082, 0.14755, 0.235304, 0.383782, 0.631887", \ + "0.0222238, 0.0677435, 0.0976288, 0.14832, 0.235705, 0.383893, 0.631907", \ + "0.0258741, 0.0711338, 0.10023, 0.150133, 0.236883, 0.384647, 0.632267", \ + "0.0328458, 0.077435, 0.105079, 0.153846, 0.239189, 0.38573, 0.633045", \ + "0.043012, 0.088129, 0.115334, 0.161806, 0.244827, 0.389238, 0.635036", \ + "0.058243, 0.106229, 0.132259, 0.177369, 0.259254, 0.400717, 0.643807" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0893983, 0.141053, 0.169367, 0.215287, 0.291528, 0.418654, 0.629996", \ + "0.113975, 0.16572, 0.194006, 0.239905, 0.316274, 0.443153, 0.65463", \ + "0.127952, 0.179766, 0.208067, 0.253976, 0.330293, 0.457293, 0.668597", \ + "0.148646, 0.200399, 0.22868, 0.274586, 0.350955, 0.477786, 0.688991", \ + "0.172494, 0.224552, 0.252878, 0.298912, 0.37529, 0.502212, 0.713429", \ + "0.203927, 0.256571, 0.284908, 0.330836, 0.407242, 0.534249, 0.74556", \ + "0.239797, 0.294059, 0.322486, 0.368424, 0.444654, 0.571688, 0.783361" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0158117, 0.0714989, 0.110618, 0.176407, 0.287666, 0.473714, 0.783843", \ + "0.015826, 0.0715004, 0.110619, 0.176408, 0.288473, 0.473809, 0.783919", \ + "0.0158923, 0.0715014, 0.11062, 0.176409, 0.288474, 0.47381, 0.78392", \ + "0.0160945, 0.0716051, 0.110621, 0.17641, 0.288475, 0.473811, 0.783921", \ + "0.0164788, 0.0717722, 0.110643, 0.176446, 0.288476, 0.473812, 0.783922", \ + "0.017474, 0.072276, 0.110948, 0.17659, 0.288477, 0.47385, 0.783923", \ + "0.019769, 0.073463, 0.111739, 0.176941, 0.288478, 0.473851, 0.783963" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.107759, 0.16009, 0.185591, 0.225186, 0.290037, 0.397286, 0.575641", \ + "0.132508, 0.184838, 0.210275, 0.249966, 0.314855, 0.422043, 0.60107", \ + "0.147717, 0.200088, 0.225578, 0.265216, 0.330119, 0.43736, 0.615803", \ + "0.172504, 0.224866, 0.250339, 0.290014, 0.354892, 0.462163, 0.640449", \ + "0.204618, 0.257042, 0.282581, 0.322304, 0.387164, 0.49461, 0.672729", \ + "0.250277, 0.303389, 0.32883, 0.368635, 0.433565, 0.540813, 0.719222", \ + "0.313656, 0.36828, 0.393906, 0.433919, 0.498725, 0.606189, 0.784571" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0194064, 0.0658421, 0.0961973, 0.147444, 0.235192, 0.383627, 0.631864", \ + "0.019412, 0.0658431, 0.0961983, 0.147445, 0.235274, 0.383771, 0.632562", \ + "0.019434, 0.0658441, 0.0961993, 0.147446, 0.235275, 0.383772, 0.633206", \ + "0.0194659, 0.0658676, 0.0962003, 0.147447, 0.23535, 0.383773, 0.633207", \ + "0.0196913, 0.0659397, 0.0962013, 0.147448, 0.235351, 0.383774, 0.633208", \ + "0.020467, 0.066676, 0.096609, 0.147658, 0.235518, 0.383775, 0.633209", \ + "0.022603, 0.068121, 0.097671, 0.1484, 0.235808, 0.383776, 0.63321" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0175822, 0.0182139, 0.0182917, 0.0182775, 0.0180983, 0.0175337, 0.0174936", \ + "0.0177856, 0.0176847, 0.0179214, 0.017914, 0.0179884, 0.0171911, 0.0171703", \ + "0.0184638, 0.0180489, 0.0179642, 0.0180517, 0.0179975, 0.0174161, 0.0174863", \ + "0.0202313, 0.0189545, 0.0190158, 0.0189915, 0.0185032, 0.0190146, 0.0181461", \ + "0.024287, 0.0216286, 0.0215512, 0.0214846, 0.0215128, 0.0208281, 0.0205242", \ + "0.0325838, 0.0276865, 0.0272852, 0.0268842, 0.0267973, 0.0268003, 0.0262204", \ + "0.0488332, 0.0412288, 0.0400806, 0.0394434, 0.0387855, 0.0381703, 0.0380524" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0230682, 0.0230863, 0.0226011, 0.0219529, 0.0213047, 0.0202573, 0.0191908", \ + "0.0242763, 0.0241906, 0.0242414, 0.0239017, 0.0238386, 0.0219879, 0.0204832", \ + "0.0252396, 0.0245986, 0.0246174, 0.0244623, 0.0242457, 0.0229348, 0.0212753", \ + "0.0273233, 0.0256944, 0.0258799, 0.0259547, 0.025389, 0.0248876, 0.0230706", \ + "0.0314869, 0.0285156, 0.0285813, 0.0282844, 0.0285742, 0.0282845, 0.0265965", \ + "0.0391554, 0.0340793, 0.0336349, 0.0336766, 0.0335528, 0.0340079, 0.0337209", \ + "0.0544317, 0.0467257, 0.0459746, 0.0451206, 0.0446657, 0.0444643, 0.0443617" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0214961, 0.021842, 0.0213078, 0.0207219, 0.0199889, 0.0191093, 0.0186523", \ + "0.0236559, 0.0236702, 0.0238406, 0.0235689, 0.0231675, 0.0219446, 0.0205292", \ + "0.0246126, 0.0242856, 0.0242953, 0.0242516, 0.024349, 0.0227866, 0.0213041", \ + "0.0264578, 0.0250865, 0.0252071, 0.0253919, 0.024883, 0.025, 0.0235045", \ + "0.0305413, 0.0277575, 0.0277714, 0.0277061, 0.0277753, 0.0270715, 0.0266156", \ + "0.0388465, 0.0338538, 0.0335179, 0.0330747, 0.0330247, 0.0330472, 0.0326826", \ + "0.0553469, 0.0473283, 0.0462769, 0.0453556, 0.0447739, 0.0441123, 0.0441413" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0176353, 0.0178487, 0.0181029, 0.0178725, 0.0178747, 0.017484, 0.016416", \ + "0.0178513, 0.0174394, 0.0176657, 0.0177278, 0.0184914, 0.0181787, 0.0164844", \ + "0.0188242, 0.017849, 0.0178621, 0.0178569, 0.0184329, 0.017431, 0.0166664", \ + "0.0208888, 0.0188168, 0.0189208, 0.0190553, 0.0187464, 0.0187651, 0.0176654", \ + "0.0251517, 0.0216484, 0.0217131, 0.0218219, 0.0217765, 0.0216469, 0.020511", \ + "0.0331657, 0.0274652, 0.0270679, 0.0267712, 0.0267968, 0.0271723, 0.0268736", \ + "0.0484432, 0.0405744, 0.0396902, 0.0391095, 0.0387439, 0.0381039, 0.0383341" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(A0 * !A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0170943, 0.0176139, 0.017668, 0.0176151, 0.0173348, 0.0169374, 0.0170655", \ + "0.0170726, 0.0175956, 0.0177788, 0.0176247, 0.0176201, 0.0169499, 0.0168", \ + "0.0170545, 0.0176587, 0.0176212, 0.0178082, 0.0173459, 0.0187682, 0.0170531", \ + "0.0170349, 0.017502, 0.0176963, 0.0176251, 0.0173118, 0.0176945, 0.0167388", \ + "0.0170507, 0.0174881, 0.0176747, 0.0178121, 0.0178985, 0.0173538, 0.0171856", \ + "0.0168719, 0.0169668, 0.0171497, 0.0171152, 0.0173892, 0.0174356, 0.01704", \ + "0.0175565, 0.0173011, 0.0172883, 0.0172883, 0.0174352, 0.0173898, 0.0175867" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0177249, 0.0179719, 0.01805, 0.01799, 0.0178994, 0.0172209, 0.0167119", \ + "0.0177896, 0.017957, 0.0181113, 0.018236, 0.0181944, 0.0175203, 0.0170932", \ + "0.0177914, 0.0180951, 0.0182025, 0.0180929, 0.0183645, 0.0176916, 0.0173327", \ + "0.0177455, 0.0179515, 0.0182071, 0.0182235, 0.0180881, 0.0177386, 0.0178951", \ + "0.0177756, 0.0179319, 0.0180769, 0.0183662, 0.0185212, 0.0185517, 0.016901", \ + "0.0179547, 0.0178421, 0.0178733, 0.0182053, 0.0181056, 0.0180309, 0.0181483", \ + "0.0181198, 0.017699, 0.0177687, 0.0176459, 0.0175117, 0.0179638, 0.0180512" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(!A0 * A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0176327, 0.0183107, 0.0184489, 0.0184897, 0.0183109, 0.0178654, 0.0177863", \ + "0.0178078, 0.0180919, 0.0183352, 0.0183058, 0.0184323, 0.0179902, 0.0177029", \ + "0.0181342, 0.0182191, 0.0182141, 0.0183309, 0.0183271, 0.0178794, 0.0178604", \ + "0.0192623, 0.018808, 0.0189198, 0.0190683, 0.0186828, 0.0188019, 0.0178393", \ + "0.0222222, 0.0207402, 0.0207027, 0.020957, 0.0211857, 0.020682, 0.0203307", \ + "0.0292577, 0.0260432, 0.0257403, 0.025716, 0.0257326, 0.0257828, 0.0255501", \ + "0.0431712, 0.0375992, 0.0370504, 0.0365082, 0.0362731, 0.0359245, 0.0360552" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0170072, 0.0173615, 0.0174543, 0.0174508, 0.0172535, 0.0170971, 0.0160461", \ + "0.0170872, 0.0171051, 0.0173854, 0.0173917, 0.017447, 0.0169632, 0.0160525", \ + "0.0174688, 0.0172221, 0.0172952, 0.0172877, 0.0175433, 0.0169113, 0.0160589", \ + "0.0186253, 0.017838, 0.0180383, 0.0182096, 0.017735, 0.0177998, 0.0167993", \ + "0.0217104, 0.0198521, 0.0198028, 0.0199318, 0.0202863, 0.0201669, 0.0189991", \ + "0.027991, 0.0244721, 0.0245706, 0.0245167, 0.0246429, 0.0250583, 0.0248975", \ + "0.0416665, 0.0359799, 0.0354926, 0.0351381, 0.0356221, 0.0349707, 0.03588" \ + ); + } + } + internal_power () { + related_pin : "S"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0176327, 0.0183107, 0.0184489, 0.0184897, 0.0183109, 0.0178654, 0.0177863", \ + "0.0178078, 0.0180919, 0.0183352, 0.0183058, 0.0184323, 0.0179902, 0.0177029", \ + "0.0181342, 0.0182191, 0.0182141, 0.0183309, 0.0183271, 0.0178794, 0.0178604", \ + "0.0192623, 0.018808, 0.0189198, 0.0190683, 0.0186828, 0.0188019, 0.0178393", \ + "0.0222222, 0.0207402, 0.0207027, 0.020957, 0.0211857, 0.020682, 0.0203307", \ + "0.0292577, 0.0260432, 0.0257403, 0.025716, 0.0257326, 0.0257828, 0.0255501", \ + "0.0431712, 0.0375992, 0.0370504, 0.0365082, 0.0362731, 0.0359245, 0.0360552" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0170072, 0.0173615, 0.0174543, 0.0174508, 0.0172535, 0.0170971, 0.0160461", \ + "0.0170872, 0.0171051, 0.0173854, 0.0173917, 0.017447, 0.0169632, 0.0160525", \ + "0.0174688, 0.0172221, 0.0172952, 0.0172877, 0.0175433, 0.0169113, 0.0160589", \ + "0.0186253, 0.017838, 0.0180383, 0.0182096, 0.017735, 0.0177998, 0.0167993", \ + "0.0217104, 0.0198521, 0.0198028, 0.0199318, 0.0202863, 0.0201669, 0.0189991", \ + "0.027991, 0.0244721, 0.0245706, 0.0245167, 0.0246429, 0.0250583, 0.0248975", \ + "0.0416665, 0.0359799, 0.0354926, 0.0351381, 0.0356221, 0.0349707, 0.03588" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00214213; + rise_capacitance : 0.00383613; + rise_capacitance_range (0.00383613, 0.00383613); + fall_capacitance : 0.000448121; + fall_capacitance_range (0.000448121, 0.000448121); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00225471; + rise_capacitance : 0.000615687; + rise_capacitance_range (0.000615687, 0.000615687); + fall_capacitance : 0.00389373; + fall_capacitance_range (0.00389373, 0.00389373); + } + pin (S) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00551997; + rise_capacitance : 0.00554346; + rise_capacitance_range (0.00554346, 0.00554346); + fall_capacitance : 0.00549649; + fall_capacitance_range (0.00549649, 0.00549649); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00420909, 0.00407056, 0.00439737, 0.00544323, 0.00787245, 0.0131162, 0.0242896" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00479824, 0.00485955, 0.00528312, 0.00632335, 0.00873836, 0.0141278, 0.0244985" \ + ); + } + } + } + } + cell (sg13g2_mux4_1) { + area : 38.1024; + cell_footprint : "mux4"; + cell_leakage_power : 984.267; + leakage_power () { + value : 762.61; + when : "!S1&!S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 886.852; + when : "!S1&!S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 807.454; + when : "!S1&!S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 905.041; + when : "!S1&!S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 925.035; + when : "!S1&!S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 980.326; + when : "!S1&!S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 969.878; + when : "!S1&!S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 998.515; + when : "!S1&!S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 807.446; + when : "!S1&!S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 931.688; + when : "!S1&!S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 852.29; + when : "!S1&!S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 949.877; + when : "!S1&!S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 943.216; + when : "!S1&!S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 998.509; + when : "!S1&!S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 988.059; + when : "!S1&!S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 1016.7; + when : "!S1&!S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 826.689; + when : "!S1&S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 871.514; + when : "!S1&S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 950.904; + when : "!S1&S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 969.093; + when : "!S1&S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 871.521; + when : "!S1&S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 916.346; + when : "!S1&S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 995.748; + when : "!S1&S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 1013.94; + when : "!S1&S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 989.075; + when : "!S1&S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1033.92; + when : "!S1&S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1044.37; + when : "!S1&S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1062.56; + when : "!S1&S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 1007.27; + when : "!S1&S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1052.11; + when : "!S1&S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 1062.56; + when : "!S1&S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 1080.75; + when : "!S1&S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 826.653; + when : "S1&!S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 989.075; + when : "S1&!S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 871.496; + when : "S1&!S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1007.26; + when : "S1&!S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 950.897; + when : "S1&!S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1044.37; + when : "S1&!S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 995.74; + when : "S1&!S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 1062.56; + when : "S1&!S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 871.489; + when : "S1&!S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1033.91; + when : "S1&!S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 916.332; + when : "S1&!S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1052.1; + when : "S1&!S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 969.079; + when : "S1&!S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1062.55; + when : "S1&!S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 1013.92; + when : "S1&!S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 1080.74; + when : "S1&!S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 890.732; + when : "S1&S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 935.558; + when : "S1&S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1053.13; + when : "S1&S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1071.32; + when : "S1&S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 935.564; + when : "S1&S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 980.389; + when : "S1&S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 1097.97; + when : "S1&S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 1116.16; + when : "S1&S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 1014.94; + when : "S1&S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1059.78; + when : "S1&S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1108.42; + when : "S1&S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1126.61; + when : "S1&S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 1033.13; + when : "S1&S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1077.97; + when : "S1&S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 1126.61; + when : "S1&S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 1144.8; + when : "S1&S0&A3&A2&A1&A0"; + } + pin (X) { + direction : "output"; + function : "(A0*(!S0*!S1))+(A1*(S0*!S1))+(A2*(!S0*S1))+(A3*(S0*S1))"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0943191, 0.147546, 0.176793, 0.223042, 0.299757, 0.427049, 0.638853", \ + "0.120762, 0.174534, 0.203753, 0.250237, 0.326872, 0.454246, 0.665981", \ + "0.140579, 0.195542, 0.224844, 0.271448, 0.348106, 0.475434, 0.687425", \ + "0.173526, 0.231029, 0.260561, 0.307345, 0.38422, 0.511565, 0.72351", \ + "0.217417, 0.279445, 0.310482, 0.357184, 0.434078, 0.561577, 0.773562", \ + "0.281178, 0.351552, 0.383593, 0.431841, 0.509167, 0.635971, 0.847888", \ + "0.373426, 0.458019, 0.494403, 0.545995, 0.625131, 0.754837, 0.96735" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0190744, 0.0741806, 0.112689, 0.177893, 0.288732, 0.474963, 0.786161", \ + "0.0198775, 0.0747077, 0.112919, 0.177938, 0.288853, 0.475704, 0.786162", \ + "0.0210941, 0.0756891, 0.113584, 0.178398, 0.28902, 0.475705, 0.786399", \ + "0.0238095, 0.0779798, 0.115263, 0.179461, 0.289525, 0.475706, 0.7864", \ + "0.0285956, 0.082328, 0.119102, 0.181482, 0.290585, 0.475964, 0.786584", \ + "0.037445, 0.091778, 0.126121, 0.187116, 0.294067, 0.477638, 0.787631", \ + "0.051373, 0.109283, 0.142817, 0.200231, 0.303361, 0.484347, 0.792221" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.103376, 0.155984, 0.182632, 0.222683, 0.287524, 0.394256, 0.571738", \ + "0.129067, 0.182198, 0.208573, 0.249047, 0.31393, 0.42073, 0.5982", \ + "0.14681, 0.201151, 0.227834, 0.268443, 0.333454, 0.440447, 0.618052", \ + "0.174295, 0.231131, 0.258433, 0.299328, 0.364742, 0.471795, 0.649453", \ + "0.206675, 0.26843, 0.297414, 0.339564, 0.405097, 0.512326, 0.690138", \ + "0.251783, 0.321789, 0.352621, 0.396363, 0.463988, 0.572135, 0.750029", \ + "0.310131, 0.39181, 0.426487, 0.474794, 0.546239, 0.656434, 0.836857" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0209939, 0.0674398, 0.0971027, 0.147189, 0.233421, 0.380193, 0.626591", \ + "0.0216207, 0.0674767, 0.097171, 0.147292, 0.23376, 0.380301, 0.626592", \ + "0.0228823, 0.0686814, 0.0982615, 0.148, 0.234116, 0.380466, 0.627138", \ + "0.0255735, 0.0713845, 0.10048, 0.149766, 0.23524, 0.381077, 0.627478", \ + "0.0307281, 0.0765448, 0.105438, 0.153714, 0.237441, 0.382202, 0.627783", \ + "0.039027, 0.086488, 0.114477, 0.16076, 0.242935, 0.385492, 0.629201", \ + "0.051459, 0.102937, 0.130274, 0.176606, 0.256781, 0.396061, 0.636768" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0916643, 0.144791, 0.173761, 0.220187, 0.296755, 0.424075, 0.635886", \ + "0.119548, 0.173186, 0.202371, 0.248725, 0.325431, 0.452639, 0.66441", \ + "0.139645, 0.194635, 0.223834, 0.270271, 0.347035, 0.474301, 0.686282", \ + "0.172678, 0.229921, 0.25958, 0.306314, 0.383066, 0.51062, 0.722482", \ + "0.215991, 0.278467, 0.308844, 0.355933, 0.432897, 0.560174, 0.772145", \ + "0.278752, 0.349811, 0.381836, 0.429965, 0.50733, 0.634688, 0.846629", \ + "0.367824, 0.453543, 0.490185, 0.542025, 0.62124, 0.750655, 0.963699" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.018877, 0.0740433, 0.112541, 0.177822, 0.288648, 0.474914, 0.786064", \ + "0.0196831, 0.0745831, 0.112735, 0.17783, 0.288739, 0.474915, 0.786065", \ + "0.0209492, 0.0756659, 0.113536, 0.178268, 0.288919, 0.475111, 0.787465", \ + "0.0237482, 0.0776755, 0.115076, 0.179356, 0.289424, 0.475329, 0.787466", \ + "0.0286351, 0.0826898, 0.11861, 0.181507, 0.290507, 0.475945, 0.787467", \ + "0.037743, 0.092004, 0.126397, 0.186825, 0.293936, 0.477771, 0.787538", \ + "0.051966, 0.110322, 0.143572, 0.200919, 0.303556, 0.484821, 0.792482" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.10517, 0.157915, 0.18434, 0.224644, 0.289498, 0.396303, 0.573687", \ + "0.129397, 0.182675, 0.209125, 0.249586, 0.314513, 0.421322, 0.59889", \ + "0.146865, 0.201314, 0.227973, 0.268627, 0.333707, 0.440655, 0.618081", \ + "0.174172, 0.231337, 0.258498, 0.29958, 0.364896, 0.472013, 0.649705", \ + "0.207631, 0.269338, 0.298176, 0.34037, 0.405959, 0.513195, 0.690711", \ + "0.254505, 0.324039, 0.354725, 0.398451, 0.465929, 0.574001, 0.752007", \ + "0.312438, 0.393468, 0.427883, 0.47596, 0.547296, 0.657335, 0.837931" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0211161, 0.0674327, 0.0972507, 0.147304, 0.23355, 0.380256, 0.626822", \ + "0.0216692, 0.0676067, 0.0973615, 0.147411, 0.233711, 0.380449, 0.626877", \ + "0.0229867, 0.068879, 0.0983758, 0.148113, 0.234087, 0.380562, 0.627141", \ + "0.0255664, 0.0714346, 0.100461, 0.149736, 0.235318, 0.38116, 0.627613", \ + "0.0306212, 0.0766617, 0.105364, 0.153715, 0.237523, 0.382213, 0.627861", \ + "0.038583, 0.08596, 0.113968, 0.1606, 0.242797, 0.385543, 0.629558", \ + "0.051015, 0.102087, 0.129497, 0.175944, 0.256443, 0.395955, 0.636827" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.097354, 0.151315, 0.180588, 0.226987, 0.30374, 0.431055, 0.642846", \ + "0.12448, 0.179064, 0.208406, 0.254863, 0.331645, 0.458878, 0.670697", \ + "0.144939, 0.200749, 0.230191, 0.27678, 0.35355, 0.48095, 0.692771", \ + "0.17894, 0.237167, 0.267236, 0.314071, 0.390793, 0.51829, 0.730139", \ + "0.224348, 0.287597, 0.318843, 0.365679, 0.442674, 0.569947, 0.782017", \ + "0.289943, 0.361585, 0.394358, 0.442565, 0.520128, 0.647724, 0.859382", \ + "0.384445, 0.470925, 0.507574, 0.558874, 0.638626, 0.768372, 0.981624" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0195434, 0.0747177, 0.113015, 0.17798, 0.288807, 0.475061, 0.786087", \ + "0.0203396, 0.0752702, 0.113289, 0.17811, 0.288817, 0.475062, 0.786144", \ + "0.0216085, 0.0762776, 0.113998, 0.178566, 0.289034, 0.475063, 0.788726", \ + "0.0244028, 0.0784701, 0.115717, 0.179738, 0.28961, 0.47543, 0.788727", \ + "0.0293224, 0.0835929, 0.12006, 0.182053, 0.29076, 0.476002, 0.788728", \ + "0.038192, 0.092643, 0.127442, 0.187425, 0.29428, 0.477806, 0.788729", \ + "0.052112, 0.111022, 0.144543, 0.201228, 0.304253, 0.484844, 0.791766" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.110118, 0.163637, 0.190577, 0.230951, 0.295982, 0.402737, 0.580164", \ + "0.135386, 0.189378, 0.216079, 0.256975, 0.321892, 0.428739, 0.60637", \ + "0.153389, 0.208826, 0.235671, 0.276529, 0.341664, 0.448633, 0.626293", \ + "0.181751, 0.23988, 0.267322, 0.308639, 0.374128, 0.48122, 0.6589", \ + "0.216497, 0.279263, 0.308029, 0.350341, 0.416454, 0.523973, 0.701652", \ + "0.26454, 0.335424, 0.3666, 0.410754, 0.479459, 0.586978, 0.764613", \ + "0.326364, 0.409012, 0.444031, 0.492328, 0.564574, 0.674952, 0.855298" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.021564, 0.0680847, 0.0981762, 0.147895, 0.233878, 0.380395, 0.626829", \ + "0.0221636, 0.068516, 0.098182, 0.148286, 0.234086, 0.380708, 0.62683", \ + "0.0234076, 0.0698022, 0.0992315, 0.148763, 0.234558, 0.380721, 0.627566", \ + "0.0260087, 0.07239, 0.101396, 0.150602, 0.235832, 0.381249, 0.627567", \ + "0.0312715, 0.0779982, 0.106292, 0.154284, 0.238208, 0.382442, 0.627878", \ + "0.039529, 0.087494, 0.115513, 0.161832, 0.244309, 0.385799, 0.629444", \ + "0.051844, 0.10378, 0.131214, 0.177122, 0.257819, 0.396612, 0.636602" \ + ); + } + } + timing () { + related_pin : "A3"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0952875, 0.149189, 0.178485, 0.224862, 0.301431, 0.428718, 0.640665", \ + "0.123265, 0.177667, 0.206986, 0.253545, 0.330226, 0.457473, 0.669243", \ + "0.143933, 0.199591, 0.229131, 0.275699, 0.352371, 0.479647, 0.691507", \ + "0.178079, 0.236214, 0.26617, 0.312978, 0.38984, 0.517229, 0.729116", \ + "0.223342, 0.286473, 0.317439, 0.36481, 0.441516, 0.569194, 0.780996", \ + "0.288402, 0.361297, 0.394012, 0.442137, 0.519756, 0.647302, 0.859136", \ + "0.381418, 0.469003, 0.50616, 0.558293, 0.638516, 0.767214, 0.97976" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0193392, 0.0746172, 0.11297, 0.177963, 0.288676, 0.474913, 0.785997", \ + "0.0202005, 0.0751007, 0.113173, 0.178095, 0.288794, 0.474922, 0.785998", \ + "0.0215037, 0.0761958, 0.113971, 0.178534, 0.289018, 0.475027, 0.787692", \ + "0.024392, 0.0784476, 0.115705, 0.17966, 0.289554, 0.475363, 0.787693", \ + "0.0293731, 0.0832718, 0.119389, 0.18197, 0.290675, 0.475955, 0.787694", \ + "0.038365, 0.092612, 0.127448, 0.187461, 0.29421, 0.477478, 0.787695", \ + "0.052436, 0.110731, 0.144484, 0.201306, 0.304223, 0.484535, 0.792086" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.110788, 0.164536, 0.191321, 0.231665, 0.296715, 0.403447, 0.580925", \ + "0.135297, 0.189369, 0.216091, 0.256782, 0.321857, 0.428719, 0.606327", \ + "0.153192, 0.208397, 0.235373, 0.276231, 0.341341, 0.448344, 0.625978", \ + "0.181437, 0.239073, 0.266782, 0.308173, 0.373678, 0.480792, 0.658356", \ + "0.215749, 0.278426, 0.307604, 0.349686, 0.415904, 0.523147, 0.701008", \ + "0.263325, 0.334051, 0.365274, 0.410158, 0.477354, 0.585518, 0.763164", \ + "0.325533, 0.408005, 0.443066, 0.491423, 0.562741, 0.673699, 0.854111" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.021611, 0.0682453, 0.0981813, 0.147881, 0.233939, 0.380401, 0.626633", \ + "0.0221585, 0.0685581, 0.0981997, 0.148129, 0.234176, 0.380715, 0.626778", \ + "0.0233724, 0.0697724, 0.0991672, 0.148862, 0.234546, 0.380716, 0.627554", \ + "0.0259936, 0.0722685, 0.101457, 0.150616, 0.235846, 0.381284, 0.627555", \ + "0.0312837, 0.0777854, 0.106483, 0.154228, 0.238084, 0.382598, 0.627866", \ + "0.039515, 0.0873, 0.115444, 0.162469, 0.243605, 0.385737, 0.629429", \ + "0.051571, 0.103684, 0.131152, 0.177171, 0.256694, 0.396383, 0.636603" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A2 == 1'b0 & A3 == 1'b1 & S1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A2 * A3 * S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0831083, 0.137021, 0.166335, 0.212668, 0.289405, 0.416709, 0.628481", \ + "0.114478, 0.169515, 0.198805, 0.245365, 0.322156, 0.44949, 0.661182", \ + "0.135692, 0.192464, 0.222187, 0.268889, 0.345693, 0.472991, 0.684747", \ + "0.169201, 0.230064, 0.260036, 0.306974, 0.383965, 0.511375, 0.723249", \ + "0.212584, 0.280798, 0.312336, 0.359536, 0.436087, 0.563541, 0.775781", \ + "0.272956, 0.352938, 0.386981, 0.436469, 0.513784, 0.641063, 0.852969", \ + "0.354333, 0.453254, 0.493715, 0.548147, 0.629005, 0.758339, 0.97265" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0192916, 0.0744816, 0.11284, 0.177936, 0.288658, 0.475146, 0.786081", \ + "0.0210694, 0.075505, 0.113438, 0.17815, 0.288795, 0.475147, 0.786082", \ + "0.0234259, 0.077011, 0.114558, 0.178858, 0.289154, 0.475148, 0.788575", \ + "0.0280929, 0.0804087, 0.116863, 0.180371, 0.290011, 0.475545, 0.788576", \ + "0.0352066, 0.0876833, 0.122522, 0.183396, 0.29126, 0.47623, 0.788577", \ + "0.047254, 0.10057, 0.132513, 0.191186, 0.295816, 0.478257, 0.788578", \ + "0.065049, 0.12665, 0.157776, 0.211118, 0.30993, 0.487458, 0.793572" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0954983, 0.149177, 0.175826, 0.216228, 0.281445, 0.388211, 0.565765", \ + "0.124733, 0.179264, 0.206058, 0.246711, 0.311883, 0.418736, 0.596229", \ + "0.144671, 0.200877, 0.228209, 0.269277, 0.334538, 0.441534, 0.618986", \ + "0.174897, 0.234708, 0.262511, 0.304281, 0.369821, 0.477176, 0.654909", \ + "0.211779, 0.278197, 0.307609, 0.350417, 0.416596, 0.524177, 0.702027", \ + "0.260821, 0.338099, 0.370443, 0.416012, 0.483328, 0.591436, 0.769574", \ + "0.322267, 0.414443, 0.452183, 0.502277, 0.57438, 0.687582, 0.866833" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0214865, 0.0681108, 0.0981212, 0.147976, 0.233823, 0.380312, 0.626805", \ + "0.0228289, 0.0688498, 0.098507, 0.148274, 0.234181, 0.380429, 0.626806", \ + "0.0250498, 0.0706697, 0.100097, 0.149442, 0.234996, 0.380756, 0.62734", \ + "0.0292611, 0.0743694, 0.102967, 0.15193, 0.23659, 0.381806, 0.62753", \ + "0.0369157, 0.0814514, 0.109202, 0.156193, 0.239377, 0.383461, 0.628713", \ + "0.048161, 0.095011, 0.120914, 0.166119, 0.245382, 0.387016, 0.630423", \ + "0.064582, 0.117303, 0.142676, 0.185508, 0.261855, 0.400476, 0.638507" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1 & S1 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1 * !S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0788008, 0.132172, 0.161073, 0.207344, 0.284036, 0.411274, 0.623225", \ + "0.10853, 0.162911, 0.192069, 0.238463, 0.31514, 0.442438, 0.654174", \ + "0.12864, 0.184824, 0.214126, 0.260709, 0.3373, 0.46475, 0.676499", \ + "0.160345, 0.220069, 0.250133, 0.296842, 0.37367, 0.501068, 0.712977", \ + "0.201543, 0.268027, 0.299109, 0.346306, 0.423418, 0.550758, 0.762364", \ + "0.258676, 0.337375, 0.370668, 0.419521, 0.497045, 0.624371, 0.836644", \ + "0.335487, 0.432844, 0.472614, 0.52603, 0.606842, 0.736737, 0.95127" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0187288, 0.0741252, 0.112373, 0.177575, 0.288681, 0.47515, 0.786081", \ + "0.0204994, 0.0749659, 0.113101, 0.177875, 0.288763, 0.475151, 0.786082", \ + "0.0227176, 0.0765316, 0.114153, 0.178557, 0.289194, 0.475198, 0.78773", \ + "0.0272727, 0.079413, 0.116232, 0.179916, 0.289939, 0.475612, 0.787731", \ + "0.034338, 0.0860262, 0.12109, 0.182809, 0.291365, 0.476464, 0.787732", \ + "0.046513, 0.099156, 0.131224, 0.189841, 0.295251, 0.478303, 0.78818", \ + "0.064162, 0.125375, 0.156398, 0.209333, 0.30949, 0.488009, 0.794363" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0876115, 0.14048, 0.166978, 0.207048, 0.271779, 0.378727, 0.556102", \ + "0.116064, 0.169863, 0.196441, 0.236823, 0.301852, 0.408559, 0.586046", \ + "0.13504, 0.190608, 0.217491, 0.258315, 0.32337, 0.430356, 0.607995", \ + "0.163589, 0.222333, 0.250048, 0.29137, 0.356835, 0.464091, 0.641824", \ + "0.19778, 0.263407, 0.292057, 0.334998, 0.40055, 0.507997, 0.685879", \ + "0.243807, 0.319793, 0.351604, 0.39604, 0.464421, 0.571918, 0.749574", \ + "0.301254, 0.392027, 0.429062, 0.478156, 0.551006, 0.662937, 0.841507" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.020964, 0.0670477, 0.0970672, 0.147047, 0.233538, 0.380206, 0.626728", \ + "0.0224534, 0.0679328, 0.0975437, 0.147568, 0.233735, 0.380411, 0.626782", \ + "0.0246822, 0.0699388, 0.0992298, 0.148705, 0.234491, 0.380542, 0.626783", \ + "0.0287328, 0.0731576, 0.10193, 0.150869, 0.236148, 0.381681, 0.627302", \ + "0.036278, 0.0804644, 0.107413, 0.155388, 0.238628, 0.383094, 0.628582", \ + "0.047316, 0.093557, 0.119381, 0.164151, 0.245446, 0.386632, 0.63012", \ + "0.063846, 0.115618, 0.140697, 0.183105, 0.261701, 0.400312, 0.638434" \ + ); + } + } + timing () { + related_pin : "S0"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0831083, 0.137021, 0.166335, 0.212668, 0.289405, 0.416709, 0.628481", \ + "0.114478, 0.169515, 0.198805, 0.245365, 0.322156, 0.44949, 0.661182", \ + "0.135692, 0.192464, 0.222187, 0.268889, 0.345693, 0.472991, 0.684747", \ + "0.169201, 0.230064, 0.260036, 0.306974, 0.383965, 0.511375, 0.723249", \ + "0.212584, 0.280798, 0.312336, 0.359536, 0.436087, 0.563541, 0.775781", \ + "0.272956, 0.352938, 0.386981, 0.436469, 0.513784, 0.641063, 0.852969", \ + "0.354333, 0.453254, 0.493715, 0.548147, 0.629005, 0.758339, 0.97265" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0192916, 0.0744816, 0.11284, 0.177936, 0.288658, 0.475146, 0.786081", \ + "0.0210694, 0.075505, 0.113438, 0.17815, 0.288795, 0.475147, 0.786082", \ + "0.0234259, 0.077011, 0.114558, 0.178858, 0.289154, 0.475148, 0.788575", \ + "0.0280929, 0.0804087, 0.116863, 0.180371, 0.290011, 0.475545, 0.788576", \ + "0.0352066, 0.0876833, 0.122522, 0.183396, 0.29126, 0.47623, 0.788577", \ + "0.047254, 0.10057, 0.132513, 0.191186, 0.295816, 0.478257, 0.788578", \ + "0.065049, 0.12665, 0.157776, 0.211118, 0.30993, 0.487458, 0.793572" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.128034, 0.181924, 0.208723, 0.249136, 0.31418, 0.420819, 0.598342", \ + "0.158487, 0.212078, 0.238684, 0.27933, 0.344397, 0.451211, 0.628695", \ + "0.176659, 0.230032, 0.256718, 0.297216, 0.362175, 0.468984, 0.64653", \ + "0.206281, 0.259722, 0.286359, 0.326829, 0.391741, 0.498488, 0.675809", \ + "0.246656, 0.300564, 0.327327, 0.367659, 0.432462, 0.539217, 0.716612", \ + "0.306288, 0.360793, 0.387832, 0.428579, 0.493638, 0.600647, 0.77807", \ + "0.390383, 0.447272, 0.474693, 0.515871, 0.581915, 0.690155, 0.868779" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0216081, 0.0683245, 0.0981874, 0.147869, 0.233952, 0.380577, 0.626642", \ + "0.0216091, 0.0683255, 0.0981884, 0.147877, 0.234011, 0.381699, 0.626785", \ + "0.0216101, 0.0683265, 0.0981894, 0.147878, 0.234012, 0.3817, 0.626786", \ + "0.0216111, 0.0683275, 0.0981904, 0.147879, 0.234013, 0.381701, 0.626787", \ + "0.022042, 0.0684387, 0.0981914, 0.14788, 0.234014, 0.381702, 0.626788", \ + "0.023283, 0.069042, 0.098716, 0.148644, 0.234333, 0.381703, 0.626789", \ + "0.026207, 0.071766, 0.101116, 0.150858, 0.237128, 0.383371, 0.629051" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A2 == 1'b1 & A3 == 1'b0 & S1 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A2 * !A3 * S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.119028, 0.17332, 0.202346, 0.248824, 0.325327, 0.452648, 0.664543", \ + "0.148036, 0.202063, 0.231349, 0.277642, 0.354356, 0.481562, 0.693285", \ + "0.165797, 0.219783, 0.249024, 0.295374, 0.372079, 0.49935, 0.711333", \ + "0.191844, 0.245803, 0.275077, 0.32149, 0.398025, 0.525196, 0.73702", \ + "0.222851, 0.27713, 0.306429, 0.35286, 0.429401, 0.556579, 0.768227", \ + "0.264738, 0.320161, 0.349364, 0.395924, 0.472715, 0.600117, 0.81182", \ + "0.316259, 0.373175, 0.403119, 0.450166, 0.527621, 0.65559, 0.867506" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0197209, 0.0750496, 0.11303, 0.177832, 0.288728, 0.474972, 0.786059", \ + "0.0197219, 0.0750506, 0.113053, 0.177942, 0.289053, 0.474973, 0.78606", \ + "0.0197229, 0.0750516, 0.113054, 0.177943, 0.289054, 0.475005, 0.786529", \ + "0.01977, 0.0750526, 0.113055, 0.177944, 0.289055, 0.475006, 0.78653", \ + "0.0203174, 0.0750536, 0.113056, 0.177945, 0.289056, 0.475007, 0.786531", \ + "0.021559, 0.076078, 0.11374, 0.178363, 0.289057, 0.475008, 0.786532", \ + "0.024366, 0.077719, 0.115434, 0.180137, 0.290603, 0.476197, 0.786533" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.128034, 0.181924, 0.208723, 0.249136, 0.31418, 0.420819, 0.598342", \ + "0.158487, 0.212078, 0.238684, 0.27933, 0.344397, 0.451211, 0.628695", \ + "0.176659, 0.230032, 0.256718, 0.297216, 0.362175, 0.468984, 0.64653", \ + "0.206281, 0.259722, 0.286359, 0.326829, 0.391741, 0.498488, 0.675809", \ + "0.246656, 0.300564, 0.327327, 0.367659, 0.432462, 0.539217, 0.716612", \ + "0.306288, 0.360793, 0.387832, 0.428579, 0.493638, 0.600647, 0.77807", \ + "0.390383, 0.447272, 0.474693, 0.515871, 0.581915, 0.690155, 0.868779" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0216081, 0.0683245, 0.0981874, 0.147869, 0.233952, 0.380577, 0.626642", \ + "0.0216091, 0.0683255, 0.0981884, 0.147877, 0.234011, 0.381699, 0.626785", \ + "0.0216101, 0.0683265, 0.0981894, 0.147878, 0.234012, 0.3817, 0.626786", \ + "0.0216111, 0.0683275, 0.0981904, 0.147879, 0.234013, 0.381701, 0.626787", \ + "0.022042, 0.0684387, 0.0981914, 0.14788, 0.234014, 0.381702, 0.626788", \ + "0.023283, 0.069042, 0.098716, 0.148644, 0.234333, 0.381703, 0.626789", \ + "0.026207, 0.071766, 0.101116, 0.150858, 0.237128, 0.383371, 0.629051" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0 & S1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1 * !S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.11589, 0.169227, 0.198355, 0.244639, 0.32132, 0.448382, 0.660458", \ + "0.1445, 0.197842, 0.226932, 0.273323, 0.350007, 0.477293, 0.689068", \ + "0.162057, 0.215358, 0.244464, 0.290826, 0.367482, 0.494682, 0.706713", \ + "0.187409, 0.240728, 0.269792, 0.316133, 0.392773, 0.520053, 0.731924", \ + "0.217223, 0.27114, 0.300087, 0.346346, 0.422995, 0.550237, 0.761995", \ + "0.258064, 0.312989, 0.342062, 0.388627, 0.465461, 0.59281, 0.804655", \ + "0.307855, 0.364725, 0.394222, 0.441743, 0.519222, 0.647386, 0.859716" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0192221, 0.0742628, 0.112686, 0.177651, 0.288704, 0.475056, 0.786129", \ + "0.0192231, 0.074293, 0.112687, 0.17773, 0.289249, 0.475057, 0.78613", \ + "0.0192416, 0.074294, 0.112688, 0.177731, 0.28925, 0.47507, 0.786258", \ + "0.0194284, 0.074295, 0.112689, 0.177732, 0.289251, 0.475071, 0.786259", \ + "0.0200438, 0.0748404, 0.11276, 0.177733, 0.289252, 0.475072, 0.78626", \ + "0.02142, 0.075728, 0.113547, 0.178343, 0.289253, 0.475222, 0.786261", \ + "0.024491, 0.07784, 0.115427, 0.180266, 0.291084, 0.477019, 0.787037" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.121636, 0.174709, 0.201116, 0.241279, 0.306156, 0.412874, 0.590315", \ + "0.151828, 0.204649, 0.231046, 0.271383, 0.336329, 0.443128, 0.620636", \ + "0.169821, 0.222747, 0.249111, 0.289267, 0.354093, 0.460934, 0.638527", \ + "0.199216, 0.252103, 0.278397, 0.31864, 0.383469, 0.490203, 0.667573", \ + "0.238976, 0.292277, 0.318761, 0.358867, 0.423575, 0.530483, 0.707695", \ + "0.297578, 0.351674, 0.378368, 0.418809, 0.483911, 0.590956, 0.768605", \ + "0.379707, 0.435578, 0.46318, 0.504156, 0.570347, 0.678701, 0.857232" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0212685, 0.0674901, 0.0973874, 0.147361, 0.233526, 0.380424, 0.626831", \ + "0.0212777, 0.0674911, 0.0973884, 0.147362, 0.233654, 0.38087, 0.626859", \ + "0.0212787, 0.0674921, 0.0973894, 0.147363, 0.233655, 0.380871, 0.630908", \ + "0.0213081, 0.0674931, 0.0973904, 0.147364, 0.233656, 0.380872, 0.630909", \ + "0.0218017, 0.0676396, 0.0973914, 0.147365, 0.233657, 0.380873, 0.63091", \ + "0.023219, 0.068532, 0.098143, 0.147972, 0.234173, 0.380874, 0.630911", \ + "0.026473, 0.071155, 0.100856, 0.150718, 0.237158, 0.383745, 0.630912" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A1 == 1'b0 & A3 == 1'b1 & S0 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A3 * S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0501695, 0.103729, 0.132531, 0.178775, 0.255245, 0.382477, 0.594039", \ + "0.0746196, 0.12847, 0.157811, 0.204265, 0.280878, 0.407966, 0.620352", \ + "0.0890562, 0.144244, 0.173684, 0.220344, 0.297232, 0.424442, 0.636296", \ + "0.112107, 0.170753, 0.200168, 0.246897, 0.323912, 0.451259, 0.663284", \ + "0.140716, 0.205708, 0.235906, 0.282707, 0.359754, 0.487172, 0.699352", \ + "0.180433, 0.256647, 0.289483, 0.337889, 0.416306, 0.543629, 0.756333", \ + "0.235496, 0.325964, 0.364555, 0.417254, 0.498318, 0.629696, 0.845302" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170147, 0.0737799, 0.112255, 0.177401, 0.288522, 0.474818, 0.785761", \ + "0.0195746, 0.0749882, 0.113307, 0.178179, 0.288805, 0.474819, 0.786922", \ + "0.0222235, 0.076074, 0.114267, 0.179151, 0.289558, 0.475227, 0.786923", \ + "0.0266169, 0.0789811, 0.116009, 0.180221, 0.290488, 0.476247, 0.786924", \ + "0.0336043, 0.0849661, 0.120113, 0.182825, 0.29202, 0.477273, 0.787696", \ + "0.044412, 0.100005, 0.132533, 0.191306, 0.29796, 0.480733, 0.789982", \ + "0.062076, 0.124109, 0.156275, 0.211704, 0.314682, 0.495209, 0.80068" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0577432, 0.10992, 0.13612, 0.176145, 0.240843, 0.347531, 0.52492", \ + "0.0808109, 0.133543, 0.160017, 0.200392, 0.265331, 0.372084, 0.549519", \ + "0.0944722, 0.148206, 0.175024, 0.215828, 0.281271, 0.388222, 0.565673", \ + "0.115268, 0.171614, 0.198632, 0.239515, 0.305268, 0.412706, 0.590695", \ + "0.139673, 0.202143, 0.230121, 0.271838, 0.336786, 0.444753, 0.622954", \ + "0.173864, 0.246677, 0.27656, 0.31971, 0.387061, 0.495732, 0.674215", \ + "0.218391, 0.30571, 0.340916, 0.388119, 0.45948, 0.57168, 0.754081" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0186833, 0.0660053, 0.0961859, 0.146666, 0.233511, 0.379859, 0.626572", \ + "0.0206738, 0.0675053, 0.0974295, 0.147687, 0.233761, 0.380299, 0.626768", \ + "0.0236179, 0.0689033, 0.0989631, 0.149254, 0.235056, 0.38096, 0.627051", \ + "0.0284267, 0.0716209, 0.100998, 0.150956, 0.236815, 0.382802, 0.628126", \ + "0.0353143, 0.0783069, 0.106153, 0.154632, 0.238578, 0.384491, 0.630047", \ + "0.046866, 0.091938, 0.117336, 0.163063, 0.245476, 0.388498, 0.632469", \ + "0.065393, 0.116412, 0.140756, 0.183245, 0.263568, 0.404539, 0.645639" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A0 == 1'b0 & A2 == 1'b1 & S0 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A2 * !S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0500689, 0.103459, 0.132398, 0.178566, 0.25521, 0.382368, 0.594086", \ + "0.0745313, 0.12844, 0.157788, 0.204294, 0.280901, 0.408049, 0.620357", \ + "0.0891412, 0.144383, 0.173817, 0.220426, 0.297306, 0.42449, 0.636271", \ + "0.112132, 0.170344, 0.200121, 0.246918, 0.323595, 0.451257, 0.663183", \ + "0.140837, 0.205694, 0.235925, 0.28283, 0.359594, 0.487186, 0.699336", \ + "0.180967, 0.256843, 0.289639, 0.33771, 0.41557, 0.543674, 0.756424", \ + "0.235773, 0.326215, 0.364551, 0.417391, 0.49831, 0.630311, 0.84541" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0169597, 0.0737327, 0.112286, 0.177491, 0.288456, 0.474818, 0.785884", \ + "0.0195068, 0.0750012, 0.113312, 0.178166, 0.288819, 0.476385, 0.786915", \ + "0.0221969, 0.0761427, 0.114274, 0.179141, 0.28956, 0.476386, 0.786916", \ + "0.0266298, 0.0785069, 0.11596, 0.180224, 0.290491, 0.476387, 0.786917", \ + "0.033538, 0.084922, 0.120113, 0.182836, 0.2919, 0.477304, 0.787681", \ + "0.044319, 0.09995, 0.132502, 0.191397, 0.297713, 0.480714, 0.790038", \ + "0.062042, 0.124419, 0.155646, 0.211375, 0.314402, 0.495134, 0.800539" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0577078, 0.109866, 0.136041, 0.17605, 0.240779, 0.347447, 0.524771", \ + "0.0806435, 0.133424, 0.159891, 0.200245, 0.265204, 0.371967, 0.549373", \ + "0.0943263, 0.148214, 0.17481, 0.215668, 0.281102, 0.388089, 0.565431", \ + "0.115092, 0.171435, 0.198345, 0.23938, 0.305054, 0.412482, 0.590525", \ + "0.13943, 0.202069, 0.230011, 0.271627, 0.336739, 0.444551, 0.622769", \ + "0.173414, 0.24637, 0.276769, 0.320265, 0.387146, 0.495447, 0.673992", \ + "0.218044, 0.305347, 0.340716, 0.387875, 0.459615, 0.571493, 0.753597" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0186848, 0.0660402, 0.0961728, 0.146647, 0.233427, 0.379978, 0.626564", \ + "0.0206191, 0.0674978, 0.0973927, 0.147563, 0.233702, 0.38029, 0.626721", \ + "0.0235897, 0.0689486, 0.09895, 0.149247, 0.235122, 0.380947, 0.627047", \ + "0.0284092, 0.0716176, 0.10094, 0.150926, 0.236771, 0.382786, 0.62811", \ + "0.0352541, 0.0784139, 0.106106, 0.154618, 0.238715, 0.384455, 0.630012", \ + "0.046829, 0.091903, 0.118043, 0.163961, 0.245703, 0.388473, 0.63249", \ + "0.065324, 0.116336, 0.140876, 0.183055, 0.263784, 0.404556, 0.645657" \ + ); + } + } + timing () { + related_pin : "S1"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0500689, 0.103459, 0.132398, 0.178566, 0.25521, 0.382368, 0.594086", \ + "0.0745313, 0.12844, 0.157788, 0.204294, 0.280901, 0.408049, 0.620357", \ + "0.0891412, 0.144383, 0.173817, 0.220426, 0.297306, 0.42449, 0.636271", \ + "0.112132, 0.170344, 0.200121, 0.246918, 0.323595, 0.451257, 0.663183", \ + "0.140837, 0.205694, 0.235925, 0.28283, 0.359594, 0.487186, 0.699336", \ + "0.180967, 0.256843, 0.289639, 0.33771, 0.41557, 0.543674, 0.756424", \ + "0.235773, 0.326215, 0.364551, 0.417391, 0.49831, 0.630311, 0.84541" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0169597, 0.0737327, 0.112286, 0.177491, 0.288456, 0.474818, 0.785884", \ + "0.0195068, 0.0750012, 0.113312, 0.178166, 0.288819, 0.476385, 0.786915", \ + "0.0221969, 0.0761427, 0.114274, 0.179141, 0.28956, 0.476386, 0.786916", \ + "0.0266298, 0.0785069, 0.11596, 0.180224, 0.290491, 0.476387, 0.786917", \ + "0.033538, 0.084922, 0.120113, 0.182836, 0.2919, 0.477304, 0.787681", \ + "0.044319, 0.09995, 0.132502, 0.191397, 0.297713, 0.480714, 0.790038", \ + "0.062042, 0.124419, 0.155646, 0.211375, 0.314402, 0.495134, 0.800539" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0715655, 0.124751, 0.151244, 0.191547, 0.256627, 0.363336, 0.540802", \ + "0.0982928, 0.150127, 0.176382, 0.216602, 0.281427, 0.388327, 0.565713", \ + "0.113497, 0.165274, 0.191321, 0.231477, 0.29594, 0.402458, 0.579894", \ + "0.137949, 0.190019, 0.216023, 0.256117, 0.320417, 0.426605, 0.603569", \ + "0.168031, 0.220294, 0.246562, 0.287072, 0.351623, 0.457844, 0.634522", \ + "0.210567, 0.263086, 0.28988, 0.330919, 0.39678, 0.504637, 0.682592", \ + "0.271197, 0.324902, 0.352272, 0.394226, 0.462579, 0.573977, 0.756566" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0201951, 0.0673896, 0.0971887, 0.147594, 0.233887, 0.380027, 0.626608", \ + "0.0201961, 0.0673906, 0.0971897, 0.147595, 0.233888, 0.381354, 0.626697", \ + "0.0201971, 0.0673916, 0.0971907, 0.147596, 0.233889, 0.381355, 0.627671", \ + "0.0201981, 0.0673926, 0.0971917, 0.147597, 0.23389, 0.381356, 0.627672", \ + "0.0201991, 0.0673936, 0.0971927, 0.147598, 0.233891, 0.381357, 0.627673", \ + "0.02085, 0.068121, 0.098521, 0.149158, 0.235713, 0.381834, 0.627674", \ + "0.024089, 0.070566, 0.1015, 0.153977, 0.243392, 0.392167, 0.63817" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A1 == 1'b1 & A3 == 1'b0 & S0 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A3 * S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0655115, 0.118247, 0.147188, 0.193449, 0.270095, 0.397261, 0.60913", \ + "0.0912464, 0.143071, 0.171792, 0.217909, 0.294435, 0.421661, 0.63356", \ + "0.105032, 0.156765, 0.185545, 0.231327, 0.307667, 0.434738, 0.64656", \ + "0.124381, 0.176312, 0.205146, 0.250882, 0.327038, 0.453775, 0.66517", \ + "0.145073, 0.19771, 0.226496, 0.272582, 0.348806, 0.475437, 0.686584", \ + "0.170814, 0.22404, 0.253322, 0.300214, 0.377723, 0.505456, 0.717079", \ + "0.197619, 0.252814, 0.282797, 0.330459, 0.409308, 0.539754, 0.754664" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180455, 0.0736194, 0.11208, 0.17732, 0.288485, 0.474814, 0.785904", \ + "0.0180465, 0.0736204, 0.112081, 0.177321, 0.288486, 0.475172, 0.785905", \ + "0.0180475, 0.0736214, 0.112082, 0.177322, 0.288487, 0.475173, 0.787903", \ + "0.0180485, 0.0736224, 0.112083, 0.177323, 0.288488, 0.475174, 0.787904", \ + "0.0185062, 0.0738475, 0.112127, 0.177324, 0.288489, 0.475175, 0.787905", \ + "0.020195, 0.075148, 0.11386, 0.179418, 0.290224, 0.47548, 0.787906", \ + "0.023818, 0.077391, 0.116701, 0.183278, 0.296128, 0.483062, 0.792477" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0715655, 0.124751, 0.151244, 0.191547, 0.256627, 0.363336, 0.540802", \ + "0.0982928, 0.150127, 0.176382, 0.216602, 0.281427, 0.388327, 0.565713", \ + "0.113497, 0.165274, 0.191321, 0.231477, 0.29594, 0.402458, 0.579894", \ + "0.137949, 0.190019, 0.216023, 0.256117, 0.320417, 0.426605, 0.603569", \ + "0.168031, 0.220294, 0.246562, 0.287072, 0.351623, 0.457844, 0.634522", \ + "0.210567, 0.263086, 0.28988, 0.330919, 0.39678, 0.504637, 0.682592", \ + "0.271197, 0.324902, 0.352272, 0.394226, 0.462579, 0.573977, 0.756566" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0201951, 0.0673896, 0.0971887, 0.147594, 0.233887, 0.380027, 0.626608", \ + "0.0201961, 0.0673906, 0.0971897, 0.147595, 0.233888, 0.381354, 0.626697", \ + "0.0201971, 0.0673916, 0.0971907, 0.147596, 0.233889, 0.381355, 0.627671", \ + "0.0201981, 0.0673926, 0.0971917, 0.147597, 0.23389, 0.381356, 0.627672", \ + "0.0201991, 0.0673936, 0.0971927, 0.147598, 0.233891, 0.381357, 0.627673", \ + "0.02085, 0.068121, 0.098521, 0.149158, 0.235713, 0.381834, 0.627674", \ + "0.024089, 0.070566, 0.1015, 0.153977, 0.243392, 0.392167, 0.63817" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A0 == 1'b1 & A2 == 1'b0 & S0 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A2 * !S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0653598, 0.118045, 0.147025, 0.193233, 0.269857, 0.397103, 0.608988", \ + "0.0911928, 0.143082, 0.1718, 0.217911, 0.294439, 0.421663, 0.63358", \ + "0.105031, 0.156837, 0.185367, 0.231242, 0.307553, 0.434585, 0.646559", \ + "0.124375, 0.176271, 0.205106, 0.250843, 0.327005, 0.453735, 0.66513", \ + "0.144867, 0.197144, 0.226077, 0.272101, 0.348264, 0.474933, 0.686078", \ + "0.170838, 0.224005, 0.253243, 0.30018, 0.377525, 0.505467, 0.717025", \ + "0.198256, 0.253252, 0.283223, 0.33116, 0.40994, 0.540414, 0.755624" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.018004, 0.0735901, 0.112052, 0.177378, 0.288491, 0.475011, 0.785896", \ + "0.018005, 0.0735911, 0.112053, 0.177379, 0.288492, 0.475127, 0.786107", \ + "0.018006, 0.0735921, 0.112054, 0.17738, 0.288493, 0.475128, 0.786108", \ + "0.018007, 0.0735931, 0.112055, 0.177381, 0.288494, 0.475129, 0.786109", \ + "0.0184688, 0.0738354, 0.112122, 0.177382, 0.288495, 0.47513, 0.78611", \ + "0.020199, 0.075107, 0.11387, 0.179437, 0.290193, 0.47548, 0.786111", \ + "0.023698, 0.077441, 0.116561, 0.183241, 0.295981, 0.483026, 0.792442" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0717611, 0.124816, 0.151363, 0.191808, 0.256775, 0.363538, 0.540957", \ + "0.0983655, 0.150255, 0.176509, 0.216694, 0.28162, 0.388435, 0.565874", \ + "0.113514, 0.165412, 0.191448, 0.231584, 0.296059, 0.402597, 0.579996", \ + "0.138005, 0.189923, 0.216101, 0.256044, 0.320204, 0.426385, 0.60334", \ + "0.167839, 0.220277, 0.246655, 0.287021, 0.35165, 0.45786, 0.634472", \ + "0.211121, 0.263001, 0.289841, 0.33081, 0.396722, 0.50453, 0.682555", \ + "0.27038, 0.324797, 0.352007, 0.394276, 0.46236, 0.573839, 0.756459" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0202299, 0.0673703, 0.097326, 0.147395, 0.233991, 0.380286, 0.62661", \ + "0.0202309, 0.0673713, 0.097327, 0.147396, 0.233992, 0.381337, 0.62669", \ + "0.0202319, 0.0673723, 0.097328, 0.147397, 0.233993, 0.381338, 0.627894", \ + "0.0202329, 0.0673733, 0.097329, 0.147398, 0.233994, 0.381339, 0.627895", \ + "0.0202339, 0.0673743, 0.09733, 0.147399, 0.233995, 0.38134, 0.627896", \ + "0.020872, 0.068134, 0.098501, 0.149175, 0.235798, 0.381857, 0.627897", \ + "0.024155, 0.07055, 0.10158, 0.154093, 0.243383, 0.392176, 0.637869" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.023715, 0.0238257, 0.0238895, 0.0238586, 0.0238465, 0.0236375, 0.0235528", \ + "0.0235293, 0.0235344, 0.0236644, 0.0235935, 0.0239227, 0.0234408, 0.0232918", \ + "0.0236601, 0.023594, 0.0235833, 0.0236892, 0.0236415, 0.0234417, 0.023316", \ + "0.0242708, 0.0240754, 0.0240873, 0.0240852, 0.0239262, 0.0242457, 0.0236709", \ + "0.0263517, 0.0257639, 0.0258863, 0.0258167, 0.0258223, 0.0255481, 0.025459", \ + "0.0314605, 0.0304803, 0.0302974, 0.0300451, 0.0300545, 0.0298441, 0.0296609", \ + "0.0426861, 0.0409355, 0.0405861, 0.0402389, 0.039987, 0.0398162, 0.0395303" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0233762, 0.0234768, 0.0236593, 0.0236271, 0.0235844, 0.0233917, 0.0228778", \ + "0.0233464, 0.0233776, 0.023494, 0.023496, 0.0237749, 0.0233205, 0.0229166", \ + "0.0235643, 0.0234616, 0.0234768, 0.0235376, 0.0238012, 0.0234647, 0.0229681", \ + "0.0242214, 0.0238806, 0.0240029, 0.0239826, 0.0238916, 0.0239467, 0.0235348", \ + "0.0261988, 0.0255076, 0.0256676, 0.0257369, 0.0257721, 0.0256272, 0.0250939", \ + "0.031192, 0.0300039, 0.0299559, 0.0297938, 0.0299345, 0.0300474, 0.0299917", \ + "0.0419856, 0.0401441, 0.0398423, 0.0397108, 0.0397337, 0.0392977, 0.0396503" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0152612, 0.0153976, 0.0154378, 0.0154317, 0.0153838, 0.0151699, 0.0152194", \ + "0.0151236, 0.0151346, 0.015256, 0.0151785, 0.0155168, 0.0149302, 0.014943", \ + "0.0152936, 0.0152579, 0.015249, 0.0153334, 0.0154354, 0.0151018, 0.0152154", \ + "0.0159048, 0.0156614, 0.0157057, 0.0157354, 0.0155138, 0.0158551, 0.0149968", \ + "0.0179337, 0.0174174, 0.0173827, 0.017425, 0.0174776, 0.0170907, 0.0170921", \ + "0.0230269, 0.0220501, 0.0218867, 0.0216432, 0.0216713, 0.0215912, 0.0213892", \ + "0.0343836, 0.0324529, 0.032109, 0.0318034, 0.0315322, 0.0313398, 0.0311001" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0159259, 0.0160352, 0.0161232, 0.0161628, 0.0160693, 0.0159664, 0.0154261", \ + "0.0158386, 0.0158677, 0.0159978, 0.0159931, 0.0161874, 0.0157935, 0.0154215", \ + "0.0160812, 0.0159582, 0.0159799, 0.0160381, 0.0163062, 0.0159331, 0.0154923", \ + "0.0167485, 0.0164446, 0.0165361, 0.0166068, 0.0164419, 0.0164614, 0.0160244", \ + "0.0188242, 0.0181659, 0.0182723, 0.0183455, 0.0183835, 0.018197, 0.017601", \ + "0.0238594, 0.0226903, 0.0226481, 0.0224979, 0.0226152, 0.0227369, 0.0226745", \ + "0.0346004, 0.0327645, 0.0324593, 0.0323376, 0.0323947, 0.0318887, 0.0322641" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0237036, 0.0238214, 0.0238671, 0.0238625, 0.0237919, 0.0236411, 0.023647", \ + "0.0235214, 0.023529, 0.0236481, 0.023586, 0.0238148, 0.0232956, 0.0233119", \ + "0.0236929, 0.0236159, 0.0235773, 0.0236623, 0.0236053, 0.0235131, 0.0238221", \ + "0.024291, 0.0240244, 0.0240728, 0.024072, 0.0239083, 0.0242087, 0.0236054", \ + "0.0264052, 0.0257608, 0.0258579, 0.0257456, 0.0257897, 0.0253809, 0.0254137", \ + "0.0313882, 0.0303412, 0.0302393, 0.0299617, 0.0299829, 0.0299304, 0.0295995", \ + "0.0425662, 0.0406793, 0.0403919, 0.0399464, 0.0397792, 0.0396851, 0.039519" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0177308, 0.0177862, 0.017926, 0.0178789, 0.0178558, 0.0176409, 0.0171866", \ + "0.0176336, 0.0176325, 0.017756, 0.0177865, 0.0178117, 0.0175852, 0.0172286", \ + "0.0178466, 0.0177159, 0.0177069, 0.0177696, 0.0179912, 0.0175673, 0.0173384", \ + "0.0185086, 0.0182042, 0.018272, 0.0182754, 0.0181047, 0.018178, 0.0176422", \ + "0.0205936, 0.0198873, 0.0199034, 0.0198857, 0.0200064, 0.0197967, 0.0192656", \ + "0.025519, 0.0242972, 0.0242548, 0.024042, 0.0243852, 0.0243288, 0.0242123", \ + "0.0362451, 0.0342838, 0.033981, 0.0337608, 0.0339232, 0.0334745, 0.0338251" \ + ); + } + } + internal_power () { + related_pin : "A3"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0223531, 0.0224766, 0.0225304, 0.0225176, 0.0224285, 0.0222456, 0.0222996", \ + "0.0221389, 0.0221394, 0.0222697, 0.0222111, 0.0225731, 0.0219313, 0.0218595", \ + "0.02231, 0.0222303, 0.0222438, 0.0222974, 0.0222506, 0.0221077, 0.0222497", \ + "0.0229248, 0.0226734, 0.0227118, 0.0227106, 0.022502, 0.022693, 0.0222811", \ + "0.0250063, 0.0243604, 0.0243752, 0.0244164, 0.0244185, 0.0241077, 0.0240553", \ + "0.0300493, 0.0290308, 0.0289349, 0.0286114, 0.0286736, 0.0285778, 0.0283349", \ + "0.0413323, 0.0393039, 0.0390443, 0.0386952, 0.0386008, 0.0383589, 0.037967" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0176258, 0.0177293, 0.0178433, 0.017793, 0.0177872, 0.0175284, 0.01702", \ + "0.0175293, 0.0175394, 0.0176776, 0.017652, 0.0177373, 0.0174774, 0.0170446", \ + "0.0177671, 0.0176061, 0.0176262, 0.0177035, 0.0178334, 0.0176073, 0.0172621", \ + "0.0184451, 0.0180662, 0.0182026, 0.0181937, 0.0180711, 0.018114, 0.0175149", \ + "0.0204885, 0.0197851, 0.0198753, 0.0198386, 0.0199713, 0.0196642, 0.0192295", \ + "0.0254801, 0.0242452, 0.0242121, 0.0241893, 0.024166, 0.0242621, 0.0241615", \ + "0.0363014, 0.0343025, 0.0340186, 0.0338433, 0.0337395, 0.0334755, 0.0338209" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(A2 * !A3 * S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180985, 0.0182173, 0.0182212, 0.0182456, 0.0181204, 0.0179448, 0.0178558", \ + "0.0174106, 0.0175624, 0.0177002, 0.0176935, 0.0175372, 0.0173157, 0.0169313", \ + "0.0167786, 0.0168361, 0.0168883, 0.0170221, 0.0169512, 0.0169439, 0.0166973", \ + "0.0152457, 0.0153122, 0.0153874, 0.0153547, 0.0152677, 0.0156626, 0.0149836", \ + "0.0125762, 0.0125772, 0.0126813, 0.0127866, 0.0127854, 0.0124696, 0.0125559", \ + "0.0075776, 0.0075097, 0.0075599, 0.0075854, 0.0076616, 0.0077282, 0.00756", \ + "-0.0021207, -0.0023894, -0.0024262, -0.0024428, -0.0023106, -0.0023139, -0.0022282" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0262188, 0.026279, 0.0263957, 0.0263746, 0.0263544, 0.0260126, 0.0254674", \ + "0.0274456, 0.0275234, 0.0276332, 0.0278453, 0.0276692, 0.0277256, 0.0270378", \ + "0.0270471, 0.0270266, 0.0270638, 0.0271146, 0.0273265, 0.0269024, 0.0264781", \ + "0.0256709, 0.0256962, 0.0257763, 0.0258386, 0.0256559, 0.0257282, 0.025318", \ + "0.0230518, 0.0230331, 0.023177, 0.0231892, 0.0232636, 0.0232833, 0.0224394", \ + "0.0180322, 0.0178606, 0.0179788, 0.0181018, 0.0180406, 0.0181473, 0.018253", \ + "0.0082472, 0.0079169, 0.0079208, 0.0078256, 0.0078289, 0.0080075, 0.0080619" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(A0 * !A1 * !S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180522, 0.0181508, 0.0181829, 0.0181797, 0.0181233, 0.0178369, 0.0178106", \ + "0.01742, 0.01755, 0.0177274, 0.0176961, 0.0175942, 0.0173172, 0.0169055", \ + "0.0167529, 0.0168344, 0.0168584, 0.0169932, 0.0168715, 0.0168212, 0.0162593", \ + "0.0152036, 0.0152721, 0.0153783, 0.0153734, 0.0152635, 0.0156439, 0.0152101", \ + "0.0125581, 0.0126151, 0.012687, 0.0127696, 0.0128057, 0.0124519, 0.0126226", \ + "0.0074803, 0.0074299, 0.0074811, 0.0074951, 0.0075811, 0.0076084, 0.0074305", \ + "-0.0022881, -0.0025027, -0.0026354, -0.0025919, -0.0025081, -0.002521, -0.0024576" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0262536, 0.0263748, 0.0264516, 0.0264392, 0.0264069, 0.0261803, 0.0256762", \ + "0.0278664, 0.0279671, 0.0280811, 0.0282597, 0.0280517, 0.027923, 0.027411", \ + "0.0273808, 0.0274782, 0.0275177, 0.0275187, 0.0276883, 0.0272175, 0.0276596", \ + "0.0259981, 0.0260797, 0.0261641, 0.0262524, 0.0261472, 0.0260141, 0.0260494", \ + "0.0233472, 0.0233584, 0.0235281, 0.0235107, 0.0236048, 0.023592, 0.0228414", \ + "0.018374, 0.0183235, 0.0184245, 0.0185206, 0.0185271, 0.0185378, 0.0187549", \ + "0.0083486, 0.0080162, 0.0081573, 0.008051, 0.0080797, 0.0082106, 0.0081924" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(!A2 * A3 * S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0117228, 0.0118501, 0.0119252, 0.0118939, 0.0118482, 0.0116461, 0.011584", \ + "0.0117036, 0.0116193, 0.0117268, 0.01168, 0.0116519, 0.0114261, 0.0114058", \ + "0.0121531, 0.0119131, 0.0119071, 0.0120323, 0.0120663, 0.0117754, 0.0118094", \ + "0.0131876, 0.0127465, 0.0127515, 0.0127305, 0.0125802, 0.012654, 0.0123201", \ + "0.0158648, 0.0150381, 0.0150409, 0.0149485, 0.0149285, 0.0144944, 0.0145738", \ + "0.0216094, 0.0200783, 0.0199068, 0.0198175, 0.0197316, 0.0196215, 0.019433", \ + "0.033988, 0.0314015, 0.031013, 0.0306365, 0.0304314, 0.0301444, 0.0301071" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124514, 0.0125938, 0.0126429, 0.0125811, 0.0126393, 0.0123145, 0.0119924", \ + "0.0126078, 0.0125218, 0.0126448, 0.0126148, 0.0126943, 0.0123785, 0.0119523", \ + "0.0131153, 0.0128229, 0.0128254, 0.012861, 0.0131927, 0.0129658, 0.0121829", \ + "0.0143275, 0.0137448, 0.0138435, 0.0139147, 0.0136233, 0.0136275, 0.0130196", \ + "0.0172953, 0.0162341, 0.016282, 0.0162594, 0.0163653, 0.0161681, 0.015482", \ + "0.0229385, 0.0212812, 0.0211685, 0.0210573, 0.0210069, 0.0211239, 0.0213007", \ + "0.0347418, 0.0320534, 0.0317047, 0.0313979, 0.0312477, 0.0313593, 0.0313734" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(!A0 * A1 * !S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0117708, 0.0119575, 0.0119791, 0.0119706, 0.0118939, 0.0117108, 0.0117013", \ + "0.0116886, 0.0116851, 0.0117937, 0.0117394, 0.0121085, 0.0115183, 0.0114121", \ + "0.0119891, 0.0118676, 0.0118481, 0.0118858, 0.0119818, 0.0116004, 0.0114856", \ + "0.01298, 0.012577, 0.0126254, 0.0126483, 0.0124367, 0.012708, 0.0122443", \ + "0.0157023, 0.0148522, 0.0148291, 0.0148201, 0.0148839, 0.0146083, 0.0143627", \ + "0.0217613, 0.0202358, 0.0201079, 0.0199535, 0.0199786, 0.0198949, 0.0197952", \ + "0.0337563, 0.0312731, 0.0308443, 0.0303206, 0.0301696, 0.0299319, 0.0299306" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126353, 0.0128421, 0.0129552, 0.0128983, 0.012745, 0.0126912, 0.0122075", \ + "0.0131072, 0.0130623, 0.0131937, 0.0132584, 0.0135613, 0.012904, 0.0124319", \ + "0.0136008, 0.0134158, 0.0134114, 0.0134044, 0.0134972, 0.013545, 0.012681", \ + "0.0147161, 0.0141862, 0.0142938, 0.0143555, 0.0140852, 0.0141854, 0.0135682", \ + "0.0176069, 0.0166506, 0.0166084, 0.0167752, 0.0167148, 0.0165934, 0.0158785", \ + "0.0232662, 0.021695, 0.0215956, 0.0214485, 0.021771, 0.0217019, 0.0216454", \ + "0.0350962, 0.0324667, 0.0321304, 0.0317214, 0.0319564, 0.0318494, 0.0315159" \ + ); + } + } + internal_power () { + related_pin : "S0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180985, 0.0182173, 0.0182212, 0.0182456, 0.0181204, 0.0179448, 0.0178558", \ + "0.0174106, 0.0175624, 0.0177002, 0.0176935, 0.0175372, 0.0173157, 0.0169313", \ + "0.0167786, 0.0168361, 0.0168883, 0.0170221, 0.0169512, 0.0169439, 0.0166973", \ + "0.0152457, 0.0153122, 0.0153874, 0.0153547, 0.0152677, 0.0156626, 0.0149836", \ + "0.0125762, 0.0125772, 0.0126813, 0.0127866, 0.0127854, 0.0124696, 0.0125559", \ + "0.0075776, 0.0075097, 0.0075599, 0.0075854, 0.0076616, 0.0077282, 0.00756", \ + "-0.0021207, -0.0023894, -0.0024262, -0.0024428, -0.0023106, -0.0023139, -0.0022282" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126353, 0.0128421, 0.0129552, 0.0128983, 0.012745, 0.0126912, 0.0122075", \ + "0.0131072, 0.0130623, 0.0131937, 0.0132584, 0.0135613, 0.012904, 0.0124319", \ + "0.0136008, 0.0134158, 0.0134114, 0.0134044, 0.0134972, 0.013545, 0.012681", \ + "0.0147161, 0.0141862, 0.0142938, 0.0143555, 0.0140852, 0.0141854, 0.0135682", \ + "0.0176069, 0.0166506, 0.0166084, 0.0167752, 0.0167148, 0.0165934, 0.0158785", \ + "0.0232662, 0.021695, 0.0215956, 0.0214485, 0.021771, 0.0217019, 0.0216454", \ + "0.0350962, 0.0324667, 0.0321304, 0.0317214, 0.0319564, 0.0318494, 0.0315159" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(A1 * !A3 * S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108312, 0.0110063, 0.0110741, 0.0110888, 0.01102, 0.0108315, 0.0108429", \ + "0.0113021, 0.0115684, 0.0116981, 0.0116831, 0.0115644, 0.0114076, 0.0110134", \ + "0.0117118, 0.0119272, 0.0119035, 0.011986, 0.0118534, 0.0121906, 0.0116543", \ + "0.0124551, 0.0126455, 0.0127189, 0.0127106, 0.0125468, 0.0127098, 0.0123357", \ + "0.013936, 0.0140976, 0.0141341, 0.0141871, 0.0142583, 0.0138414, 0.0139508", \ + "0.0170561, 0.0168682, 0.0169032, 0.016965, 0.0170579, 0.0171212, 0.0169555", \ + "0.025644, 0.0251983, 0.0250727, 0.0249501, 0.0249753, 0.0249543, 0.0250716" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109682, 0.0112087, 0.011302, 0.0112693, 0.0112177, 0.0110639, 0.0107357", \ + "0.0114708, 0.0117323, 0.011819, 0.0120093, 0.011828, 0.0118755, 0.0112521", \ + "0.0118205, 0.0121189, 0.0121531, 0.0121337, 0.0122595, 0.0121703, 0.0117561", \ + "0.0125761, 0.0128752, 0.0129462, 0.0130133, 0.012946, 0.0127454, 0.0127771", \ + "0.0139407, 0.0141076, 0.0140998, 0.0142586, 0.0143457, 0.0142152, 0.0136632", \ + "0.017543, 0.017367, 0.0173595, 0.0174827, 0.0174387, 0.0175853, 0.0177814", \ + "0.0258469, 0.0254262, 0.0253722, 0.0251666, 0.0251108, 0.0252583, 0.0253565" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(A0 * !A2 * !S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107636, 0.0110172, 0.0110794, 0.0110683, 0.0110288, 0.0108358, 0.0104345", \ + "0.0113168, 0.0115306, 0.0116689, 0.0115993, 0.011534, 0.0113499, 0.0109526", \ + "0.0117973, 0.0119115, 0.0118746, 0.0119645, 0.0118311, 0.011853, 0.0115844", \ + "0.0124526, 0.012645, 0.0127161, 0.0127091, 0.0125259, 0.0126283, 0.0120259", \ + "0.013781, 0.0138692, 0.0139416, 0.0139902, 0.0140535, 0.0137488, 0.0137914", \ + "0.0169479, 0.0167615, 0.0167889, 0.0168546, 0.0169382, 0.0170281, 0.016927", \ + "0.0244753, 0.0240455, 0.0238687, 0.0238152, 0.0238224, 0.0238011, 0.024" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109841, 0.011201, 0.0112919, 0.0112845, 0.011218, 0.0111506, 0.0107372", \ + "0.0114863, 0.0117409, 0.0118393, 0.012015, 0.0118546, 0.0118777, 0.0112781", \ + "0.0118244, 0.0121414, 0.0121741, 0.0121384, 0.0122922, 0.0120946, 0.0118071", \ + "0.0125841, 0.0128455, 0.0129278, 0.0130082, 0.012949, 0.012741, 0.0133222", \ + "0.0139325, 0.0140551, 0.0140799, 0.0142171, 0.0143203, 0.0142306, 0.0136149", \ + "0.0174103, 0.0171827, 0.0171877, 0.0172819, 0.0172566, 0.017439, 0.0175774", \ + "0.0254946, 0.0250043, 0.0249513, 0.0248305, 0.0246875, 0.024862, 0.0248689" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(!A1 * A3 * S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00671671, 0.00721831, 0.00724091, 0.00720281, 0.00708061, 0.00682331, 0.00667111", \ + "0.0067914, 0.0069872, 0.0070934, 0.0071215, 0.0070175, 0.0066834, 0.0068501", \ + "0.00711143, 0.00722173, 0.00725703, 0.00727603, 0.00727373, 0.00694323, 0.00688883", \ + "0.00816175, 0.00806215, 0.00808635, 0.00814645, 0.00801825, 0.00814895, 0.00779475", \ + "0.0107862, 0.0101475, 0.0101087, 0.0101472, 0.0102489, 0.0099888, 0.0097724", \ + "0.0164261, 0.0149801, 0.0148613, 0.0147384, 0.0148564, 0.0146711, 0.0145661", \ + "0.0274276, 0.0250264, 0.0246688, 0.0242054, 0.0239712, 0.02364, 0.0235522" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00607577, 0.00656867, 0.00662667, 0.00658837, 0.00646167, 0.00627677, 0.00595767", \ + "0.00622189, 0.00643219, 0.00652539, 0.00658729, 0.00642849, 0.00628179, 0.00587169", \ + "0.00668893, 0.00678893, 0.00681343, 0.00676023, 0.00689093, 0.00656463, 0.00621183", \ + "0.0078104, 0.0075535, 0.0076047, 0.0076807, 0.0074282, 0.0074719, 0.0074775", \ + "0.0106648, 0.0099785, 0.0100167, 0.0102079, 0.010058, 0.0101579, 0.0094617", \ + "0.0156971, 0.0140943, 0.0139325, 0.0139482, 0.0139396, 0.0141052, 0.0141591", \ + "0.0264887, 0.0236591, 0.0233242, 0.0229401, 0.022968, 0.0227422, 0.0228317" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(!A0 * A2 * !S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00670701, 0.00720991, 0.00723321, 0.00717531, 0.00709821, 0.00683881, 0.00677951", \ + "0.0068052, 0.0070077, 0.0070978, 0.0071608, 0.0070271, 0.0070231, 0.0068709", \ + "0.00713283, 0.00723963, 0.00727163, 0.00727633, 0.00731423, 0.00694303, 0.00689913", \ + "0.00815885, 0.00799245, 0.00809305, 0.00817345, 0.00795965, 0.00816555, 0.00738135", \ + "0.0108816, 0.0101482, 0.0101119, 0.0101576, 0.0101944, 0.0100257, 0.0097736", \ + "0.0166135, 0.0148156, 0.0147331, 0.0146048, 0.0145829, 0.0145572, 0.0143337", \ + "0.027666, 0.0250506, 0.0246466, 0.0241825, 0.0239771, 0.0236971, 0.023548" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00611007, 0.00656767, 0.00663977, 0.00660327, 0.00646397, 0.00636407, 0.00589237", \ + "0.00622229, 0.00644519, 0.00654339, 0.00674719, 0.00646089, 0.00629849, 0.00588669", \ + "0.00670683, 0.00677163, 0.00674753, 0.00676343, 0.00697763, 0.00655493, 0.00617663", \ + "0.0078271, 0.0075658, 0.0075794, 0.0076994, 0.0074429, 0.0073937, 0.0072446", \ + "0.0104406, 0.0097206, 0.0097344, 0.0099287, 0.0098596, 0.0098444, 0.0090656", \ + "0.0157402, 0.0141335, 0.0141176, 0.0141976, 0.0140757, 0.0141651, 0.0142532", \ + "0.0264372, 0.0236035, 0.0233022, 0.0229039, 0.0229636, 0.0226979, 0.0227992" \ + ); + } + } + internal_power () { + related_pin : "S1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107636, 0.0110172, 0.0110794, 0.0110683, 0.0110288, 0.0108358, 0.0104345", \ + "0.0113168, 0.0115306, 0.0116689, 0.0115993, 0.011534, 0.0113499, 0.0109526", \ + "0.0117973, 0.0119115, 0.0118746, 0.0119645, 0.0118311, 0.011853, 0.0115844", \ + "0.0124526, 0.012645, 0.0127161, 0.0127091, 0.0125259, 0.0126283, 0.0120259", \ + "0.013781, 0.0138692, 0.0139416, 0.0139902, 0.0140535, 0.0137488, 0.0137914", \ + "0.0169479, 0.0167615, 0.0167889, 0.0168546, 0.0169382, 0.0170281, 0.016927", \ + "0.0244753, 0.0240455, 0.0238687, 0.0238152, 0.0238224, 0.0238011, 0.024" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109841, 0.011201, 0.0112919, 0.0112845, 0.011218, 0.0111506, 0.0107372", \ + "0.0114863, 0.0117409, 0.0118393, 0.012015, 0.0118546, 0.0118777, 0.0112781", \ + "0.0118244, 0.0121414, 0.0121741, 0.0121384, 0.0122922, 0.0120946, 0.0118071", \ + "0.0125841, 0.0128455, 0.0129278, 0.0130082, 0.012949, 0.012741, 0.0133222", \ + "0.0139325, 0.0140551, 0.0140799, 0.0142171, 0.0143203, 0.0142306, 0.0136149", \ + "0.0174103, 0.0171827, 0.0171877, 0.0172819, 0.0172566, 0.017439, 0.0175774", \ + "0.0254946, 0.0250043, 0.0249513, 0.0248305, 0.0246875, 0.024862, 0.0248689" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0030481; + rise_capacitance : 0.00307544; + rise_capacitance_range (0.00307544, 0.00307544); + fall_capacitance : 0.00302076; + fall_capacitance_range (0.00302076, 0.00302076); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00302548; + rise_capacitance : 0.00305184; + rise_capacitance_range (0.00305184, 0.00305184); + fall_capacitance : 0.00299913; + fall_capacitance_range (0.00299913, 0.00299913); + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00304595; + rise_capacitance : 0.00307622; + rise_capacitance_range (0.00307622, 0.00307622); + fall_capacitance : 0.00301567; + fall_capacitance_range (0.00301567, 0.00301567); + } + pin (A3) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00313343; + rise_capacitance : 0.00315829; + rise_capacitance_range (0.00315829, 0.00315829); + fall_capacitance : 0.00310857; + fall_capacitance_range (0.00310857, 0.00310857); + } + pin (S0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00882482; + rise_capacitance : 0.0105061; + rise_capacitance_range (0.0105061, 0.0105061); + fall_capacitance : 0.00714356; + fall_capacitance_range (0.00714356, 0.00714356); + internal_power () { + when : "(A2 * A3 * S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00821446, 0.00825649, 0.00914723, 0.0115391, 0.0170366, 0.0288365, 0.0531791" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0124798, 0.0130142, 0.0140924, 0.0165633, 0.0220568, 0.0335103, 0.0572305" \ + ); + } + } + internal_power () { + when : "(A0 * A1 * !S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00887395, 0.00879727, 0.00960402, 0.0119144, 0.0172864, 0.0290177, 0.0534172" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0143715, 0.0151366, 0.0162072, 0.0186194, 0.0239911, 0.0354043, 0.059046" \ + ); + } + } + internal_power () { + when : "(!A2 * !A3 * S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00891971, 0.00896425, 0.00986524, 0.0123075, 0.0177911, 0.0295441, 0.0539262" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0120401, 0.0125205, 0.0135793, 0.0160419, 0.0214616, 0.0328932, 0.0564504" \ + ); + } + } + internal_power () { + when : "(!A0 * !A1 * !S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00992212, 0.00988396, 0.0107281, 0.0130248, 0.0184778, 0.0303092, 0.0543941" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00853586, 0.00881047, 0.00977971, 0.0121566, 0.01756, 0.0293261, 0.0522702" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00891971, 0.00896425, 0.00986524, 0.0123075, 0.0177911, 0.0295441, 0.0539262" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0120401, 0.0125205, 0.0135793, 0.0160419, 0.0214616, 0.0328932, 0.0564504" \ + ); + } + } + } + pin (S1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00537825; + rise_capacitance : 0.0054174; + rise_capacitance_range (0.0054174, 0.0054174); + fall_capacitance : 0.0053391; + fall_capacitance_range (0.0053391, 0.0053391); + internal_power () { + when : "(A1 * A3 * S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00455769, 0.00468582, 0.0052485, 0.00659703, 0.00962125, 0.0160544, 0.0294375" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00519904, 0.00560822, 0.0061942, 0.00759102, 0.0107506, 0.0169403, 0.0297743" \ + ); + } + } + internal_power () { + when : "(A0 * A2 * !S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00454984, 0.00467794, 0.00524444, 0.00658626, 0.00962045, 0.0160786, 0.0296783" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00520368, 0.00556181, 0.00612678, 0.00750598, 0.0105691, 0.0168542, 0.0298911" \ + ); + } + } + internal_power () { + when : "(!A1 * !A3 * S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00504621, 0.00523496, 0.00579116, 0.00716753, 0.0101956, 0.0166868, 0.0302545" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00496543, 0.00528831, 0.00588837, 0.0072782, 0.0103982, 0.0166792, 0.029858" \ + ); + } + } + internal_power () { + when : "(!A0 * !A2 * !S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00507459, 0.0052232, 0.00578577, 0.00714865, 0.0102132, 0.0166871, 0.0299942" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00496554, 0.00529452, 0.00596583, 0.00727895, 0.0102941, 0.0164411, 0.0295078" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00507459, 0.0052232, 0.00578577, 0.00714865, 0.0102132, 0.0166871, 0.0299942" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00496543, 0.00528831, 0.00588837, 0.0072782, 0.0103982, 0.0166792, 0.029858" \ + ); + } + } + } + } + cell (sg13g2_nand2_1) { + area : 7.2576; + cell_footprint : "nand2"; + cell_leakage_power : 184.606; + leakage_power () { + value : 141.864; + when : "!A&!B"; + } + leakage_power () { + value : 201.149; + when : "!A&B"; + } + leakage_power () { + value : 79.7798; + when : "A*!B"; + } + leakage_power () { + value : 315.633; + when : "A*B"; + } + pin (Y) { + direction : "output"; + function : "!(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0166546, 0.0586807, 0.0861924, 0.131806, 0.207534, 0.334085, 0.544726", \ + "0.0295617, 0.0954374, 0.126754, 0.173891, 0.250012, 0.376499, 0.58955", \ + "0.035529, 0.12009, 0.157789, 0.21112, 0.290955, 0.418033, 0.628731", \ + "0.0419575, 0.156015, 0.205474, 0.271329, 0.364277, 0.500866, 0.713934", \ + "0.0466839, 0.198452, 0.266286, 0.354841, 0.474077, 0.637677, 0.873217", \ + "0.0466849, 0.250379, 0.342134, 0.464883, 0.625954, 0.839843, 1.12921", \ + "0.0466859, 0.30681, 0.429407, 0.596211, 0.821007, 1.11466, 1.49824" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108874, 0.0661215, 0.106331, 0.173075, 0.284221, 0.469896, 0.779233", \ + "0.0254411, 0.0812124, 0.116683, 0.178028, 0.285581, 0.469917, 0.781657", \ + "0.0360629, 0.100663, 0.136044, 0.194436, 0.295762, 0.473662, 0.783986", \ + "0.0529925, 0.134603, 0.173651, 0.233558, 0.330374, 0.49676, 0.78943", \ + "0.0800914, 0.190343, 0.240502, 0.309422, 0.411033, 0.572828, 0.84666", \ + "0.124389, 0.272983, 0.343156, 0.432039, 0.55347, 0.727613, 1.00141", \ + "0.202425, 0.403912, 0.498289, 0.623058, 0.788258, 1.00011, 1.30336" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0224955, 0.0879246, 0.131742, 0.204015, 0.324942, 0.526356, 0.86208", \ + "0.038717, 0.125168, 0.171021, 0.243723, 0.364725, 0.566138, 0.901832", \ + "0.0482903, 0.152841, 0.204571, 0.281696, 0.403914, 0.605281, 0.940855", \ + "0.0651681, 0.197809, 0.260698, 0.34979, 0.482282, 0.688141, 1.02428", \ + "0.0863294, 0.257571, 0.338297, 0.449171, 0.606337, 0.834747, 1.18345", \ + "0.118576, 0.342425, 0.445977, 0.589765, 0.788652, 1.06684, 1.46363", \ + "0.168747, 0.466341, 0.600297, 0.784637, 1.04477, 1.39946, 1.89137" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0146182, 0.0982781, 0.157656, 0.256275, 0.421193, 0.69613, 1.15428", \ + "0.0284142, 0.109482, 0.164144, 0.258247, 0.421194, 0.696131, 1.15429", \ + "0.0386642, 0.126912, 0.180248, 0.26984, 0.426409, 0.699005, 1.1543", \ + "0.0557846, 0.159875, 0.216139, 0.304349, 0.453332, 0.7114, 1.15791", \ + "0.0838058, 0.214298, 0.279069, 0.375189, 0.524489, 0.771306, 1.1946", \ + "0.130963, 0.296236, 0.379685, 0.493807, 0.662611, 0.915792, 1.32563", \ + "0.214341, 0.431575, 0.532433, 0.677195, 0.88271, 1.17784, 1.61258" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194018, 0.0606847, 0.0882345, 0.133606, 0.209554, 0.336152, 0.546801", \ + "0.0360819, 0.0980755, 0.129007, 0.175922, 0.251954, 0.37858, 0.589312", \ + "0.0445728, 0.123499, 0.160512, 0.213271, 0.292989, 0.419916, 0.630465", \ + "0.0553635, 0.1613, 0.2096, 0.274646, 0.366877, 0.50316, 0.716033", \ + "0.0662158, 0.206651, 0.272592, 0.359591, 0.47784, 0.640711, 0.875609", \ + "0.0763852, 0.263527, 0.352451, 0.472727, 0.631773, 0.843959, 1.13214", \ + "0.0808099, 0.33027, 0.448009, 0.610502, 0.830967, 1.12184, 1.50355" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130289, 0.0688111, 0.10902, 0.175631, 0.287057, 0.472754, 0.782064", \ + "0.0281291, 0.0836521, 0.11929, 0.180749, 0.288371, 0.472954, 0.782207", \ + "0.0386256, 0.103084, 0.138544, 0.196966, 0.298545, 0.47633, 0.784028", \ + "0.0566906, 0.136921, 0.175951, 0.236165, 0.332993, 0.499498, 0.792149", \ + "0.082205, 0.192255, 0.2424, 0.311773, 0.413206, 0.575477, 0.849362", \ + "0.123882, 0.274814, 0.343465, 0.432734, 0.555889, 0.730186, 1.00391", \ + "0.196062, 0.401189, 0.49525, 0.620039, 0.785065, 1.00213, 1.30591" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0253262, 0.089937, 0.133697, 0.205923, 0.326813, 0.528269, 0.864242", \ + "0.0411149, 0.12009, 0.165434, 0.238301, 0.35939, 0.560935, 0.896751", \ + "0.0498411, 0.143356, 0.192661, 0.26857, 0.390723, 0.592519, 0.928416", \ + "0.0644692, 0.183231, 0.240375, 0.324476, 0.453507, 0.658774, 0.995248", \ + "0.0828771, 0.237213, 0.309182, 0.409386, 0.555952, 0.776213, 1.12181", \ + "0.110836, 0.316382, 0.408054, 0.536111, 0.715574, 0.969801, 1.34809", \ + "0.151625, 0.429862, 0.552147, 0.716098, 0.946215, 1.2648, 1.71024" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135431, 0.0981916, 0.157706, 0.256212, 0.421203, 0.696119, 1.15424", \ + "0.0238701, 0.105192, 0.161701, 0.257546, 0.422711, 0.69612, 1.15425", \ + "0.0335476, 0.117019, 0.172036, 0.264839, 0.424502, 0.69966, 1.15426", \ + "0.0514473, 0.141389, 0.197243, 0.287531, 0.441649, 0.70576, 1.15692", \ + "0.0798232, 0.185472, 0.24497, 0.339294, 0.490054, 0.74488, 1.18005", \ + "0.124456, 0.258553, 0.32858, 0.43198, 0.592454, 0.844629, 1.26604", \ + "0.199524, 0.382223, 0.464322, 0.585773, 0.769547, 1.04165, 1.47058" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0019402, 0.00255236, 0.0025539, 0.00255345, 0.00238325, 0.00215274, 0.00170568", \ + "0.00220973, 0.0022987, 0.00238939, 0.00233851, 0.00263734, 0.00211601, 0.00225425", \ + "0.00289871, 0.0024877, 0.00241461, 0.00245619, 0.00235473, 0.00239912, 0.00247963", \ + "0.00436693, 0.00312357, 0.00295688, 0.00269614, 0.00247301, 0.00246154, 0.0019566", \ + "0.00774431, 0.00528605, 0.00470077, 0.00411588, 0.00360089, 0.00274819, 0.00273345", \ + "0.0144141, 0.0104556, 0.00911204, 0.00800511, 0.00661838, 0.00541484, 0.00406242", \ + "0.0282377, 0.0222351, 0.0200643, 0.017517, 0.0151535, 0.0121469, 0.00964246" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00273861, 0.00331603, 0.00330743, 0.00327537, 0.00316973, 0.00296997, 0.00253031", \ + "0.00292146, 0.00302805, 0.00314298, 0.00309878, 0.0030275, 0.00283488, 0.00251718", \ + "0.0034721, 0.00313015, 0.00309026, 0.00321437, 0.00299159, 0.00304686, 0.00241409", \ + "0.00492415, 0.00373845, 0.00356246, 0.00335283, 0.00328575, 0.00291872, 0.00267232", \ + "0.00824216, 0.00578696, 0.00514583, 0.00458556, 0.00407816, 0.00355356, 0.00335415", \ + "0.015155, 0.0105915, 0.0093592, 0.00795813, 0.00685157, 0.00572088, 0.00421777", \ + "0.02935, 0.0222756, 0.019771, 0.0172185, 0.0146807, 0.0119368, 0.00959646" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0020373, 0.00234951, 0.00224331, 0.00217626, 0.00205669, 0.00181001, 0.00135905", \ + "0.00228441, 0.00217304, 0.00221009, 0.00208148, 0.00244643, 0.00178416, 0.00132103", \ + "0.00289584, 0.00246203, 0.00232915, 0.00223903, 0.00209668, 0.00202673, 0.00162279", \ + "0.00428163, 0.00314532, 0.00291015, 0.00265568, 0.00228777, 0.00249621, 0.00168494", \ + "0.00759083, 0.00538778, 0.00472672, 0.00414249, 0.00351302, 0.00267327, 0.00237083", \ + "0.0140521, 0.0106441, 0.00933825, 0.00802517, 0.00673403, 0.00541516, 0.0039702", \ + "0.0274999, 0.0226338, 0.0206113, 0.0179338, 0.0153729, 0.0125258, 0.00987375" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00497639, 0.00539497, 0.00539132, 0.00533142, 0.00522175, 0.00500796, 0.00458869", \ + "0.00482492, 0.00511129, 0.00527189, 0.00516344, 0.00524981, 0.00489767, 0.00450067", \ + "0.00512619, 0.00514005, 0.00512747, 0.00529159, 0.00508768, 0.00522849, 0.00452175", \ + "0.00627894, 0.0055328, 0.0054596, 0.00525467, 0.00528002, 0.00489585, 0.00470992", \ + "0.00937579, 0.00706818, 0.00661361, 0.00631884, 0.0058367, 0.00540736, 0.00539543", \ + "0.0160487, 0.0116609, 0.0104035, 0.00933724, 0.00837254, 0.00738289, 0.00598124", \ + "0.0298505, 0.0229277, 0.0204154, 0.0177864, 0.0153665, 0.0129495, 0.0110198" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00313247; + rise_capacitance : 0.00314998; + rise_capacitance_range (0.00314998, 0.00314998); + fall_capacitance : 0.00311496; + fall_capacitance_range (0.00311496, 0.00311496); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00323685; + rise_capacitance : 0.00337927; + rise_capacitance_range (0.00337927, 0.00337927); + fall_capacitance : 0.00309444; + fall_capacitance_range (0.00309444, 0.00309444); + } + } + cell (sg13g2_nand2_2) { + area : 10.8864; + cell_footprint : "nand2"; + cell_leakage_power : 362.546; + leakage_power () { + value : 283.652; + when : "!A&!B"; + } + leakage_power () { + value : 393.252; + when : "!A&B"; + } + leakage_power () { + value : 159.305; + when : "A*!B"; + } + leakage_power () { + value : 613.974; + when : "A*B"; + } + pin (Y) { + direction : "output"; + function : "!(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.015042, 0.0586838, 0.0863332, 0.131941, 0.208267, 0.335511, 0.547364", \ + "0.0261246, 0.0953775, 0.126847, 0.17417, 0.25066, 0.377872, 0.589786", \ + "0.0307851, 0.120019, 0.157937, 0.211408, 0.291692, 0.419363, 0.631156", \ + "0.0352493, 0.155658, 0.205219, 0.27146, 0.364801, 0.502039, 0.716112", \ + "0.0373994, 0.197756, 0.265939, 0.354852, 0.4748, 0.638891, 0.875397", \ + "0.0374004, 0.248394, 0.34082, 0.464296, 0.626235, 0.841061, 1.1314", \ + "0.0374014, 0.302433, 0.425773, 0.593885, 0.819633, 1.11519, 1.50012" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00956436, 0.066214, 0.107032, 0.173548, 0.285581, 0.472346, 0.783518", \ + "0.0232164, 0.0813183, 0.116997, 0.17872, 0.28693, 0.472347, 0.783649", \ + "0.0332948, 0.100726, 0.136156, 0.195002, 0.297004, 0.475846, 0.784353", \ + "0.0487823, 0.134816, 0.174003, 0.234299, 0.33175, 0.499009, 0.793465", \ + "0.0750282, 0.190813, 0.240822, 0.310141, 0.412423, 0.575329, 0.850492", \ + "0.117887, 0.275102, 0.34353, 0.433229, 0.554969, 0.729917, 1.00452", \ + "0.194262, 0.40543, 0.500131, 0.625197, 0.791157, 1.00288, 1.30761" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.020762, 0.0911965, 0.137094, 0.212838, 0.33943, 0.550584, 0.90238", \ + "0.0356112, 0.128436, 0.176191, 0.252404, 0.379135, 0.590137, 0.942034", \ + "0.0444697, 0.156624, 0.210189, 0.2904, 0.418192, 0.629056, 0.980547", \ + "0.060451, 0.202518, 0.267244, 0.359378, 0.496885, 0.711866, 1.06394", \ + "0.0808046, 0.263588, 0.346623, 0.460642, 0.622753, 0.859647, 1.22286", \ + "0.112505, 0.350757, 0.457227, 0.605573, 0.809169, 1.09528, 1.50604", \ + "0.163522, 0.479505, 0.616814, 0.804811, 1.07153, 1.43557, 1.94154" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0129064, 0.102668, 0.165196, 0.26861, 0.441802, 0.730386, 1.21141", \ + "0.0257338, 0.1135, 0.171148, 0.270501, 0.441936, 0.730387, 1.21142", \ + "0.0353611, 0.130775, 0.186887, 0.281281, 0.446477, 0.730693, 1.21143", \ + "0.0516938, 0.164286, 0.222777, 0.315469, 0.4724, 0.744159, 1.21494", \ + "0.0781768, 0.218786, 0.286108, 0.386139, 0.542887, 0.80236, 1.24871", \ + "0.123226, 0.301989, 0.386368, 0.505652, 0.680693, 0.946008, 1.37767", \ + "0.204205, 0.43537, 0.540669, 0.69252, 0.903274, 1.20966, 1.66064" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0182159, 0.0610234, 0.0886785, 0.13434, 0.210641, 0.337881, 0.549679", \ + "0.0337933, 0.0984992, 0.129599, 0.176736, 0.253217, 0.380355, 0.592086", \ + "0.0415203, 0.124035, 0.161203, 0.21417, 0.294209, 0.421817, 0.633567", \ + "0.0509616, 0.161494, 0.210042, 0.275281, 0.367889, 0.50468, 0.718532", \ + "0.0600589, 0.206815, 0.272843, 0.360308, 0.478798, 0.642318, 0.878149", \ + "0.0672259, 0.262701, 0.352295, 0.472928, 0.63252, 0.845623, 1.13471", \ + "0.0672269, 0.327042, 0.446022, 0.609294, 0.830576, 1.12219, 1.50575" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0118681, 0.0691792, 0.110238, 0.176654, 0.288681, 0.475433, 0.786877", \ + "0.0261634, 0.0839137, 0.119753, 0.181675, 0.290022, 0.475434, 0.786878", \ + "0.0362751, 0.103268, 0.138832, 0.197823, 0.299938, 0.478894, 0.790609", \ + "0.0531444, 0.13736, 0.176412, 0.236878, 0.334359, 0.502104, 0.796454", \ + "0.0778132, 0.192712, 0.243049, 0.312793, 0.41457, 0.577794, 0.8535", \ + "0.117953, 0.276017, 0.344362, 0.434192, 0.557415, 0.732569, 1.00778", \ + "0.187236, 0.404615, 0.497229, 0.622961, 0.787966, 1.00515, 1.31043" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0245932, 0.0940202, 0.139883, 0.215566, 0.342139, 0.553274, 0.904801", \ + "0.0397511, 0.124348, 0.171644, 0.247881, 0.374687, 0.585958, 0.937577", \ + "0.047728, 0.147905, 0.199066, 0.278135, 0.405928, 0.617231, 0.96892", \ + "0.0611907, 0.18835, 0.247482, 0.334657, 0.469061, 0.683427, 1.03588", \ + "0.0784651, 0.243435, 0.317433, 0.420837, 0.572491, 0.801647, 1.16208", \ + "0.105925, 0.32456, 0.41863, 0.550522, 0.733817, 0.997751, 1.39064", \ + "0.147341, 0.442052, 0.567407, 0.735114, 0.970736, 1.29901, 1.75903" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0117412, 0.102662, 0.165185, 0.268623, 0.441803, 0.730395, 1.21115", \ + "0.0214805, 0.109272, 0.16881, 0.269666, 0.441804, 0.730396, 1.21116", \ + "0.0308936, 0.120845, 0.17902, 0.276575, 0.444684, 0.731604, 1.21161", \ + "0.0487075, 0.14527, 0.203513, 0.298767, 0.461052, 0.738979, 1.21368", \ + "0.0768182, 0.189294, 0.251961, 0.349697, 0.508521, 0.776711, 1.23487", \ + "0.120425, 0.263022, 0.335795, 0.443739, 0.610284, 0.875264, 1.31834", \ + "0.194842, 0.387752, 0.471716, 0.598321, 0.787447, 1.07357, 1.52102" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00358165, 0.00496299, 0.00501515, 0.00478258, 0.00453948, 0.0040911, 0.00328086", \ + "0.00433735, 0.00440805, 0.00461245, 0.00448133, 0.00491304, 0.00399038, 0.0031897", \ + "0.00571548, 0.00478118, 0.00461234, 0.0046997, 0.00442614, 0.00453058, 0.00332786", \ + "0.00867316, 0.0060354, 0.00570875, 0.00523914, 0.00480221, 0.00462953, 0.00381171", \ + "0.0154356, 0.0102579, 0.00908106, 0.00791256, 0.00702844, 0.00532137, 0.00491066", \ + "0.0285925, 0.0204187, 0.0175818, 0.015507, 0.0128229, 0.0104319, 0.00757331", \ + "0.0558644, 0.0434853, 0.0390851, 0.0339502, 0.0293252, 0.0233603, 0.0185646" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00506484, 0.00631061, 0.0063348, 0.00626487, 0.00603015, 0.00566107, 0.00489473", \ + "0.00558709, 0.00576949, 0.00596749, 0.00618228, 0.00580794, 0.00547295, 0.00474678", \ + "0.00672838, 0.00593924, 0.00589978, 0.00619537, 0.00589058, 0.00535617, 0.00459848", \ + "0.00969504, 0.00718964, 0.00681111, 0.00634922, 0.00630653, 0.00556403, 0.00460849", \ + "0.0163357, 0.0111256, 0.00990636, 0.00876758, 0.00770948, 0.00677824, 0.00584252", \ + "0.0300343, 0.020639, 0.0180594, 0.0155579, 0.013313, 0.0107951, 0.00827329", \ + "0.0580402, 0.0433326, 0.0385193, 0.0335534, 0.0284338, 0.0231056, 0.0179654" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0044752, 0.00514124, 0.00511883, 0.00480122, 0.00449841, 0.00401386, 0.00323104", \ + "0.00493904, 0.00478147, 0.00484158, 0.00460287, 0.00544159, 0.00395803, 0.00316491", \ + "0.00622639, 0.00526494, 0.00501388, 0.00490641, 0.00452582, 0.00475601, 0.00444545", \ + "0.00900318, 0.00665408, 0.00622558, 0.00557451, 0.00493243, 0.00536374, 0.00391875", \ + "0.0155372, 0.0109864, 0.00970617, 0.00859852, 0.00743216, 0.00569916, 0.00526884", \ + "0.0282729, 0.0212068, 0.0186506, 0.0160881, 0.0135366, 0.0110533, 0.00739727", \ + "0.054685, 0.0447448, 0.0406202, 0.0354659, 0.0303174, 0.0245954, 0.019606" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00943378, 0.0103803, 0.0103922, 0.0102957, 0.0100448, 0.00965251, 0.0088487", \ + "0.00916823, 0.00983037, 0.0101662, 0.0101523, 0.00982214, 0.00949196, 0.00870381", \ + "0.00976959, 0.00978848, 0.00987706, 0.0102141, 0.0098674, 0.00954794, 0.00875314", \ + "0.012064, 0.010517, 0.0103746, 0.01012, 0.010184, 0.00951599, 0.00865768", \ + "0.0182442, 0.0134443, 0.0126703, 0.0119921, 0.0112335, 0.0105153, 0.00970531", \ + "0.0314992, 0.0223347, 0.0199431, 0.0179705, 0.0159009, 0.0139456, 0.0113716", \ + "0.0587776, 0.0443633, 0.0394883, 0.0342977, 0.0293863, 0.0250709, 0.0209785" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00595807; + rise_capacitance : 0.005999; + rise_capacitance_range (0.005999, 0.005999); + fall_capacitance : 0.00591714; + fall_capacitance_range (0.00591714, 0.00591714); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00614792; + rise_capacitance : 0.00643058; + rise_capacitance_range (0.00643058, 0.00643058); + fall_capacitance : 0.00586526; + fall_capacitance_range (0.00586526, 0.00586526); + } + } + cell (sg13g2_nand2b_1) { + area : 9.072; + cell_footprint : "nand2b1"; + cell_leakage_power : 269.633; + leakage_power () { + value : 373.983; + when : "!A_N&B"; + } + leakage_power () { + value : 312.865; + when : "A_N&B"; + } + leakage_power () { + value : 253.564; + when : "A_N&!B"; + } + leakage_power () { + value : 138.121; + when : "!A_N&!B"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0372586, 0.0795892, 0.107403, 0.153219, 0.229907, 0.357557, 0.570247", \ + "0.0617483, 0.104951, 0.13276, 0.178545, 0.255215, 0.38291, 0.595685", \ + "0.0762688, 0.120221, 0.147975, 0.193817, 0.270468, 0.398049, 0.610803", \ + "0.0997731, 0.146217, 0.173798, 0.219314, 0.295757, 0.423263, 0.635187", \ + "0.128033, 0.180525, 0.208114, 0.253132, 0.329369, 0.456755, 0.669152", \ + "0.166727, 0.229727, 0.25948, 0.305215, 0.382224, 0.509282, 0.721569", \ + "0.21985, 0.298572, 0.33269, 0.380996, 0.4611, 0.591043, 0.803808" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114038, 0.0682434, 0.108802, 0.175973, 0.288382, 0.47577, 0.788114", \ + "0.0148974, 0.0688743, 0.109161, 0.176149, 0.288441, 0.475832, 0.788388", \ + "0.0178269, 0.0695811, 0.109553, 0.176461, 0.288638, 0.475833, 0.788389", \ + "0.0226755, 0.0712598, 0.110508, 0.176996, 0.289162, 0.476087, 0.78839", \ + "0.0304456, 0.075953, 0.113243, 0.178501, 0.290061, 0.476802, 0.78855", \ + "0.042319, 0.087493, 0.121543, 0.184327, 0.293949, 0.479469, 0.790394", \ + "0.061061, 0.110724, 0.142037, 0.200052, 0.306995, 0.490669, 0.798891" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0441137, 0.110089, 0.153986, 0.226269, 0.347305, 0.548612, 0.884246", \ + "0.0677355, 0.134199, 0.178128, 0.250504, 0.371464, 0.574104, 0.908741", \ + "0.0809635, 0.147735, 0.191838, 0.264289, 0.385479, 0.587071, 0.923988", \ + "0.10011, 0.167539, 0.211301, 0.283482, 0.405255, 0.606875, 0.942073", \ + "0.120681, 0.191439, 0.234708, 0.30668, 0.427439, 0.629192, 0.964773", \ + "0.146582, 0.22436, 0.268242, 0.340202, 0.461214, 0.662401, 0.997502", \ + "0.175233, 0.265475, 0.311956, 0.385529, 0.50765, 0.710725, 1.04587" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153811, 0.100055, 0.159611, 0.258059, 0.42297, 0.697789, 1.15585", \ + "0.017911, 0.100453, 0.159716, 0.25806, 0.424769, 0.698935, 1.15586", \ + "0.0203752, 0.100941, 0.160119, 0.258354, 0.42477, 0.698936, 1.15774", \ + "0.0249119, 0.101956, 0.16071, 0.258939, 0.424771, 0.698937, 1.15775", \ + "0.0310907, 0.104598, 0.162337, 0.259885, 0.424772, 0.698938, 1.15776", \ + "0.041278, 0.111632, 0.167364, 0.263796, 0.426868, 0.700038, 1.15777", \ + "0.057963, 0.127452, 0.180159, 0.273994, 0.436713, 0.707937, 1.16189" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.018887, 0.0607298, 0.0883695, 0.133993, 0.210082, 0.337031, 0.548334", \ + "0.0357176, 0.0981986, 0.129317, 0.176364, 0.252662, 0.379404, 0.590682", \ + "0.0442501, 0.123769, 0.1609, 0.213864, 0.293711, 0.421004, 0.632312", \ + "0.0551884, 0.16138, 0.209801, 0.274896, 0.367405, 0.504052, 0.717411", \ + "0.0662123, 0.206844, 0.272861, 0.35996, 0.478221, 0.641528, 0.876865", \ + "0.0766677, 0.26378, 0.35288, 0.472863, 0.632341, 0.844805, 1.13373", \ + "0.0813775, 0.330758, 0.448691, 0.611173, 0.8318, 1.12292, 1.50524" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135482, 0.0692782, 0.109543, 0.176332, 0.288047, 0.474305, 0.784816", \ + "0.0289573, 0.0840992, 0.119724, 0.181362, 0.28943, 0.474396, 0.784817", \ + "0.0393784, 0.103387, 0.138848, 0.197509, 0.299401, 0.477854, 0.78567", \ + "0.0574759, 0.137285, 0.176413, 0.23651, 0.333866, 0.501004, 0.794398", \ + "0.0832141, 0.192553, 0.242735, 0.312066, 0.414148, 0.576758, 0.85165", \ + "0.125034, 0.275292, 0.343599, 0.433601, 0.555766, 0.731471, 1.00623", \ + "0.197067, 0.401869, 0.495644, 0.62061, 0.785892, 1.00317, 1.30805" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.027228, 0.0927013, 0.136505, 0.208821, 0.329746, 0.531088, 0.86679", \ + "0.0424767, 0.121952, 0.16742, 0.240372, 0.361599, 0.563134, 0.898918", \ + "0.0511285, 0.144921, 0.19439, 0.270353, 0.392707, 0.594554, 0.930451", \ + "0.0654329, 0.184434, 0.241785, 0.326077, 0.455322, 0.660683, 0.997335", \ + "0.083107, 0.238049, 0.310284, 0.410658, 0.557557, 0.777894, 1.12336", \ + "0.111249, 0.316343, 0.408907, 0.536842, 0.716241, 0.970352, 1.35023", \ + "0.152274, 0.430352, 0.553044, 0.716561, 0.946959, 1.26511, 1.71218" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151538, 0.100006, 0.159495, 0.258045, 0.422931, 0.69782, 1.15591", \ + "0.023663, 0.10668, 0.163378, 0.259246, 0.426892, 0.697821, 1.15592", \ + "0.0327524, 0.118061, 0.173503, 0.266416, 0.426893, 0.698432, 1.15593", \ + "0.0504573, 0.141996, 0.197955, 0.289186, 0.443195, 0.70766, 1.15861", \ + "0.0798018, 0.186006, 0.246109, 0.340157, 0.491539, 0.746319, 1.18158", \ + "0.124365, 0.259513, 0.328883, 0.43253, 0.5931, 0.847, 1.26711", \ + "0.199915, 0.382485, 0.463902, 0.587679, 0.770016, 1.04185, 1.4744" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00240387, 0.00301109, 0.00300071, 0.0029509, 0.00283677, 0.00257047, 0.00213546", \ + "0.00229362, 0.0027638, 0.00280406, 0.00280699, 0.0026987, 0.00242686, 0.00208813", \ + "0.0022842, 0.00260608, 0.00262661, 0.00258517, 0.00258004, 0.00225257, 0.00184194", \ + "0.00235956, 0.00249084, 0.00254583, 0.0025145, 0.00235295, 0.0024271, 0.00185539", \ + "0.00266904, 0.00247804, 0.00243714, 0.00239264, 0.00239114, 0.00219154, 0.00187254", \ + "0.0031896, 0.0024365, 0.002358, 0.0023793, 0.0022811, 0.0021863, 0.00197", \ + "0.0043997, 0.0026577, 0.0025365, 0.0020937, 0.0021501, 0.0018546, 0.001566" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00536727, 0.00593582, 0.00595174, 0.00589582, 0.00580735, 0.00553819, 0.00516674", \ + "0.0052132, 0.00569569, 0.00582886, 0.00575635, 0.00589079, 0.00562343, 0.00512376", \ + "0.00528992, 0.00565336, 0.00568724, 0.00584976, 0.0058884, 0.00544629, 0.00538462", \ + "0.0053294, 0.00549122, 0.00558088, 0.00550385, 0.00558634, 0.00531453, 0.00498047", \ + "0.00553782, 0.00546402, 0.00548452, 0.00552242, 0.00544602, 0.00517302, 0.00509552", \ + "0.0057498, 0.0051416, 0.0051392, 0.0050847, 0.0051115, 0.0050806, 0.0043328", \ + "0.007197, 0.0056906, 0.0055216, 0.0053967, 0.0053273, 0.0052646, 0.0049986" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00202114, 0.00230661, 0.00223834, 0.00218402, 0.0020305, 0.00179463, 0.00138644", \ + "0.00226818, 0.00216067, 0.00220053, 0.00207358, 0.00247376, 0.00179449, 0.00133182", \ + "0.00290896, 0.00241554, 0.00229563, 0.00224083, 0.00204778, 0.00202058, 0.00149818", \ + "0.00429185, 0.00313212, 0.0029122, 0.00259417, 0.0023137, 0.00251649, 0.00170406", \ + "0.00760772, 0.00536873, 0.00470158, 0.00410276, 0.00352668, 0.00259606, 0.00238242", \ + "0.0140851, 0.0106356, 0.0093054, 0.00800913, 0.00668439, 0.00543201, 0.00374639", \ + "0.0275072, 0.0226265, 0.0205465, 0.0179039, 0.0153474, 0.0125225, 0.00985806" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00518938, 0.00562266, 0.00560859, 0.00556952, 0.00542909, 0.00522611, 0.00481182", \ + "0.00504689, 0.00533595, 0.0054938, 0.0053966, 0.00577755, 0.00511182, 0.00473495", \ + "0.00530861, 0.00535336, 0.00533904, 0.00550251, 0.00530279, 0.00510566, 0.00470477", \ + "0.00637495, 0.00570541, 0.00562658, 0.00550474, 0.00549748, 0.00515083, 0.00487293", \ + "0.00940899, 0.00719948, 0.00678569, 0.00646319, 0.00608434, 0.00558249, 0.00528351", \ + "0.016084, 0.0116897, 0.0104966, 0.00944048, 0.00850582, 0.00751345, 0.00618961", \ + "0.0298673, 0.0229641, 0.0204582, 0.0178881, 0.0154743, 0.0130031, 0.0114312" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00249255; + rise_capacitance : 0.00251402; + rise_capacitance_range (0.00251402, 0.00251402); + fall_capacitance : 0.00247109; + fall_capacitance_range (0.00247109, 0.00247109); + internal_power () { + when : "!B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00482434, 0.00487779, 0.00531107, 0.00641053, 0.00890476, 0.0142818, 0.025574" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0024535, 0.00263976, 0.00307003, 0.00411993, 0.00661968, 0.0120419, 0.0224513" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00482434, 0.00487779, 0.00531107, 0.00641053, 0.00890476, 0.0142818, 0.025574" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0024535, 0.00263976, 0.00307003, 0.00411993, 0.00661968, 0.0120419, 0.0224513" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00334571; + rise_capacitance : 0.00352171; + rise_capacitance_range (0.00352171, 0.00352171); + fall_capacitance : 0.00316972; + fall_capacitance_range (0.00316972, 0.00316972); + } + } + cell (sg13g2_nand2b_2) { + area : 14.5152; + cell_footprint : "nand2b2"; + cell_leakage_power : 447.531; + leakage_power () { + value : 672.252; + when : "!A_N&B"; + } + leakage_power () { + value : 270.999; + when : "A_N&B"; + } + leakage_power () { + value : 395.329; + when : "A_N&!B"; + } + leakage_power () { + value : 451.542; + when : "!A_N&!B"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0486711, 0.0930863, 0.120961, 0.166732, 0.243259, 0.370639, 0.582343", \ + "0.0792038, 0.124692, 0.152501, 0.198262, 0.274697, 0.401978, 0.615057", \ + "0.0980605, 0.144652, 0.172512, 0.218098, 0.294441, 0.421463, 0.633423", \ + "0.128026, 0.178031, 0.205367, 0.250346, 0.326423, 0.453287, 0.665009", \ + "0.165774, 0.221076, 0.249043, 0.294073, 0.368638, 0.495189, 0.706214", \ + "0.217951, 0.283864, 0.313902, 0.358721, 0.434563, 0.559958, 0.771028", \ + "0.28894, 0.370899, 0.405383, 0.454254, 0.531042, 0.657588, 0.869556" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0146376, 0.0723244, 0.112585, 0.179354, 0.291518, 0.478214, 0.78937", \ + "0.0180242, 0.0729922, 0.11281, 0.179414, 0.291519, 0.478278, 0.790933", \ + "0.0214394, 0.0742724, 0.11346, 0.179862, 0.291624, 0.478285, 0.790934", \ + "0.0266442, 0.0773998, 0.11519, 0.180652, 0.292127, 0.478594, 0.790935", \ + "0.0351344, 0.0828165, 0.118972, 0.182792, 0.293299, 0.47939, 0.790936", \ + "0.048158, 0.096964, 0.130198, 0.189218, 0.297249, 0.481912, 0.791861", \ + "0.068115, 0.124364, 0.154113, 0.20849, 0.310375, 0.491779, 0.798512" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0591743, 0.130952, 0.176943, 0.252775, 0.379438, 0.590504, 0.942472", \ + "0.0892762, 0.161759, 0.207788, 0.283705, 0.410675, 0.621733, 0.97383", \ + "0.107528, 0.180986, 0.227073, 0.303085, 0.42987, 0.64126, 0.994777", \ + "0.134259, 0.209994, 0.2562, 0.332126, 0.458932, 0.67007, 1.02178", \ + "0.16453, 0.245177, 0.291086, 0.366907, 0.493888, 0.704756, 1.05666", \ + "0.204205, 0.292168, 0.338458, 0.414118, 0.541622, 0.752094, 1.10377", \ + "0.251556, 0.35081, 0.399593, 0.475677, 0.603844, 0.815877, 1.16723" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0143089, 0.103793, 0.166154, 0.269559, 0.442804, 0.731879, 1.21254", \ + "0.0160862, 0.103976, 0.166243, 0.26956, 0.442838, 0.73188, 1.21289", \ + "0.0183555, 0.104485, 0.166476, 0.269599, 0.442839, 0.734808, 1.21454", \ + "0.0224742, 0.105533, 0.166964, 0.270009, 0.442889, 0.734809, 1.21554", \ + "0.0293356, 0.108546, 0.168276, 0.270407, 0.443037, 0.73481, 1.21555", \ + "0.039873, 0.114631, 0.172017, 0.272257, 0.444066, 0.734811, 1.21556", \ + "0.056709, 0.127418, 0.181566, 0.278626, 0.448268, 0.735018, 1.21557" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0149257, 0.0577137, 0.0849047, 0.130431, 0.206029, 0.332932, 0.544307", \ + "0.0259436, 0.0949797, 0.126134, 0.172948, 0.249038, 0.375745, 0.587187", \ + "0.0306153, 0.119836, 0.157424, 0.210586, 0.290316, 0.417623, 0.628834", \ + "0.0350677, 0.155599, 0.205258, 0.271049, 0.363065, 0.500595, 0.714116", \ + "0.0372893, 0.197694, 0.265935, 0.354704, 0.47416, 0.637853, 0.873828", \ + "0.0372903, 0.248376, 0.340857, 0.464325, 0.625996, 0.840074, 1.12998", \ + "0.0372913, 0.302201, 0.425818, 0.593991, 0.819638, 1.11443, 1.49964" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00951793, 0.0662456, 0.106945, 0.173876, 0.285564, 0.472433, 0.783648", \ + "0.0231079, 0.0814905, 0.117318, 0.179057, 0.287117, 0.47296, 0.783649", \ + "0.033166, 0.10069, 0.136526, 0.195524, 0.297354, 0.476151, 0.78365", \ + "0.0485965, 0.134667, 0.174021, 0.234456, 0.332499, 0.499577, 0.793836", \ + "0.0749744, 0.190153, 0.240055, 0.31025, 0.412724, 0.575662, 0.851192", \ + "0.11727, 0.274192, 0.342578, 0.431503, 0.554984, 0.730616, 1.00529", \ + "0.193318, 0.406427, 0.498464, 0.621757, 0.785479, 1.00253, 1.30751" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0206279, 0.0916289, 0.137609, 0.213384, 0.340015, 0.551229, 0.903125", \ + "0.035483, 0.128699, 0.17664, 0.252896, 0.379773, 0.5907, 0.94267", \ + "0.0443345, 0.156918, 0.210565, 0.290863, 0.418677, 0.629638, 0.981264", \ + "0.0604319, 0.202728, 0.26757, 0.359758, 0.497368, 0.712253, 1.06406", \ + "0.0807633, 0.26353, 0.346912, 0.460865, 0.623233, 0.860159, 1.22365", \ + "0.112312, 0.350964, 0.457323, 0.605764, 0.809177, 1.09593, 1.5066", \ + "0.163636, 0.479591, 0.617062, 0.805069, 1.07183, 1.43579, 1.94239" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0131015, 0.103542, 0.166048, 0.269536, 0.442763, 0.731413, 1.21255", \ + "0.0258802, 0.114047, 0.171934, 0.271362, 0.44283, 0.731414, 1.21256", \ + "0.0355127, 0.131399, 0.187478, 0.282159, 0.447533, 0.732144, 1.21257", \ + "0.0518659, 0.164567, 0.223187, 0.316018, 0.473141, 0.745101, 1.21531", \ + "0.0783612, 0.21912, 0.286405, 0.386599, 0.543331, 0.802836, 1.25122", \ + "0.12366, 0.301307, 0.386735, 0.50605, 0.680982, 0.946691, 1.37837", \ + "0.204571, 0.436086, 0.540803, 0.692805, 0.903653, 1.21034, 1.66285" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00495509, 0.00558849, 0.00557659, 0.00536539, 0.00515869, 0.00471049, 0.00378799", \ + "0.00503765, 0.00535105, 0.00542115, 0.00535845, 0.00506495, 0.00459215, 0.00434335", \ + "0.00515658, 0.00537048, 0.00536548, 0.00525338, 0.00533848, 0.00448198, 0.00367908", \ + "0.00559249, 0.00556439, 0.00547139, 0.00538329, 0.00497019, 0.00525819, 0.00408069", \ + "0.00649, 0.0055877, 0.0055329, 0.0053728, 0.0051133, 0.0044419, 0.0036823", \ + "0.0081735, 0.0060143, 0.0059972, 0.0055624, 0.0053839, 0.0046656, 0.004187", \ + "0.0114555, 0.0071417, 0.0066816, 0.006046, 0.0055631, 0.0048391, 0.0042283" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0106149, 0.0116468, 0.0116653, 0.0115904, 0.0113295, 0.0109356, 0.0101838", \ + "0.0104009, 0.0113868, 0.0113959, 0.0116986, 0.0112462, 0.0107745, 0.0102458", \ + "0.0104197, 0.0112571, 0.0114216, 0.0116278, 0.0111821, 0.0114863, 0.0107013", \ + "0.0105187, 0.0111656, 0.0113225, 0.0112045, 0.0115076, 0.011049, 0.010726", \ + "0.0109174, 0.0111827, 0.0112627, 0.0115254, 0.0113958, 0.0109585, 0.0109066", \ + "0.0116861, 0.0108759, 0.0108347, 0.0110251, 0.0113501, 0.0111573, 0.0101746", \ + "0.0143981, 0.0115218, 0.0113249, 0.0113526, 0.0113547, 0.0115954, 0.0116672" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00659358, 0.00696353, 0.00654536, 0.00607513, 0.00538227, 0.00476002, 0.00369493", \ + "0.0073158, 0.0070887, 0.00692012, 0.00633341, 0.0065972, 0.00524103, 0.00390753", \ + "0.00872017, 0.00767038, 0.00730705, 0.00690011, 0.00618903, 0.00585882, 0.00401804", \ + "0.0116336, 0.00906428, 0.00865161, 0.00797681, 0.00698362, 0.00645093, 0.0051144", \ + "0.0186098, 0.0130226, 0.0119083, 0.0112248, 0.0100625, 0.00790126, 0.00746134", \ + "0.0321376, 0.0231424, 0.0204247, 0.0182608, 0.0164948, 0.014018, 0.0103802", \ + "0.0587693, 0.0474207, 0.0419078, 0.0366487, 0.0315659, 0.0266223, 0.0218046" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0078475, 0.00918521, 0.00920318, 0.00910398, 0.00887462, 0.00849753, 0.00772179", \ + "0.00838382, 0.0085774, 0.0089307, 0.0089077, 0.00866627, 0.00822789, 0.00755891", \ + "0.00960816, 0.00880449, 0.00874767, 0.00895973, 0.00876984, 0.00823985, 0.00744388", \ + "0.0125436, 0.0100133, 0.0096308, 0.00922767, 0.00910293, 0.00845349, 0.00737708", \ + "0.0191467, 0.0137428, 0.0126122, 0.0115998, 0.0103528, 0.00958337, 0.00847626", \ + "0.0329898, 0.0235183, 0.0210174, 0.0185142, 0.0162293, 0.0137047, 0.0109566", \ + "0.0610462, 0.0462824, 0.0414323, 0.0361969, 0.0311923, 0.0260111, 0.0213717" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00237365; + rise_capacitance : 0.00239847; + rise_capacitance_range (0.00239847, 0.00239847); + fall_capacitance : 0.00234884; + fall_capacitance_range (0.00234884, 0.00234884); + internal_power () { + when : "!B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00782481, 0.00767205, 0.00793392, 0.00875191, 0.0109059, 0.0159532, 0.0266882" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00763551, 0.00763607, 0.00799375, 0.0088334, 0.0111273, 0.0162498, 0.0262766" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00782481, 0.00767205, 0.00793392, 0.00875191, 0.0109059, 0.0159532, 0.0266882" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00763551, 0.00763607, 0.00799375, 0.0088334, 0.0111273, 0.0162498, 0.0262766" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0056912; + rise_capacitance : 0.00768474; + rise_capacitance_range (0.00768474, 0.00768474); + fall_capacitance : 0.00369765; + fall_capacitance_range (0.00369765, 0.00369765); + } + } + cell (sg13g2_nand3_1) { + area : 9.072; + cell_footprint : "nand3"; + cell_leakage_power : 230.619; + leakage_power () { + value : 209.494; + when : "!A&!B&!C"; + } + leakage_power () { + value : 264.1; + when : "!A&!B&C"; + } + leakage_power () { + value : 146.473; + when : "!A&B&!C"; + } + leakage_power () { + value : 323.402; + when : "!A&B&C"; + } + leakage_power () { + value : 143.457; + when : "A&!B&!C"; + } + leakage_power () { + value : 202.008; + when : "A&!B&C"; + } + leakage_power () { + value : 82.469; + when : "A&B&!C"; + } + leakage_power () { + value : 473.549; + when : "A&B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0190331, 0.0604686, 0.0880202, 0.133637, 0.209559, 0.336425, 0.54776", \ + "0.033946, 0.0976719, 0.128667, 0.17588, 0.252077, 0.378993, 0.590079", \ + "0.0408226, 0.122726, 0.160102, 0.213232, 0.293083, 0.420418, 0.631559", \ + "0.0482039, 0.159118, 0.208174, 0.273767, 0.366583, 0.503326, 0.716648", \ + "0.0524706, 0.201446, 0.26908, 0.357328, 0.476497, 0.640282, 0.875951", \ + "0.0524716, 0.251187, 0.343319, 0.466089, 0.628149, 0.84235, 1.13182", \ + "0.0524726, 0.300236, 0.42431, 0.592871, 0.819432, 1.1152, 1.4998" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0128727, 0.0685846, 0.109447, 0.175762, 0.287144, 0.473192, 0.783246", \ + "0.0287022, 0.0832545, 0.118947, 0.180545, 0.288509, 0.473193, 0.783268", \ + "0.0402869, 0.102912, 0.138068, 0.196588, 0.29842, 0.476634, 0.783985", \ + "0.0599108, 0.1372, 0.17593, 0.235853, 0.332942, 0.499832, 0.793006", \ + "0.090405, 0.195427, 0.244118, 0.311956, 0.413608, 0.575775, 0.85015", \ + "0.139446, 0.282371, 0.350399, 0.437448, 0.557519, 0.731197, 1.00483", \ + "0.224185, 0.419888, 0.512333, 0.634099, 0.797706, 1.0084, 1.30887" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0324475, 0.127536, 0.192171, 0.298775, 0.477263, 0.774514, 1.26944", \ + "0.0522756, 0.16184, 0.227137, 0.333928, 0.512408, 0.810148, 1.30504", \ + "0.0647847, 0.191282, 0.26062, 0.368934, 0.547347, 0.844824, 1.3404", \ + "0.0865138, 0.240465, 0.320257, 0.43793, 0.621696, 0.919524, 1.4144", \ + "0.115973, 0.308976, 0.405933, 0.545359, 0.750069, 1.0616, 1.55985", \ + "0.162541, 0.407003, 0.52977, 0.702743, 0.948878, 1.3047, 1.83776", \ + "0.237749, 0.555659, 0.707744, 0.924879, 1.23505, 1.66898, 2.29134" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.025351, 0.149868, 0.237178, 0.381461, 0.623096, 1.02571, 1.69585", \ + "0.0393248, 0.15634, 0.23951, 0.382143, 0.623913, 1.02572, 1.69674", \ + "0.0501045, 0.17166, 0.251282, 0.387933, 0.626121, 1.0259, 1.69675", \ + "0.0683055, 0.204358, 0.283841, 0.414696, 0.640431, 1.03105, 1.69808", \ + "0.0990643, 0.25869, 0.347799, 0.480967, 0.699907, 1.07109, 1.71347", \ + "0.15046, 0.344953, 0.449083, 0.602124, 0.832592, 1.19862, 1.809", \ + "0.238522, 0.482121, 0.609761, 0.790534, 1.05874, 1.45473, 2.06938" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0218118, 0.0628451, 0.0904378, 0.136023, 0.212066, 0.338962, 0.550292", \ + "0.0403287, 0.100701, 0.131474, 0.178337, 0.254556, 0.381221, 0.592482", \ + "0.0494312, 0.126557, 0.1633, 0.215986, 0.295677, 0.422895, 0.634046", \ + "0.0610091, 0.164516, 0.212423, 0.277203, 0.369535, 0.50594, 0.719168", \ + "0.0708937, 0.209731, 0.275618, 0.362334, 0.480449, 0.643524, 0.87877", \ + "0.0771461, 0.26402, 0.353652, 0.474439, 0.633551, 0.846711, 1.13553", \ + "0.0771471, 0.322531, 0.442336, 0.60637, 0.829369, 1.12189, 1.505" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151015, 0.0714025, 0.111724, 0.178492, 0.290151, 0.47626, 0.786418", \ + "0.0314173, 0.0857004, 0.121464, 0.183389, 0.291386, 0.476261, 0.786433", \ + "0.0429978, 0.104975, 0.140543, 0.199162, 0.301282, 0.479644, 0.788089", \ + "0.0634764, 0.139402, 0.178182, 0.238333, 0.335537, 0.502657, 0.796103", \ + "0.0928758, 0.197076, 0.245974, 0.314844, 0.415947, 0.578413, 0.8531", \ + "0.140951, 0.284103, 0.350971, 0.438434, 0.559264, 0.733844, 1.00731", \ + "0.220336, 0.419183, 0.510175, 0.633497, 0.795487, 1.00896, 1.31118" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0388055, 0.133215, 0.197758, 0.304323, 0.482722, 0.779873, 1.27506", \ + "0.0570619, 0.162454, 0.227755, 0.33471, 0.513242, 0.811013, 1.30629", \ + "0.0684861, 0.187446, 0.255777, 0.363998, 0.542848, 0.840557, 1.33645", \ + "0.0878298, 0.231382, 0.30733, 0.422369, 0.605236, 0.903651, 1.39918", \ + "0.114749, 0.294391, 0.384799, 0.515839, 0.713551, 1.02214, 1.52071", \ + "0.158145, 0.387009, 0.500556, 0.660923, 0.889897, 1.23093, 1.75408", \ + "0.226422, 0.528821, 0.670698, 0.870833, 1.15669, 1.55946, 2.14996" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0249276, 0.149917, 0.237189, 0.381447, 0.623062, 1.02524, 1.6961", \ + "0.035658, 0.154194, 0.238899, 0.3821, 0.623974, 1.02552, 1.69672", \ + "0.0458558, 0.164595, 0.246614, 0.385517, 0.623975, 1.02718, 1.69739", \ + "0.0649594, 0.189646, 0.269596, 0.403815, 0.634316, 1.02892, 1.6974", \ + "0.096488, 0.236298, 0.319771, 0.45255, 0.675241, 1.05528, 1.7082", \ + "0.146055, 0.313719, 0.408249, 0.550443, 0.775398, 1.14502, 1.77165", \ + "0.228681, 0.439406, 0.549625, 0.718169, 0.963088, 1.34448, 1.95989" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0231967, 0.0646934, 0.0923861, 0.137927, 0.214003, 0.340679, 0.551519", \ + "0.0445072, 0.102944, 0.133543, 0.180323, 0.256521, 0.38302, 0.593836", \ + "0.055408, 0.129706, 0.165848, 0.218261, 0.297709, 0.424697, 0.635401", \ + "0.0704633, 0.169178, 0.216234, 0.280303, 0.371926, 0.507793, 0.720553", \ + "0.0850834, 0.217071, 0.281218, 0.366647, 0.48383, 0.645905, 0.880318", \ + "0.100579, 0.276104, 0.363091, 0.481663, 0.638904, 0.850219, 1.13748", \ + "0.110878, 0.344523, 0.459701, 0.620072, 0.839039, 1.1282, 1.5093" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0172242, 0.0739006, 0.11423, 0.180794, 0.292337, 0.478057, 0.787759", \ + "0.0336506, 0.087888, 0.123726, 0.185637, 0.293659, 0.478058, 0.78776", \ + "0.0454772, 0.107086, 0.14265, 0.201279, 0.303315, 0.481518, 0.788743", \ + "0.0667965, 0.14162, 0.180307, 0.240271, 0.337306, 0.504422, 0.797231", \ + "0.0962325, 0.199519, 0.247947, 0.316769, 0.417754, 0.580149, 0.85411", \ + "0.143843, 0.285804, 0.352935, 0.439959, 0.561526, 0.735232, 1.00849", \ + "0.22308, 0.419898, 0.510936, 0.633533, 0.794684, 1.01096, 1.31157" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0414235, 0.135803, 0.200406, 0.306938, 0.485418, 0.782446, 1.27763", \ + "0.0588062, 0.160089, 0.22523, 0.332113, 0.510729, 0.808407, 1.30368", \ + "0.0692664, 0.179604, 0.246871, 0.354747, 0.533565, 0.831239, 1.32713", \ + "0.0856255, 0.214789, 0.287423, 0.400037, 0.581736, 0.879872, 1.37541", \ + "0.104897, 0.265431, 0.348566, 0.472391, 0.664926, 0.970493, 1.4683", \ + "0.137904, 0.343595, 0.443502, 0.588675, 0.803911, 1.13226, 1.64787", \ + "0.188567, 0.462342, 0.589867, 0.764508, 1.01981, 1.39615, 1.95649" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0246972, 0.149912, 0.237183, 0.381252, 0.623091, 1.02524, 1.6958", \ + "0.0314864, 0.152783, 0.238349, 0.38163, 0.624078, 1.0256, 1.69675", \ + "0.0390495, 0.160177, 0.243735, 0.384503, 0.624079, 1.02561, 1.69702", \ + "0.0559671, 0.178397, 0.260733, 0.397733, 0.631352, 1.02793, 1.69899", \ + "0.0895736, 0.215841, 0.298317, 0.434561, 0.661826, 1.04823, 1.70534", \ + "0.141639, 0.283909, 0.370368, 0.509795, 0.738453, 1.11623, 1.75303", \ + "0.224903, 0.406693, 0.499332, 0.64827, 0.885399, 1.26976, 1.89647" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00234485, 0.00293488, 0.00294971, 0.00284789, 0.00267576, 0.00244947, 0.00201637", \ + "0.00244681, 0.00263771, 0.0027455, 0.00268143, 0.00310738, 0.00240966, 0.0019786", \ + "0.00299175, 0.00281097, 0.00274107, 0.0027735, 0.0026371, 0.00273556, 0.00212167", \ + "0.00430284, 0.00330083, 0.00319235, 0.00298476, 0.00282714, 0.00275587, 0.00232756", \ + "0.00751601, 0.00522177, 0.00469043, 0.00418574, 0.00375854, 0.00304872, 0.00287812", \ + "0.0134637, 0.00985796, 0.00875209, 0.00765946, 0.00641642, 0.00539937, 0.00402432", \ + "0.0262504, 0.0207987, 0.0187296, 0.0162413, 0.0139843, 0.0115162, 0.00914795" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00421291, 0.00468485, 0.00469665, 0.00465414, 0.00455566, 0.0043192, 0.00390242", \ + "0.00423049, 0.00444662, 0.00450673, 0.00449726, 0.00450838, 0.00426784, 0.00390771", \ + "0.00467439, 0.00442552, 0.00448772, 0.00455368, 0.0045125, 0.00423832, 0.00387147", \ + "0.0059394, 0.00500216, 0.00477307, 0.00464056, 0.00465831, 0.00455583, 0.00390707", \ + "0.00881995, 0.00675918, 0.0061938, 0.00569165, 0.00517872, 0.00526963, 0.00488721", \ + "0.015117, 0.0111345, 0.0100553, 0.00893471, 0.00781393, 0.00644925, 0.00594949", \ + "0.0282177, 0.0217438, 0.019672, 0.0172167, 0.0148514, 0.012391, 0.00986734" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00252519, 0.00284371, 0.00273021, 0.00267981, 0.00253526, 0.00232085, 0.0018696", \ + "0.00252369, 0.00261095, 0.00267084, 0.00256588, 0.00295148, 0.00224219, 0.0018083", \ + "0.00304036, 0.00278636, 0.00269989, 0.00269455, 0.00249578, 0.00260325, 0.00209575", \ + "0.00424666, 0.00332553, 0.00318942, 0.00298593, 0.00269499, 0.00294595, 0.0022157", \ + "0.00726558, 0.00530497, 0.00475682, 0.00426048, 0.00376349, 0.00291054, 0.00292868", \ + "0.0131383, 0.010187, 0.00900005, 0.00771476, 0.00651832, 0.00547355, 0.00374401", \ + "0.0255845, 0.0210558, 0.0190819, 0.0165876, 0.0142947, 0.0117143, 0.00928852" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00650215, 0.00682798, 0.00682852, 0.00676211, 0.00665575, 0.0063937, 0.00601795", \ + "0.00623652, 0.0065936, 0.00665713, 0.00663113, 0.00661134, 0.00638203, 0.00596199", \ + "0.00641081, 0.0064671, 0.00660263, 0.00662999, 0.00649566, 0.00642597, 0.00606126", \ + "0.00731634, 0.00680597, 0.00670132, 0.0066406, 0.00659818, 0.00657401, 0.00597391", \ + "0.0099627, 0.00815882, 0.00776732, 0.00742693, 0.00705115, 0.00707301, 0.00626563", \ + "0.0159656, 0.0121248, 0.011178, 0.0101829, 0.00924022, 0.00811021, 0.00776242", \ + "0.0285968, 0.0221947, 0.020033, 0.017886, 0.0154941, 0.0133539, 0.0112978" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00287051, 0.00294411, 0.00289576, 0.00281347, 0.00267166, 0.00243137, 0.00200864", \ + "0.00290364, 0.00284884, 0.00287534, 0.00273765, 0.00265401, 0.00237598, 0.00195305", \ + "0.00338972, 0.00305643, 0.00289909, 0.00287956, 0.00268042, 0.00282095, 0.00212111", \ + "0.00459379, 0.00368158, 0.00348576, 0.00323213, 0.00291544, 0.00279758, 0.00226535", \ + "0.00764386, 0.00571963, 0.00511058, 0.00457923, 0.00406533, 0.00316334, 0.00301334", \ + "0.0138173, 0.0106399, 0.00938706, 0.00817218, 0.00694859, 0.00579211, 0.0041605", \ + "0.0268197, 0.0221796, 0.020129, 0.0176594, 0.0150331, 0.0123469, 0.00982723" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00840393, 0.00872368, 0.00872406, 0.00866356, 0.00854149, 0.00831284, 0.0079469", \ + "0.0080779, 0.00847936, 0.00853213, 0.00849082, 0.00851021, 0.00826663, 0.00794504", \ + "0.00814396, 0.00830424, 0.00844423, 0.00845523, 0.00842424, 0.00818814, 0.00795013", \ + "0.00880469, 0.00853976, 0.00849241, 0.00847287, 0.00849504, 0.00829492, 0.00801486", \ + "0.0112535, 0.00973182, 0.00941014, 0.00915492, 0.00873093, 0.00888204, 0.0085012", \ + "0.0175, 0.0137009, 0.0127007, 0.0118238, 0.0110081, 0.00987058, 0.00956018", \ + "0.0307865, 0.0244328, 0.0220943, 0.0196183, 0.0173399, 0.0154221, 0.0133095" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00309818; + rise_capacitance : 0.00310003; + rise_capacitance_range (0.00310003, 0.00310003); + fall_capacitance : 0.00309634; + fall_capacitance_range (0.00309634, 0.00309634); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00324309; + rise_capacitance : 0.0033578; + rise_capacitance_range (0.0033578, 0.0033578); + fall_capacitance : 0.00312838; + fall_capacitance_range (0.00312838, 0.00312838); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00320269; + rise_capacitance : 0.00335324; + rise_capacitance_range (0.00335324, 0.00335324); + fall_capacitance : 0.00305214; + fall_capacitance_range (0.00305214, 0.00305214); + } + } + cell (sg13g2_nand3b_1) { + area : 12.7008; + cell_footprint : "nand3b1"; + cell_leakage_power : 315.538; + leakage_power () { + value : 201.703; + when : "!A_N&!B&!C"; + } + leakage_power () { + value : 260.268; + when : "!A_N&!B&C"; + } + leakage_power () { + value : 140.702; + when : "!A_N&B&!C"; + } + leakage_power () { + value : 531.778; + when : "!A_N&B&C"; + } + leakage_power () { + value : 321.095; + when : "A_N&!B&!C"; + } + leakage_power () { + value : 375.706; + when : "A_N&!B&C"; + } + leakage_power () { + value : 258.06; + when : "A_N&B&!C"; + } + leakage_power () { + value : 434.994; + when : "A_N&B&C"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0392048, 0.0807881, 0.108394, 0.153887, 0.230054, 0.356897, 0.568026", \ + "0.0638475, 0.106123, 0.133681, 0.179242, 0.25533, 0.382067, 0.593392", \ + "0.0785047, 0.121407, 0.148894, 0.19443, 0.270552, 0.397253, 0.608468", \ + "0.102428, 0.147344, 0.174679, 0.219863, 0.295796, 0.422428, 0.633622", \ + "0.131173, 0.181579, 0.208725, 0.253571, 0.329505, 0.455761, 0.666868", \ + "0.171103, 0.231239, 0.259867, 0.30595, 0.38184, 0.508331, 0.719074", \ + "0.225142, 0.300744, 0.333772, 0.38293, 0.461464, 0.591036, 0.803786" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0133847, 0.0700409, 0.11028, 0.176981, 0.288541, 0.474638, 0.784712", \ + "0.0165414, 0.0706373, 0.11065, 0.177132, 0.288562, 0.474639, 0.784713", \ + "0.0195146, 0.0713112, 0.11107, 0.177439, 0.288865, 0.474737, 0.784735", \ + "0.0245294, 0.0729179, 0.111967, 0.177983, 0.289372, 0.474955, 0.784762", \ + "0.0327185, 0.0773843, 0.114604, 0.179461, 0.290287, 0.475854, 0.785226", \ + "0.044986, 0.088762, 0.122816, 0.185042, 0.294236, 0.478295, 0.786993", \ + "0.064341, 0.111749, 0.142474, 0.201347, 0.307069, 0.489497, 0.795458" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0534847, 0.14914, 0.21384, 0.320467, 0.498834, 0.796006, 1.29123", \ + "0.0764469, 0.172031, 0.236939, 0.343839, 0.522457, 0.8198, 1.31487", \ + "0.0895791, 0.18492, 0.249802, 0.356805, 0.535381, 0.832483, 1.32768", \ + "0.108843, 0.203541, 0.268347, 0.375355, 0.554074, 0.851385, 1.34708", \ + "0.130134, 0.22555, 0.29, 0.396556, 0.575081, 0.872459, 1.36766", \ + "0.157098, 0.25678, 0.321195, 0.427867, 0.60586, 0.903, 1.39856", \ + "0.186661, 0.29646, 0.361226, 0.468889, 0.648643, 0.946329, 1.44124" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0259817, 0.151297, 0.238455, 0.382636, 0.624286, 1.02648, 1.6973", \ + "0.0275837, 0.151429, 0.238636, 0.382874, 0.624287, 1.0271, 1.69823", \ + "0.0292587, 0.151754, 0.23876, 0.382875, 0.627444, 1.02711, 1.69824", \ + "0.0329805, 0.152192, 0.239288, 0.383321, 0.627445, 1.02712, 1.69842", \ + "0.0384691, 0.153747, 0.240073, 0.384029, 0.627446, 1.02713, 1.69843", \ + "0.048432, 0.158192, 0.243383, 0.386462, 0.627447, 1.02762, 1.69844", \ + "0.064571, 0.17029, 0.252865, 0.394787, 0.633895, 1.03259, 1.70023" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0212624, 0.062703, 0.0903426, 0.135906, 0.212007, 0.33888, 0.55024", \ + "0.0398028, 0.100533, 0.131402, 0.17835, 0.254628, 0.381439, 0.592581", \ + "0.0489803, 0.126423, 0.163201, 0.215961, 0.29558, 0.422887, 0.63401", \ + "0.0605965, 0.164356, 0.212432, 0.277156, 0.369504, 0.505823, 0.719099", \ + "0.0705475, 0.209624, 0.275441, 0.362259, 0.480407, 0.643425, 0.878772", \ + "0.077122, 0.263964, 0.353733, 0.474331, 0.633495, 0.846671, 1.13551", \ + "0.077123, 0.322549, 0.442249, 0.605329, 0.829328, 1.12149, 1.50497" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015578, 0.0715919, 0.112118, 0.178537, 0.290184, 0.476265, 0.786454", \ + "0.0319958, 0.0858685, 0.121588, 0.183446, 0.291424, 0.476266, 0.786455", \ + "0.0436222, 0.105152, 0.14064, 0.199332, 0.301309, 0.479727, 0.787172", \ + "0.0641978, 0.139767, 0.178397, 0.238574, 0.335571, 0.502662, 0.796112", \ + "0.0931648, 0.197224, 0.246141, 0.314905, 0.41599, 0.578306, 0.853056", \ + "0.140603, 0.284252, 0.350616, 0.438663, 0.559388, 0.733822, 1.00732", \ + "0.22124, 0.419216, 0.510255, 0.63467, 0.795505, 1.00751, 1.3114" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0409518, 0.135858, 0.200417, 0.307006, 0.485503, 0.782526, 1.27769", \ + "0.0586135, 0.164405, 0.229827, 0.33685, 0.515634, 0.813347, 1.30816", \ + "0.0698547, 0.189102, 0.257629, 0.365948, 0.544959, 0.842631, 1.33844", \ + "0.0885377, 0.232629, 0.308738, 0.42394, 0.607006, 0.905552, 1.40102", \ + "0.114679, 0.295159, 0.385601, 0.516964, 0.715161, 1.02366, 1.52263", \ + "0.158016, 0.387381, 0.50092, 0.661468, 0.89115, 1.23194, 1.75547", \ + "0.22636, 0.529093, 0.67095, 0.871358, 1.15725, 1.56099, 2.1514" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0260156, 0.15129, 0.238508, 0.382667, 0.624472, 1.02661, 1.69712", \ + "0.0353655, 0.155283, 0.240101, 0.383618, 0.624473, 1.02744, 1.69735", \ + "0.0448684, 0.165581, 0.247732, 0.386917, 0.625413, 1.02851, 1.69755", \ + "0.0637285, 0.19001, 0.270347, 0.404837, 0.63553, 1.03025, 1.69986", \ + "0.095891, 0.236412, 0.319888, 0.4534, 0.676279, 1.05633, 1.70893", \ + "0.145685, 0.313783, 0.408419, 0.550886, 0.77596, 1.14566, 1.7723", \ + "0.228204, 0.438967, 0.549749, 0.714062, 0.963238, 1.34723, 1.96073" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0229348, 0.0646099, 0.0922831, 0.137865, 0.214, 0.340651, 0.551482", \ + "0.0442279, 0.102806, 0.133433, 0.180239, 0.256391, 0.382917, 0.59374", \ + "0.0551877, 0.129603, 0.165769, 0.218231, 0.297708, 0.424649, 0.63537", \ + "0.0703065, 0.169042, 0.216177, 0.280224, 0.371902, 0.507759, 0.720564", \ + "0.0851031, 0.21694, 0.281324, 0.366493, 0.483791, 0.6459, 0.88039", \ + "0.100469, 0.275796, 0.363009, 0.481438, 0.638856, 0.85019, 1.13747", \ + "0.110889, 0.34403, 0.45964, 0.618924, 0.838472, 1.12817, 1.50942" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0178312, 0.0741132, 0.114284, 0.180847, 0.29242, 0.478148, 0.787777", \ + "0.0343354, 0.0880706, 0.123895, 0.185756, 0.293594, 0.478149, 0.787778", \ + "0.0462903, 0.107361, 0.142765, 0.201349, 0.30342, 0.48155, 0.787779", \ + "0.0674436, 0.141564, 0.180431, 0.240407, 0.337518, 0.504407, 0.797278", \ + "0.0965755, 0.199462, 0.248198, 0.316691, 0.418042, 0.580498, 0.854216", \ + "0.144358, 0.286568, 0.353012, 0.439592, 0.561554, 0.735251, 1.00852", \ + "0.223668, 0.419834, 0.510966, 0.634601, 0.794929, 1.01097, 1.31188" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0445439, 0.139369, 0.203952, 0.310468, 0.488857, 0.7862, 1.28112", \ + "0.0622059, 0.163519, 0.228739, 0.335698, 0.514317, 0.812039, 1.30731", \ + "0.0729564, 0.182963, 0.250261, 0.358195, 0.53706, 0.834715, 1.33074", \ + "0.08931, 0.217879, 0.290597, 0.403399, 0.585005, 0.883286, 1.37886", \ + "0.106693, 0.267858, 0.350974, 0.475147, 0.667857, 0.973749, 1.47139", \ + "0.138019, 0.344461, 0.44514, 0.590771, 0.805815, 1.1348, 1.65108", \ + "0.188596, 0.46255, 0.590045, 0.765483, 1.02134, 1.39834, 1.95837" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0258338, 0.15132, 0.238561, 0.382653, 0.624156, 1.02712, 1.69713", \ + "0.0314064, 0.15382, 0.239487, 0.383459, 0.62438, 1.02714, 1.69803", \ + "0.0378309, 0.160914, 0.244794, 0.385699, 0.625042, 1.02715, 1.69893", \ + "0.0532086, 0.178495, 0.261319, 0.398974, 0.632483, 1.02908, 1.69894", \ + "0.086798, 0.215285, 0.298435, 0.435112, 0.662688, 1.04925, 1.70584", \ + "0.141103, 0.283669, 0.369955, 0.510403, 0.73849, 1.11685, 1.75401", \ + "0.224793, 0.406854, 0.499377, 0.648364, 0.885588, 1.27219, 1.89682" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00271985, 0.00323318, 0.0031896, 0.00314186, 0.00301002, 0.00279477, 0.00228681", \ + "0.00253752, 0.00298692, 0.00302071, 0.00319536, 0.00286847, 0.00260933, 0.00227182", \ + "0.00250084, 0.00287705, 0.0028752, 0.0028307, 0.00300169, 0.00261812, 0.00207665", \ + "0.00250716, 0.00274645, 0.0027846, 0.00276176, 0.00248623, 0.00259339, 0.00197144", \ + "0.00272889, 0.00265829, 0.00268239, 0.00263979, 0.00265229, 0.00235919, 0.00211579", \ + "0.00318, 0.0026703, 0.002598, 0.0026392, 0.0025213, 0.0023955, 0.0021743", \ + "0.0039371, 0.0026833, 0.0025969, 0.0025036, 0.0023038, 0.0020823, 0.0020466" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00678852, 0.00722643, 0.00721835, 0.00717161, 0.00705622, 0.00678853, 0.00652749", \ + "0.00656964, 0.0070848, 0.00710686, 0.00704913, 0.00696273, 0.00674893, 0.00650964", \ + "0.00660626, 0.00695936, 0.00713606, 0.00702806, 0.00719586, 0.00675202, 0.00651393", \ + "0.00661713, 0.00685353, 0.00685133, 0.00692393, 0.00692443, 0.00667123, 0.00647303", \ + "0.0067149, 0.0067745, 0.0068312, 0.0068752, 0.0066647, 0.0071046, 0.0068468", \ + "0.0067103, 0.0064414, 0.0063977, 0.0064354, 0.0064648, 0.0060381, 0.0065146", \ + "0.0078763, 0.0068574, 0.0067062, 0.0066054, 0.0065998, 0.0065199, 0.0063842" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00253367, 0.00279145, 0.00279925, 0.00268828, 0.00254253, 0.00230346, 0.00188116", \ + "0.00257342, 0.00262895, 0.00270123, 0.00259429, 0.0029218, 0.00228129, 0.0018517", \ + "0.00308781, 0.00281318, 0.00272765, 0.00272578, 0.00252566, 0.00263842, 0.00199269", \ + "0.00429482, 0.00337133, 0.00323949, 0.00302192, 0.00272737, 0.0028499, 0.00202026", \ + "0.00732392, 0.00533248, 0.00478765, 0.0042876, 0.00379434, 0.00299554, 0.00288761", \ + "0.0131971, 0.010239, 0.00903019, 0.00777296, 0.00654445, 0.00548674, 0.0040879", \ + "0.0256249, 0.0211063, 0.0191118, 0.0165697, 0.014315, 0.0116269, 0.00934537" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00662864, 0.0069565, 0.00694943, 0.00688404, 0.0067698, 0.00650254, 0.00609063", \ + "0.0063626, 0.00671169, 0.00672233, 0.00675939, 0.00666909, 0.00650649, 0.0060813", \ + "0.00650351, 0.00659229, 0.00672888, 0.00676463, 0.00660516, 0.0065212, 0.00610618", \ + "0.00731892, 0.00689111, 0.00676663, 0.0067562, 0.00666708, 0.00667801, 0.00621347", \ + "0.00990832, 0.00817595, 0.00779734, 0.00746732, 0.00711492, 0.00692788, 0.00632131", \ + "0.0159078, 0.0120813, 0.0111405, 0.0101741, 0.00929553, 0.00812055, 0.00769926", \ + "0.0285023, 0.0221573, 0.0199989, 0.0176859, 0.0154941, 0.0134895, 0.0113439" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00285361, 0.00292965, 0.00287802, 0.00279924, 0.0026673, 0.00241499, 0.00202569", \ + "0.00292634, 0.00284285, 0.00286193, 0.00274024, 0.00316114, 0.0023394, 0.00193758", \ + "0.00340086, 0.0030537, 0.00289205, 0.002892, 0.00268084, 0.00267915, 0.00187628", \ + "0.00460608, 0.00365562, 0.00347892, 0.00321071, 0.00289973, 0.00308401, 0.0023557", \ + "0.00767097, 0.00570139, 0.00512003, 0.00455171, 0.00404794, 0.00319858, 0.00308906", \ + "0.0138321, 0.0106753, 0.00938629, 0.00813552, 0.00694347, 0.00578913, 0.00415794", \ + "0.0268613, 0.0221628, 0.0201226, 0.0176553, 0.0149673, 0.0123554, 0.00973615" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00883795, 0.00916358, 0.00915714, 0.00908152, 0.00896262, 0.00872449, 0.00828375", \ + "0.00851012, 0.0089266, 0.00896285, 0.00896117, 0.00888628, 0.00868385, 0.00833855", \ + "0.00854696, 0.00872526, 0.00888183, 0.00889288, 0.00878449, 0.00861815, 0.00834041", \ + "0.00908403, 0.00891081, 0.00888837, 0.00887231, 0.00883945, 0.00870174, 0.008319", \ + "0.0112843, 0.00996549, 0.00966849, 0.00950997, 0.00911331, 0.00938374, 0.00885732", \ + "0.017438, 0.0137237, 0.0128212, 0.0120304, 0.011222, 0.0101398, 0.00991675", \ + "0.0307175, 0.0243786, 0.0220582, 0.0196416, 0.0174176, 0.0156128, 0.0134693" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0024183; + rise_capacitance : 0.00243936; + rise_capacitance_range (0.00243936, 0.00243936); + fall_capacitance : 0.00239725; + fall_capacitance_range (0.00239725, 0.00239725); + internal_power () { + when : "(B * !C) + (!B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00476229, 0.00480386, 0.00524717, 0.00636239, 0.00886161, 0.0142074, 0.0255149" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00253234, 0.00269072, 0.00312704, 0.00418047, 0.0066955, 0.0121091, 0.0225329" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00476229, 0.00480386, 0.00524717, 0.00636239, 0.00886161, 0.0142074, 0.0255149" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00253234, 0.00269072, 0.00312704, 0.00418047, 0.0066955, 0.0121091, 0.0225329" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00323584; + rise_capacitance : 0.00338067; + rise_capacitance_range (0.00338067, 0.00338067); + fall_capacitance : 0.00309102; + fall_capacitance_range (0.00309102, 0.00309102); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00323875; + rise_capacitance : 0.00342102; + rise_capacitance_range (0.00342102, 0.00342102); + fall_capacitance : 0.00305647; + fall_capacitance_range (0.00305647, 0.00305647); + } + } + cell (sg13g2_nand4_1) { + area : 10.8864; + cell_footprint : "nand4"; + cell_leakage_power : 268.853; + leakage_power () { + value : 277.218; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 331.672; + when : "!A&!B&!C&D&Y"; + } + leakage_power () { + value : 215.284; + when : "!A&!B&C&!D&Y"; + } + leakage_power () { + value : 386.27; + when : "!A&!B&C&D&Y"; + } + leakage_power () { + value : 212.475; + when : "!A&B&!C&!D&Y"; + } + leakage_power () { + value : 268.6; + when : "!A&B&!C&D&Y"; + } + leakage_power () { + value : 148.42; + when : "!A&B&C&!D&Y"; + } + leakage_power () { + value : 445.521; + when : "!A&B&C&D&Y"; + } + leakage_power () { + value : 211.019; + when : "A&!B&!C&!D&Y"; + } + leakage_power () { + value : 265.642; + when : "A&!B&!C&D&Y"; + } + leakage_power () { + value : 148.126; + when : "A&!B&C&!D&Y"; + } + leakage_power () { + value : 324.185; + when : "A&!B&C&D&Y"; + } + leakage_power () { + value : 146.156; + when : "A&B&!C&!D&Y"; + } + leakage_power () { + value : 204.603; + when : "A&B&!C&D&Y"; + } + leakage_power () { + value : 85.1147; + when : "A&B&C&!D&Y"; + } + leakage_power () { + value : 631.349; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0200116, 0.0611975, 0.0887455, 0.134304, 0.210332, 0.337208, 0.548447", \ + "0.0359607, 0.0986829, 0.1297, 0.176745, 0.25294, 0.379806, 0.590856", \ + "0.0429934, 0.123998, 0.161188, 0.214134, 0.294019, 0.421287, 0.632422", \ + "0.0502953, 0.160437, 0.209395, 0.27487, 0.367527, 0.504177, 0.717492", \ + "0.0531998, 0.20231, 0.26992, 0.358353, 0.477443, 0.641213, 0.87677", \ + "0.0532008, 0.249792, 0.342727, 0.466279, 0.628571, 0.842836, 1.13279", \ + "0.0532018, 0.29142, 0.41758, 0.588271, 0.816557, 1.11366, 1.49936" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0137809, 0.06969, 0.110544, 0.176689, 0.288295, 0.474389, 0.784634", \ + "0.029947, 0.0841158, 0.119834, 0.181539, 0.289588, 0.47439, 0.784635", \ + "0.042024, 0.103416, 0.13886, 0.197634, 0.299421, 0.477812, 0.788926", \ + "0.0630084, 0.138206, 0.176768, 0.236887, 0.33377, 0.500842, 0.794257", \ + "0.0956399, 0.197846, 0.24558, 0.313741, 0.414536, 0.576835, 0.851229", \ + "0.147967, 0.288243, 0.354239, 0.440535, 0.560304, 0.732775, 1.0058", \ + "0.23692, 0.431466, 0.521699, 0.642769, 0.803014, 1.01055, 1.31161" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.040789, 0.165656, 0.250719, 0.391055, 0.625994, 1.01723, 1.66924", \ + "0.0622042, 0.196934, 0.282204, 0.422906, 0.657995, 1.04908, 1.70158", \ + "0.0766198, 0.226587, 0.314189, 0.455, 0.689932, 1.08117, 1.73311", \ + "0.101856, 0.27874, 0.375159, 0.522378, 0.759077, 1.15008, 1.80174", \ + "0.137577, 0.353657, 0.467122, 0.633517, 0.886422, 1.28411, 1.93538", \ + "0.194969, 0.462697, 0.602864, 0.802602, 1.09541, 1.52993, 2.20221", \ + "0.289751, 0.630743, 0.801814, 1.04893, 1.40451, 1.91746, 2.66937" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0367408, 0.201139, 0.315583, 0.504818, 0.822426, 1.35098, 2.23072", \ + "0.0494255, 0.20475, 0.316579, 0.507132, 0.822427, 1.35099, 2.23191", \ + "0.0601681, 0.217095, 0.324262, 0.507525, 0.824432, 1.351, 2.23192", \ + "0.0784785, 0.247367, 0.352171, 0.527471, 0.831002, 1.35702, 2.23193", \ + "0.11, 0.30242, 0.413296, 0.587098, 0.877844, 1.37735, 2.2367", \ + "0.163122, 0.391508, 0.5159, 0.706821, 1.00149, 1.48312, 2.3046", \ + "0.254795, 0.528713, 0.680273, 0.896261, 1.22991, 1.72798, 2.53355" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.022911, 0.0638065, 0.0913844, 0.136936, 0.213002, 0.33992, 0.55116", \ + "0.0422481, 0.101787, 0.132522, 0.179367, 0.255594, 0.382191, 0.593396", \ + "0.0515682, 0.127908, 0.164475, 0.21705, 0.29665, 0.423872, 0.635019", \ + "0.0631253, 0.16601, 0.21381, 0.278485, 0.37062, 0.506896, 0.720141", \ + "0.0714166, 0.210571, 0.276603, 0.363335, 0.481542, 0.644501, 0.879676", \ + "0.0736315, 0.262543, 0.353058, 0.474113, 0.634062, 0.84704, 1.13625", \ + "0.0736325, 0.313149, 0.43495, 0.601604, 0.826444, 1.12068, 1.5049" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0161033, 0.0725801, 0.11304, 0.179671, 0.291268, 0.477317, 0.78754", \ + "0.032723, 0.0865884, 0.122511, 0.184379, 0.292495, 0.477318, 0.787541", \ + "0.044988, 0.105961, 0.141303, 0.200104, 0.302251, 0.480739, 0.787542", \ + "0.0667557, 0.140471, 0.179412, 0.239289, 0.336473, 0.503644, 0.797175", \ + "0.0984415, 0.199593, 0.247722, 0.316094, 0.417016, 0.579295, 0.853955", \ + "0.149764, 0.289587, 0.35517, 0.441236, 0.562135, 0.7358, 1.00788", \ + "0.235661, 0.430837, 0.520592, 0.641488, 0.801611, 1.01364, 1.31349" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0509113, 0.17501, 0.260021, 0.400318, 0.635093, 1.02631, 1.67784", \ + "0.070004, 0.202482, 0.287995, 0.428854, 0.663697, 1.05508, 1.70709", \ + "0.0827392, 0.227736, 0.31522, 0.456488, 0.691762, 1.08315, 1.73528", \ + "0.105091, 0.2741, 0.368122, 0.514472, 0.751583, 1.14358, 1.79574", \ + "0.138167, 0.343201, 0.451226, 0.612088, 0.861031, 1.25856, 1.91145", \ + "0.193173, 0.447729, 0.580047, 0.768966, 1.04862, 1.47311, 2.1418", \ + "0.282828, 0.611034, 0.771795, 1.00489, 1.34158, 1.82648, 2.55372" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0368029, 0.201239, 0.315746, 0.505314, 0.822444, 1.351, 2.22886", \ + "0.0467734, 0.203762, 0.31645, 0.505745, 0.822445, 1.35101, 2.23039", \ + "0.0572587, 0.212354, 0.32167, 0.5068, 0.824313, 1.35102, 2.2304", \ + "0.0765978, 0.236069, 0.341629, 0.52073, 0.828226, 1.356, 2.23041", \ + "0.109371, 0.282858, 0.390817, 0.565102, 0.860717, 1.36835, 2.23433", \ + "0.161977, 0.362885, 0.481091, 0.663579, 0.953897, 1.44381, 2.2794", \ + "0.249229, 0.491368, 0.627508, 0.832328, 1.14409, 1.6368, 2.4474" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0244387, 0.0658726, 0.0936046, 0.139264, 0.215508, 0.342347, 0.553757", \ + "0.0463393, 0.104357, 0.134842, 0.181626, 0.258101, 0.384987, 0.596209", \ + "0.0573136, 0.131164, 0.1673, 0.219653, 0.299175, 0.42642, 0.637587", \ + "0.0722062, 0.170659, 0.217623, 0.281745, 0.373431, 0.509529, 0.722731", \ + "0.0851975, 0.217903, 0.282391, 0.368067, 0.485283, 0.647567, 0.882486", \ + "0.0956561, 0.27417, 0.362301, 0.481387, 0.639786, 0.851609, 1.1394", \ + "0.0956571, 0.333442, 0.451196, 0.614326, 0.835483, 1.12757, 1.50974" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0182342, 0.075131, 0.115538, 0.182329, 0.293997, 0.480204, 0.790317", \ + "0.034688, 0.0887621, 0.1248, 0.187017, 0.295202, 0.480205, 0.790318", \ + "0.0472632, 0.108058, 0.143494, 0.202481, 0.304812, 0.483556, 0.792564", \ + "0.0697741, 0.142606, 0.181329, 0.241573, 0.338889, 0.506195, 0.79998", \ + "0.101625, 0.202204, 0.250183, 0.318238, 0.419347, 0.582023, 0.856667", \ + "0.153299, 0.29189, 0.357208, 0.443298, 0.564311, 0.737518, 1.01094", \ + "0.238781, 0.433073, 0.522228, 0.642469, 0.802128, 1.01596, 1.31686" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0565753, 0.180614, 0.265609, 0.406032, 0.640743, 1.03196, 1.68392", \ + "0.0746053, 0.204305, 0.289776, 0.430575, 0.6655, 1.05683, 1.70878", \ + "0.0861147, 0.224548, 0.311509, 0.45264, 0.687909, 1.07933, 1.73137", \ + "0.105413, 0.262302, 0.354091, 0.498998, 0.735682, 1.12755, 1.77991", \ + "0.130895, 0.319566, 0.421823, 0.577383, 0.822542, 1.21877, 1.87143", \ + "0.176512, 0.409923, 0.531175, 0.70839, 0.974647, 1.39036, 2.05443", \ + "0.25119, 0.55461, 0.700265, 0.911513, 1.22214, 1.68049, 2.38729" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.036674, 0.201149, 0.315582, 0.505327, 0.822429, 1.35096, 2.23189", \ + "0.0435579, 0.202978, 0.316197, 0.505783, 0.82243, 1.35097, 2.2319", \ + "0.0515804, 0.209331, 0.319999, 0.506283, 0.824588, 1.35098, 2.23191", \ + "0.0691021, 0.227249, 0.335184, 0.516648, 0.827011, 1.35778, 2.23192", \ + "0.104401, 0.265305, 0.373962, 0.550432, 0.851403, 1.36425, 2.23318", \ + "0.16019, 0.336812, 0.449899, 0.629818, 0.924283, 1.42123, 2.26764", \ + "0.24954, 0.460613, 0.582516, 0.775566, 1.07887, 1.5727, 2.39538" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0249105, 0.0672645, 0.0951909, 0.14112, 0.21725, 0.343997, 0.555169", \ + "0.0488803, 0.106107, 0.136653, 0.183453, 0.259804, 0.38649, 0.597543", \ + "0.0612905, 0.13367, 0.169476, 0.221671, 0.301097, 0.428262, 0.639051", \ + "0.078836, 0.174272, 0.220715, 0.284304, 0.375568, 0.511308, 0.724124", \ + "0.0957092, 0.223624, 0.287145, 0.371732, 0.488261, 0.6499, 0.884095", \ + "0.113796, 0.284313, 0.370506, 0.487553, 0.643973, 0.854904, 1.14173", \ + "0.126809, 0.352695, 0.466874, 0.62588, 0.844279, 1.13262, 1.51321" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0200679, 0.0774904, 0.117784, 0.184646, 0.296119, 0.48194, 0.791652", \ + "0.0365304, 0.0907407, 0.126838, 0.188983, 0.297337, 0.48196, 0.791653", \ + "0.0496447, 0.109737, 0.145386, 0.204351, 0.306713, 0.485388, 0.792515", \ + "0.0726968, 0.145001, 0.183225, 0.243168, 0.340653, 0.50784, 0.801089", \ + "0.104803, 0.204522, 0.251939, 0.320054, 0.421096, 0.583088, 0.857699", \ + "0.157486, 0.294109, 0.359138, 0.444964, 0.5654, 0.738985, 1.01177", \ + "0.243641, 0.433899, 0.522762, 0.644044, 0.803017, 1.01458, 1.31693" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0589513, 0.183013, 0.268026, 0.408438, 0.64317, 1.03434, 1.68631", \ + "0.0765247, 0.204466, 0.289914, 0.430741, 0.666436, 1.05697, 1.70903", \ + "0.0872291, 0.221249, 0.307799, 0.448855, 0.684147, 1.07556, 1.72812", \ + "0.104395, 0.251599, 0.341853, 0.485902, 0.722257, 1.11395, 1.76642", \ + "0.123203, 0.296411, 0.394638, 0.547068, 0.789897, 1.18488, 1.83735", \ + "0.15545, 0.369328, 0.480933, 0.648393, 0.908077, 1.31907, 1.98008", \ + "0.211646, 0.488336, 0.622015, 0.814341, 1.1054, 1.54836, 2.24116" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0366266, 0.201132, 0.315731, 0.505064, 0.821988, 1.35098, 2.23184", \ + "0.0412492, 0.202402, 0.316076, 0.505795, 0.822844, 1.35099, 2.23185", \ + "0.0467699, 0.207247, 0.318884, 0.506178, 0.82357, 1.351, 2.23186", \ + "0.0599659, 0.220878, 0.330593, 0.513966, 0.825633, 1.35192, 2.23187", \ + "0.0925123, 0.250427, 0.360785, 0.541517, 0.845513, 1.3615, 2.23366", \ + "0.151173, 0.312302, 0.421597, 0.602665, 0.90421, 1.40793, 2.26096", \ + "0.240607, 0.430556, 0.54202, 0.724076, 1.02838, 1.53248, 2.36775" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00230379, 0.00281302, 0.00283945, 0.00269482, 0.00255917, 0.002333, 0.00188762", \ + "0.0023539, 0.00259256, 0.00268879, 0.0026079, 0.00275142, 0.00235227, 0.00192137", \ + "0.00280211, 0.00271488, 0.00268597, 0.00273283, 0.00257164, 0.00238586, 0.00264547", \ + "0.00398314, 0.00315442, 0.00309438, 0.00292811, 0.00274725, 0.00297012, 0.0022206", \ + "0.00674292, 0.00488593, 0.00441114, 0.00400326, 0.00359978, 0.00288088, 0.00276292", \ + "0.0125516, 0.00913349, 0.0081972, 0.00709268, 0.00606717, 0.00510698, 0.00386445", \ + "0.0244965, 0.0193192, 0.0173556, 0.0152168, 0.0130329, 0.010491, 0.00851305" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00513436, 0.00554667, 0.00555099, 0.00551018, 0.00541665, 0.00522854, 0.00487126", \ + "0.00506349, 0.00524681, 0.00539054, 0.00549607, 0.00526469, 0.00507984, 0.00479491", \ + "0.00546394, 0.00528824, 0.00531406, 0.0052797, 0.0053875, 0.00501892, 0.00479802", \ + "0.00658272, 0.00573867, 0.0055603, 0.00560942, 0.00527469, 0.00534497, 0.00475551", \ + "0.00920352, 0.00741842, 0.00685395, 0.00635285, 0.00602072, 0.00542858, 0.00504063", \ + "0.0150102, 0.0114784, 0.0104031, 0.00939048, 0.00819256, 0.00714461, 0.00668044", \ + "0.0272087, 0.0212485, 0.0192804, 0.0170956, 0.0148472, 0.01246, 0.00989183" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00258644, 0.00289557, 0.00279001, 0.00271546, 0.00254902, 0.0023529, 0.00191866", \ + "0.00254546, 0.00266432, 0.00275079, 0.00263162, 0.00295361, 0.0022954, 0.0018745", \ + "0.00294075, 0.00281547, 0.00274429, 0.00274378, 0.00255007, 0.00261483, 0.00184996", \ + "0.00400453, 0.00326479, 0.00318788, 0.00301222, 0.00273279, 0.00300515, 0.00228673", \ + "0.00691756, 0.00500274, 0.00452808, 0.00412591, 0.00366944, 0.0029013, 0.00292538", \ + "0.0123154, 0.00945025, 0.00842138, 0.00722534, 0.00621095, 0.00523919, 0.00382558", \ + "0.0237904, 0.0194613, 0.0178234, 0.0154266, 0.0132546, 0.0108455, 0.00875003" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00740953, 0.00769757, 0.00769314, 0.00764132, 0.00754215, 0.00732555, 0.00692754", \ + "0.00709645, 0.00739449, 0.00754828, 0.00752708, 0.00738733, 0.00719499, 0.00694639", \ + "0.00721404, 0.00733898, 0.00742829, 0.0073705, 0.0074939, 0.00718059, 0.00687161", \ + "0.0079477, 0.00756546, 0.00745321, 0.00759411, 0.00732846, 0.00740313, 0.0068669", \ + "0.0103112, 0.00875348, 0.00844155, 0.00809012, 0.00788194, 0.00743417, 0.00738283", \ + "0.0157999, 0.01239, 0.0115172, 0.0106509, 0.00961464, 0.00881982, 0.00853801", \ + "0.0274749, 0.0216255, 0.0195925, 0.0176261, 0.0155505, 0.013558, 0.011372" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00288857, 0.00298339, 0.00291283, 0.00283629, 0.00269846, 0.00244429, 0.00203574", \ + "0.00278699, 0.00282489, 0.00288429, 0.00276287, 0.00268147, 0.00238823, 0.00193848", \ + "0.00317748, 0.00299021, 0.00287245, 0.00287492, 0.00266035, 0.00274445, 0.00230172", \ + "0.00424425, 0.00347041, 0.00335703, 0.00316006, 0.00289448, 0.00277611, 0.00219804", \ + "0.00704121, 0.00530167, 0.00478323, 0.00435817, 0.00388085, 0.00307081, 0.00297442", \ + "0.0126957, 0.00981628, 0.00865209, 0.00752805, 0.0064901, 0.00537432, 0.00404809", \ + "0.0248243, 0.0203868, 0.0184266, 0.0161543, 0.0136697, 0.0113733, 0.00906218" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00934781, 0.00964786, 0.00964778, 0.00961383, 0.00949006, 0.00926417, 0.00896477", \ + "0.00900843, 0.00933371, 0.00951206, 0.00948409, 0.00934789, 0.00914027, 0.00892601", \ + "0.00902789, 0.00924391, 0.00930824, 0.00930717, 0.00944493, 0.00907526, 0.00890654", \ + "0.0095148, 0.00936172, 0.00930328, 0.00940141, 0.00921723, 0.00949335, 0.00883432", \ + "0.0116243, 0.0103378, 0.0101297, 0.00982511, 0.00968104, 0.0092655, 0.0105684", \ + "0.017274, 0.0138802, 0.0130848, 0.0122932, 0.0113617, 0.0105106, 0.0104783", \ + "0.029484, 0.0235211, 0.0213958, 0.0194438, 0.0173674, 0.015354, 0.0132066" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00310397, 0.00310064, 0.00304222, 0.00300553, 0.00283422, 0.00259673, 0.00219813", \ + "0.00303934, 0.00299107, 0.00301758, 0.00288643, 0.00304269, 0.00250421, 0.00209443", \ + "0.00341215, 0.00314291, 0.00303849, 0.00302502, 0.00278555, 0.00305834, 0.00218995", \ + "0.00450127, 0.00375118, 0.00355765, 0.00332286, 0.00302629, 0.0032426, 0.00224679", \ + "0.00736499, 0.00555514, 0.00504143, 0.00456868, 0.00408209, 0.00323803, 0.00316811", \ + "0.0132947, 0.0102279, 0.00904462, 0.00789751, 0.00674547, 0.00567919, 0.00418374", \ + "0.0259949, 0.0213772, 0.019448, 0.0168202, 0.0143041, 0.0116801, 0.00933245" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112342, 0.0115321, 0.0115272, 0.0114903, 0.0113639, 0.011168, 0.0109188", \ + "0.010886, 0.0112174, 0.0113342, 0.0113672, 0.0112961, 0.0110206, 0.0108276", \ + "0.010862, 0.0111106, 0.0112399, 0.011194, 0.0112823, 0.01102, 0.0107353", \ + "0.01121, 0.011161, 0.0111212, 0.0113147, 0.0111029, 0.0110102, 0.0106694", \ + "0.0130686, 0.0120228, 0.0118505, 0.0116247, 0.0114956, 0.0110914, 0.0114232", \ + "0.0187886, 0.0154914, 0.0146914, 0.0139102, 0.0131868, 0.0123317, 0.0121719", \ + "0.0317367, 0.0256673, 0.0234864, 0.0213241, 0.0193515, 0.0174747, 0.015309" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00306321; + rise_capacitance : 0.0030543; + rise_capacitance_range (0.0030543, 0.0030543); + fall_capacitance : 0.00307213; + fall_capacitance_range (0.00307213, 0.00307213); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00320043; + rise_capacitance : 0.00329599; + rise_capacitance_range (0.00329599, 0.00329599); + fall_capacitance : 0.00310487; + fall_capacitance_range (0.00310487, 0.00310487); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00321476; + rise_capacitance : 0.00333956; + rise_capacitance_range (0.00333956, 0.00333956); + fall_capacitance : 0.00308996; + fall_capacitance_range (0.00308996, 0.00308996); + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00319565; + rise_capacitance : 0.00335023; + rise_capacitance_range (0.00335023, 0.00335023); + fall_capacitance : 0.00304107; + fall_capacitance_range (0.00304107, 0.00304107); + } + } + cell (sg13g2_nor2_1) { + area : 7.2576; + cell_footprint : "nor2"; + cell_leakage_power : 198.361; + leakage_power () { + value : 153.49; + when : "A&!B"; + } + leakage_power () { + value : 158.102; + when : "!A&!B"; + } + leakage_power () { + value : 225.659; + when : "!A&B"; + } + leakage_power () { + value : 256.195; + when : "A&B"; + } + pin (Y) { + direction : "output"; + function : "!(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0289061, 0.111512, 0.168123, 0.26131, 0.417347, 0.677439, 1.11082", \ + "0.0434638, 0.137801, 0.195068, 0.288565, 0.44479, 0.705392, 1.13856", \ + "0.0503274, 0.158912, 0.219198, 0.314233, 0.470688, 0.731166, 1.16433", \ + "0.0598384, 0.193535, 0.261379, 0.362997, 0.52371, 0.784593, 1.218", \ + "0.0723069, 0.239891, 0.32256, 0.439742, 0.615247, 0.887038, 1.32392", \ + "0.0885719, 0.305429, 0.409432, 0.554564, 0.759931, 1.06257, 1.5241", \ + "0.106432, 0.391668, 0.526383, 0.712512, 0.971721, 1.33204, 1.85818" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179204, 0.132143, 0.212111, 0.34414, 0.565513, 0.934234, 1.54878", \ + "0.028555, 0.13663, 0.213967, 0.345406, 0.566478, 0.934524, 1.54879", \ + "0.038213, 0.147504, 0.222039, 0.348842, 0.566513, 0.934525, 1.54921", \ + "0.0572544, 0.17115, 0.245157, 0.367194, 0.577285, 0.93763, 1.55358", \ + "0.0830678, 0.217054, 0.294436, 0.416599, 0.619303, 0.965756, 1.56082", \ + "0.124585, 0.288996, 0.377539, 0.510698, 0.716414, 1.05331, 1.62575", \ + "0.194621, 0.403461, 0.513456, 0.670279, 0.898336, 1.24825, 1.81085" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0191886, 0.0547199, 0.0778789, 0.116016, 0.179868, 0.286245, 0.463662", \ + "0.0375649, 0.0938771, 0.121741, 0.162458, 0.226969, 0.333175, 0.510297", \ + "0.0477387, 0.120249, 0.153791, 0.200932, 0.270862, 0.379117, 0.556138", \ + "0.0640829, 0.161446, 0.20563, 0.265149, 0.348367, 0.46875, 0.652281", \ + "0.0818188, 0.213803, 0.274129, 0.354458, 0.462209, 0.610069, 0.819506", \ + "0.104745, 0.285705, 0.366189, 0.475776, 0.624375, 0.818483, 1.083", \ + "0.133841, 0.380112, 0.491625, 0.638988, 0.839321, 1.10961, 1.46298" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011547, 0.0555956, 0.0874965, 0.140696, 0.229464, 0.37769, 0.624507", \ + "0.0258105, 0.0727041, 0.101008, 0.148847, 0.232601, 0.378148, 0.624803", \ + "0.0366606, 0.0907881, 0.12024, 0.167195, 0.24662, 0.38528, 0.626143", \ + "0.0546852, 0.122671, 0.157117, 0.206628, 0.285697, 0.416901, 0.645112", \ + "0.0835908, 0.175278, 0.21746, 0.277536, 0.363972, 0.496331, 0.714337", \ + "0.131304, 0.25776, 0.313914, 0.391377, 0.497589, 0.649137, 0.874899", \ + "0.21124, 0.395481, 0.469061, 0.569678, 0.709523, 0.898103, 1.16614" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0247199, 0.108331, 0.165095, 0.258243, 0.414506, 0.674304, 1.10789", \ + "0.0401645, 0.141921, 0.199246, 0.292648, 0.44893, 0.709449, 1.14224", \ + "0.0489349, 0.169511, 0.231333, 0.32691, 0.482905, 0.742895, 1.17666", \ + "0.0616315, 0.213096, 0.28585, 0.391655, 0.553581, 0.813717, 1.24633", \ + "0.0778719, 0.269679, 0.361717, 0.490182, 0.674832, 0.950699, 1.38749", \ + "0.100301, 0.346455, 0.465868, 0.629026, 0.85696, 1.17948, 1.65364", \ + "0.131641, 0.449115, 0.602306, 0.815236, 1.10902, 1.51332, 2.0811" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0188469, 0.132248, 0.212198, 0.344192, 0.565644, 0.93412, 1.54874", \ + "0.0335256, 0.139686, 0.215267, 0.345148, 0.565681, 0.934532, 1.54935", \ + "0.0430638, 0.156483, 0.228286, 0.351846, 0.566924, 0.934979, 1.54936", \ + "0.0607921, 0.189786, 0.262697, 0.380374, 0.584107, 0.939261, 1.55027", \ + "0.0854947, 0.247935, 0.329814, 0.451652, 0.648588, 0.983705, 1.56806", \ + "0.128533, 0.335333, 0.43558, 0.576169, 0.785815, 1.11727, 1.6686", \ + "0.20492, 0.466695, 0.597513, 0.777829, 1.02445, 1.38446, 1.94292" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0164541, 0.0527209, 0.0758204, 0.113923, 0.17773, 0.283994, 0.461487", \ + "0.0303724, 0.0909692, 0.119221, 0.160243, 0.224761, 0.330953, 0.508064", \ + "0.0373904, 0.116319, 0.150559, 0.198309, 0.268526, 0.376882, 0.553947", \ + "0.0486218, 0.155521, 0.201082, 0.261524, 0.345437, 0.466196, 0.650091", \ + "0.0579101, 0.204411, 0.266897, 0.349259, 0.458179, 0.606948, 0.816943", \ + "0.0663504, 0.270394, 0.354035, 0.46689, 0.617752, 0.81383, 1.07998", \ + "0.0687214, 0.349606, 0.469151, 0.622669, 0.827601, 1.10174, 1.45705" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00904801, 0.0527559, 0.0846381, 0.137774, 0.226614, 0.374778, 0.62149", \ + "0.0223329, 0.0699833, 0.0982319, 0.146087, 0.229761, 0.375161, 0.621491", \ + "0.0323858, 0.0878773, 0.117578, 0.164524, 0.243667, 0.382553, 0.623106", \ + "0.0504076, 0.120406, 0.154214, 0.203883, 0.28267, 0.414121, 0.642049", \ + "0.0792975, 0.172365, 0.214691, 0.274677, 0.360525, 0.494042, 0.711584", \ + "0.127895, 0.256076, 0.31206, 0.390083, 0.494379, 0.645702, 0.871457", \ + "0.210762, 0.399018, 0.469974, 0.56922, 0.710822, 0.897696, 1.16438" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00532716, 0.00564909, 0.00564773, 0.00557565, 0.00546561, 0.00544078, 0.00523736", \ + "0.00521961, 0.00545227, 0.0054263, 0.00547509, 0.0054542, 0.00516751, 0.00518973", \ + "0.00549839, 0.00538595, 0.00548277, 0.00548341, 0.00535157, 0.0050913, 0.00512454", \ + "0.00656737, 0.00583976, 0.00569153, 0.00558567, 0.00544762, 0.0051348, 0.0056704", \ + "0.0094899, 0.00746546, 0.00702055, 0.00658878, 0.00609414, 0.0061411, 0.0057778", \ + "0.0156619, 0.0118979, 0.0108115, 0.00983746, 0.00877086, 0.00760982, 0.00686156", \ + "0.0285547, 0.0227326, 0.0206416, 0.0183827, 0.016074, 0.0137188, 0.0112647" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00229491, 0.00247718, 0.00242668, 0.00238223, 0.00223795, 0.00201791, 0.00172599", \ + "0.0022993, 0.00236088, 0.00230956, 0.00238202, 0.00225428, 0.00196437, 0.00169005", \ + "0.00277478, 0.00251609, 0.00247041, 0.00231076, 0.00236546, 0.00201465, 0.00157313", \ + "0.00410465, 0.00311088, 0.00296606, 0.00278197, 0.00251087, 0.00221377, 0.00244397", \ + "0.00716847, 0.0049958, 0.00444539, 0.00397421, 0.00355983, 0.00302139, 0.00215208", \ + "0.0136676, 0.0100142, 0.00876977, 0.00744576, 0.00617534, 0.00516794, 0.00419305", \ + "0.0269502, 0.0216685, 0.0193904, 0.0168662, 0.0138738, 0.0112574, 0.0089532" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00251019, 0.003056, 0.00308328, 0.00302795, 0.00294827, 0.00287401, 0.00268797", \ + "0.00286468, 0.00284808, 0.00282196, 0.00286594, 0.00279177, 0.00261202, 0.00258901", \ + "0.00348489, 0.00294528, 0.00299346, 0.00291753, 0.00273555, 0.00260378, 0.00260939", \ + "0.00484731, 0.00367332, 0.00333647, 0.00318025, 0.00307893, 0.00274204, 0.00281671", \ + "0.00804764, 0.00577591, 0.00518512, 0.00452478, 0.00389972, 0.00361088, 0.00353288", \ + "0.0144442, 0.0105916, 0.00946303, 0.00824569, 0.00719212, 0.00546888, 0.00498789", \ + "0.027684, 0.0217735, 0.0197043, 0.0174753, 0.0148671, 0.0124064, 0.00940466" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0021292, 0.00276994, 0.00279464, 0.00273474, 0.00262281, 0.0024349, 0.00210196", \ + "0.00218983, 0.00254464, 0.00255285, 0.00268997, 0.00256723, 0.00234057, 0.00197123", \ + "0.00272582, 0.00259102, 0.0026398, 0.00258291, 0.00264365, 0.0023976, 0.00200083", \ + "0.00419543, 0.00313426, 0.00301169, 0.00295067, 0.00272947, 0.00255716, 0.00263437", \ + "0.0073526, 0.00489077, 0.00440694, 0.00394067, 0.003601, 0.00314229, 0.00244947", \ + "0.0143038, 0.00972523, 0.00846419, 0.0072379, 0.00601717, 0.00511925, 0.00429251", \ + "0.0277165, 0.0212, 0.0187012, 0.0160395, 0.0134597, 0.0110633, 0.00883539" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00326215; + rise_capacitance : 0.00318804; + rise_capacitance_range (0.00318804, 0.00318804); + fall_capacitance : 0.00333626; + fall_capacitance_range (0.00333626, 0.00333626); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00311489; + rise_capacitance : 0.00320358; + rise_capacitance_range (0.00320358, 0.00320358); + fall_capacitance : 0.00302619; + fall_capacitance_range (0.00302619, 0.00302619); + } + } + cell (sg13g2_nor2_2) { + area : 10.8864; + cell_footprint : "nor2"; + cell_leakage_power : 396.715; + leakage_power () { + value : 306.921; + when : "A&!B"; + } + leakage_power () { + value : 316.153; + when : "!A&!B"; + } + leakage_power () { + value : 451.359; + when : "!A&B"; + } + leakage_power () { + value : 512.425; + when : "A&B"; + } + pin (Y) { + direction : "output"; + function : "!(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0274037, 0.0698344, 0.0984878, 0.145604, 0.224311, 0.355347, 0.573668", \ + "0.0411348, 0.0938089, 0.12438, 0.172624, 0.251687, 0.38295, 0.601371", \ + "0.0472965, 0.110395, 0.144352, 0.195795, 0.276989, 0.408715, 0.62738", \ + "0.0547151, 0.135986, 0.176238, 0.235046, 0.323407, 0.460622, 0.68084", \ + "0.0651571, 0.166835, 0.218002, 0.290723, 0.394017, 0.546789, 0.77985", \ + "0.0790575, 0.211515, 0.276822, 0.368862, 0.498409, 0.681148, 0.94495", \ + "0.0939719, 0.269882, 0.35451, 0.473253, 0.640428, 0.871809, 1.19437" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149384, 0.0722886, 0.112648, 0.179458, 0.291202, 0.47725, 0.78758", \ + "0.0251106, 0.0802043, 0.118047, 0.182055, 0.291874, 0.477251, 0.787581", \ + "0.0343203, 0.0920554, 0.129457, 0.19125, 0.297366, 0.479369, 0.788428", \ + "0.0531972, 0.114421, 0.15271, 0.214445, 0.317854, 0.492807, 0.793548", \ + "0.0792789, 0.152698, 0.196111, 0.263405, 0.36767, 0.538072, 0.826552", \ + "0.120257, 0.212603, 0.265969, 0.343015, 0.459118, 0.635748, 0.91912", \ + "0.18978, 0.3079, 0.373774, 0.469221, 0.6077, 0.811118, 1.1109" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0181454, 0.0374149, 0.0492516, 0.0685561, 0.100703, 0.154173, 0.243193", \ + "0.0356137, 0.0698207, 0.0866757, 0.110919, 0.146422, 0.201191, 0.29025", \ + "0.045225, 0.0896255, 0.111271, 0.140741, 0.182713, 0.243569, 0.335764", \ + "0.0607305, 0.120316, 0.149176, 0.18865, 0.242042, 0.316235, 0.421495", \ + "0.0772889, 0.158292, 0.197248, 0.250723, 0.324168, 0.420682, 0.553571", \ + "0.0990634, 0.209358, 0.262832, 0.334736, 0.43359, 0.567653, 0.744183", \ + "0.125835, 0.274298, 0.348389, 0.44843, 0.58275, 0.762185, 1.00626" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0106369, 0.0324492, 0.0481115, 0.0745078, 0.119271, 0.193786, 0.318071", \ + "0.0241754, 0.0504355, 0.0656975, 0.0894916, 0.129293, 0.198438, 0.319193", \ + "0.0345357, 0.0665479, 0.0829439, 0.108423, 0.148333, 0.214328, 0.32856", \ + "0.0518269, 0.0933236, 0.114011, 0.143198, 0.187014, 0.253831, 0.36369", \ + "0.0795605, 0.13646, 0.163787, 0.201014, 0.253788, 0.330255, 0.443529", \ + "0.124286, 0.207249, 0.242769, 0.292142, 0.361483, 0.455546, 0.591261", \ + "0.201588, 0.3241, 0.375278, 0.440673, 0.530607, 0.655493, 0.826333" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0219402, 0.0654146, 0.0942023, 0.141777, 0.22024, 0.351381, 0.569703", \ + "0.0355182, 0.0952771, 0.126997, 0.175589, 0.254587, 0.385697, 0.60429", \ + "0.0432469, 0.115785, 0.152825, 0.206487, 0.288462, 0.419965, 0.638343", \ + "0.0542268, 0.146607, 0.192433, 0.256586, 0.349411, 0.489086, 0.708997", \ + "0.0687269, 0.184585, 0.243376, 0.325531, 0.439735, 0.602292, 0.841847", \ + "0.0885163, 0.237464, 0.3126, 0.418341, 0.565765, 0.76834, 1.05399", \ + "0.116583, 0.310566, 0.405724, 0.541368, 0.732795, 0.996284, 1.3577" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160309, 0.0723045, 0.112579, 0.179755, 0.291068, 0.477473, 0.787559", \ + "0.0293997, 0.0857356, 0.121912, 0.183957, 0.292286, 0.477474, 0.78756", \ + "0.038178, 0.102743, 0.1391, 0.198715, 0.301357, 0.480381, 0.788274", \ + "0.0546665, 0.130665, 0.171437, 0.233113, 0.332911, 0.502035, 0.79687", \ + "0.0780273, 0.174838, 0.226005, 0.297201, 0.403426, 0.570238, 0.848934", \ + "0.119034, 0.240832, 0.306131, 0.397025, 0.521452, 0.704027, 0.987079", \ + "0.192653, 0.347893, 0.430167, 0.545486, 0.707858, 0.926262, 1.24617" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149248, 0.0351832, 0.0470394, 0.066329, 0.0985386, 0.152129, 0.241418", \ + "0.0270713, 0.0655805, 0.0832606, 0.10803, 0.144009, 0.19906, 0.288541", \ + "0.0328517, 0.0836881, 0.106507, 0.137054, 0.179902, 0.241224, 0.333937", \ + "0.0423818, 0.111666, 0.142096, 0.183188, 0.238009, 0.313232, 0.419246", \ + "0.0493184, 0.144941, 0.186589, 0.242237, 0.317554, 0.416357, 0.550378", \ + "0.0548334, 0.186243, 0.244673, 0.321035, 0.423104, 0.560383, 0.739422", \ + "0.0548344, 0.232089, 0.313829, 0.422053, 0.563343, 0.74855, 0.997554" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00790897, 0.0294174, 0.0450218, 0.0715086, 0.11653, 0.191098, 0.315716", \ + "0.0201084, 0.0475108, 0.0627835, 0.0867044, 0.126558, 0.195793, 0.316894", \ + "0.0295154, 0.0632135, 0.0798854, 0.105607, 0.145829, 0.211841, 0.326314", \ + "0.0465482, 0.0898087, 0.111057, 0.140218, 0.184251, 0.251341, 0.361466", \ + "0.0740166, 0.133175, 0.160002, 0.198768, 0.251027, 0.327629, 0.44145", \ + "0.119888, 0.205954, 0.241059, 0.290203, 0.360451, 0.45309, 0.588422", \ + "0.200485, 0.324771, 0.376911, 0.443244, 0.530717, 0.657348, 0.828921" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107715, 0.0114143, 0.0114752, 0.0114974, 0.0114161, 0.0112272, 0.0107435", \ + "0.0105826, 0.0108178, 0.0110052, 0.0110155, 0.0112348, 0.010937, 0.0106283", \ + "0.0111595, 0.011006, 0.0109652, 0.0110399, 0.0110771, 0.0110748, 0.0106775", \ + "0.0132737, 0.0120886, 0.0118726, 0.0115767, 0.0113403, 0.0113924, 0.0107049", \ + "0.0191667, 0.0161181, 0.0152319, 0.0144803, 0.0136076, 0.0126026, 0.0121414", \ + "0.0316602, 0.0264755, 0.024544, 0.0224399, 0.0204197, 0.0184107, 0.0158807", \ + "0.0575197, 0.0499793, 0.0466364, 0.0426678, 0.03807, 0.0336812, 0.0290117" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00457655, 0.00497863, 0.00497523, 0.00503448, 0.00484989, 0.0046185, 0.0042699", \ + "0.00461065, 0.00469645, 0.00470699, 0.00467845, 0.00466895, 0.0046911, 0.00440081", \ + "0.00559984, 0.00513122, 0.00500485, 0.00498761, 0.00470552, 0.00461633, 0.0049318", \ + "0.00837245, 0.00672662, 0.00631623, 0.00593875, 0.00567011, 0.00509176, 0.00443409", \ + "0.0144703, 0.0116188, 0.0106185, 0.00922775, 0.00816353, 0.00718962, 0.00633842", \ + "0.027471, 0.0228921, 0.0207408, 0.018425, 0.0157082, 0.0131818, 0.011206", \ + "0.0540253, 0.0476921, 0.0444663, 0.0403569, 0.0353004, 0.0296774, 0.0245902" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00510981, 0.00606815, 0.00622844, 0.0063933, 0.0062665, 0.00613703, 0.00567576", \ + "0.00591563, 0.00569037, 0.00580336, 0.00581841, 0.0061013, 0.00576011, 0.00544488", \ + "0.00721889, 0.00647467, 0.00613529, 0.00610307, 0.00591411, 0.00613976, 0.00554719", \ + "0.0101402, 0.00823948, 0.00777723, 0.00717915, 0.00666935, 0.00674983, 0.00592194", \ + "0.0166168, 0.0132639, 0.0122166, 0.0110028, 0.00978135, 0.0082641, 0.00754535", \ + "0.0294583, 0.0240619, 0.022098, 0.0200428, 0.0174719, 0.0151992, 0.0122305", \ + "0.0562077, 0.0483669, 0.0450607, 0.0410465, 0.0368147, 0.0316487, 0.0267555" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00332244, 0.00463034, 0.00481731, 0.00481916, 0.00483889, 0.00456613, 0.00422415", \ + "0.00370668, 0.00407089, 0.00430029, 0.00435441, 0.00449611, 0.00451479, 0.00471187", \ + "0.00485416, 0.00432543, 0.00436359, 0.00452508, 0.00448802, 0.00449346, 0.004815", \ + "0.00792634, 0.00588581, 0.00557699, 0.00526706, 0.00518205, 0.0048007, 0.00430888", \ + "0.0145071, 0.0105576, 0.00959831, 0.00852772, 0.00742678, 0.00664609, 0.0060846", \ + "0.0280263, 0.0219438, 0.0195521, 0.0170279, 0.0146306, 0.0121357, 0.0102713", \ + "0.0552757, 0.0468736, 0.0433308, 0.0385507, 0.0332132, 0.0281405, 0.0232516" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00629317; + rise_capacitance : 0.00616178; + rise_capacitance_range (0.00616178, 0.00616178); + fall_capacitance : 0.00642456; + fall_capacitance_range (0.00642456, 0.00642456); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0060228; + rise_capacitance : 0.0062076; + rise_capacitance_range (0.0062076, 0.0062076); + fall_capacitance : 0.005838; + fall_capacitance_range (0.005838, 0.005838); + } + } + cell (sg13g2_nor2b_1) { + area : 9.072; + cell_footprint : "nor2b"; + cell_leakage_power : 283.3; + leakage_power () { + value : 211.743; + when : "!A*!B_N"; + } + leakage_power () { + value : 269.726; + when : "!A*B_N"; + } + leakage_power () { + value : 314.448; + when : "A*!B_N"; + } + leakage_power () { + value : 337.283; + when : "A*B_N"; + } + pin (Y) { + direction : "output"; + function : "!(A+!B_N)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0246579, 0.108504, 0.165189, 0.258584, 0.415034, 0.675082, 1.10914", \ + "0.0401582, 0.142067, 0.199448, 0.292962, 0.449478, 0.71027, 1.14395", \ + "0.0489333, 0.169701, 0.231816, 0.327182, 0.483394, 0.743879, 1.17794", \ + "0.0616528, 0.213279, 0.286082, 0.391969, 0.553986, 0.814462, 1.24766", \ + "0.0779199, 0.269855, 0.361944, 0.490501, 0.675426, 0.951438, 1.38865", \ + "0.100397, 0.346532, 0.4661, 0.629387, 0.857464, 1.18011, 1.65464", \ + "0.131814, 0.449401, 0.60265, 0.815033, 1.1096, 1.514, 2.08231" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0189885, 0.132645, 0.212596, 0.344742, 0.566486, 0.935067, 1.55058", \ + "0.0336167, 0.140079, 0.215625, 0.346036, 0.566554, 0.935743, 1.55117", \ + "0.0431581, 0.156768, 0.228619, 0.352504, 0.567829, 0.936405, 1.55118", \ + "0.0608907, 0.190071, 0.263021, 0.381114, 0.584737, 0.940424, 1.5571", \ + "0.0855912, 0.248155, 0.330111, 0.451968, 0.649565, 0.984758, 1.56996", \ + "0.128642, 0.33499, 0.435851, 0.576586, 0.786613, 1.11811, 1.66985", \ + "0.205041, 0.466261, 0.59781, 0.777312, 1.025, 1.3853, 1.94466" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0164076, 0.0527308, 0.0758197, 0.113925, 0.177751, 0.284027, 0.461215", \ + "0.0303175, 0.0909642, 0.119258, 0.160286, 0.224741, 0.331056, 0.508086", \ + "0.0373469, 0.116321, 0.150565, 0.198333, 0.268567, 0.376936, 0.553981", \ + "0.0485953, 0.155586, 0.201068, 0.261576, 0.345443, 0.466323, 0.650051", \ + "0.0578598, 0.204583, 0.266906, 0.349269, 0.458206, 0.60698, 0.816958", \ + "0.0664161, 0.270395, 0.354049, 0.466902, 0.61775, 0.813869, 1.08004", \ + "0.0686906, 0.349584, 0.469137, 0.622673, 0.827459, 1.10178, 1.45714" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00905026, 0.0527763, 0.0846849, 0.137659, 0.226642, 0.374828, 0.62157", \ + "0.0223304, 0.0700463, 0.0982518, 0.146133, 0.229778, 0.375199, 0.621571", \ + "0.0324887, 0.0878843, 0.117588, 0.164533, 0.243807, 0.382578, 0.622844", \ + "0.0503958, 0.120348, 0.154088, 0.203853, 0.282675, 0.414109, 0.64227", \ + "0.0793216, 0.17267, 0.214704, 0.274691, 0.361215, 0.494061, 0.711442", \ + "0.127362, 0.256088, 0.312067, 0.390109, 0.495069, 0.645743, 0.871523", \ + "0.211021, 0.399059, 0.470017, 0.56926, 0.710875, 0.897749, 1.16444" \ + ); + } + } + timing () { + related_pin : "B_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0502358, 0.133049, 0.189606, 0.282896, 0.439251, 0.699502, 1.13331", \ + "0.0749815, 0.158235, 0.214885, 0.308299, 0.464721, 0.724867, 1.15919", \ + "0.089742, 0.173362, 0.23005, 0.32348, 0.47972, 0.740375, 1.1737", \ + "0.113903, 0.198582, 0.255247, 0.348767, 0.505039, 0.765349, 1.19916", \ + "0.143405, 0.231062, 0.287688, 0.381022, 0.537444, 0.79779, 1.23147", \ + "0.184193, 0.278268, 0.334911, 0.428892, 0.585458, 0.845627, 1.27925", \ + "0.241005, 0.347023, 0.404777, 0.499225, 0.656791, 0.917107, 1.35188" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0181792, 0.132567, 0.21253, 0.344751, 0.566234, 0.935385, 1.55058", \ + "0.0193785, 0.132615, 0.212531, 0.34488, 0.566339, 0.935437, 1.5515", \ + "0.0208632, 0.132728, 0.212564, 0.344881, 0.56643, 0.937128, 1.55151", \ + "0.0238462, 0.132953, 0.212694, 0.344882, 0.566431, 0.937129, 1.55152", \ + "0.0293666, 0.133957, 0.213202, 0.345105, 0.566443, 0.93713, 1.55153", \ + "0.038327, 0.137822, 0.215177, 0.346404, 0.567133, 0.937131, 1.55154", \ + "0.052386, 0.148821, 0.2225, 0.350942, 0.570661, 0.938185, 1.55199" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0415029, 0.0777779, 0.10124, 0.139792, 0.204157, 0.311327, 0.489867", \ + "0.0659675, 0.103488, 0.12706, 0.165693, 0.229995, 0.337142, 0.515571", \ + "0.0796245, 0.118204, 0.141855, 0.180452, 0.245016, 0.352196, 0.530715", \ + "0.0991762, 0.140013, 0.163395, 0.201711, 0.265853, 0.372948, 0.551607", \ + "0.120377, 0.165084, 0.189068, 0.226833, 0.291056, 0.39782, 0.575677", \ + "0.146528, 0.199116, 0.224215, 0.263983, 0.328665, 0.434592, 0.612965", \ + "0.17489, 0.24044, 0.269378, 0.311234, 0.378758, 0.487317, 0.668429" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0125712, 0.0579316, 0.0901538, 0.143601, 0.233196, 0.382384, 0.631079", \ + "0.0152443, 0.0589484, 0.0907517, 0.143918, 0.233383, 0.382405, 0.631235", \ + "0.0177036, 0.0600064, 0.0914312, 0.144404, 0.233629, 0.382597, 0.632967", \ + "0.0221558, 0.0623186, 0.0928014, 0.145251, 0.234404, 0.38327, 0.632968", \ + "0.028289, 0.0667137, 0.0958887, 0.147237, 0.2355, 0.384283, 0.632969", \ + "0.038311, 0.076387, 0.103762, 0.153307, 0.240007, 0.387305, 0.634463", \ + "0.053711, 0.095568, 0.121022, 0.167174, 0.252295, 0.398644, 0.644085" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00250626, 0.00305141, 0.00305785, 0.00301083, 0.00294683, 0.00264441, 0.00226568", \ + "0.00284665, 0.00286574, 0.00282099, 0.00289509, 0.00281158, 0.00263905, 0.00224531", \ + "0.00345568, 0.00294549, 0.00295909, 0.00292796, 0.00274804, 0.00266468, 0.00214393", \ + "0.00484539, 0.00367324, 0.00339139, 0.00318509, 0.00300328, 0.00273921, 0.00286018", \ + "0.00804488, 0.00578031, 0.00518123, 0.00455126, 0.00388832, 0.00381085, 0.00314139", \ + "0.0144413, 0.0105609, 0.00946721, 0.00823341, 0.00720331, 0.00544849, 0.00495071", \ + "0.027677, 0.0217512, 0.0196994, 0.0174114, 0.0148857, 0.0123821, 0.00967038" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0021311, 0.00276684, 0.0027981, 0.00272101, 0.0026255, 0.00242035, 0.00204303", \ + "0.00218986, 0.00253613, 0.00256525, 0.00269714, 0.00256782, 0.00235354, 0.0019722", \ + "0.00273044, 0.0025934, 0.00264642, 0.00257415, 0.00266852, 0.00241019, 0.0019544", \ + "0.00415928, 0.00313438, 0.00301248, 0.00294194, 0.00264488, 0.00251735, 0.00244618", \ + "0.007354, 0.00493537, 0.00440825, 0.00394101, 0.00368673, 0.00308889, 0.00241626", \ + "0.01431, 0.00972583, 0.00846358, 0.00723904, 0.00603655, 0.00509396, 0.00431487", \ + "0.0277108, 0.0211973, 0.0186991, 0.016037, 0.0134224, 0.0110615, 0.0088208" \ + ); + } + } + internal_power () { + related_pin : "B_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00576062, 0.00601022, 0.00598572, 0.00592642, 0.00578662, 0.00549812, 0.00525742", \ + "0.00562576, 0.00593256, 0.00592736, 0.00591266, 0.00574256, 0.00549156, 0.00525236", \ + "0.00558703, 0.00583693, 0.00590993, 0.00580513, 0.00615033, 0.00566253, 0.00519173", \ + "0.0055751, 0.0058276, 0.0058707, 0.0057929, 0.0059828, 0.0054685, 0.0052264", \ + "0.0055929, 0.0057614, 0.0058308, 0.0058363, 0.0057089, 0.0058379, 0.0052941", \ + "0.0058307, 0.0057127, 0.0057206, 0.0057845, 0.0058049, 0.0055559, 0.0054033", \ + "0.0064086, 0.0057567, 0.0055649, 0.0055525, 0.005552, 0.0055026, 0.005351" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00288021, 0.00309707, 0.00304514, 0.00297668, 0.00286151, 0.00262925, 0.00220786", \ + "0.00274454, 0.00294046, 0.00290148, 0.0030531, 0.00291157, 0.00254576, 0.00213392", \ + "0.00277556, 0.00301268, 0.00303064, 0.00291901, 0.00301019, 0.0025888, 0.00250715", \ + "0.00281264, 0.00295502, 0.00293508, 0.00290144, 0.00263893, 0.00239695, 0.00229822", \ + "0.00313472, 0.00296382, 0.00298552, 0.00295192, 0.00289982, 0.00270992, 0.00150209", \ + "0.0032583, 0.0026563, 0.0025201, 0.0025451, 0.002365, 0.0020328, 0.0019722", \ + "0.0043817, 0.0031168, 0.0029924, 0.0026433, 0.0025028, 0.0022583, 0.0021003" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00311687; + rise_capacitance : 0.00320601; + rise_capacitance_range (0.00320601, 0.00320601); + fall_capacitance : 0.00302773; + fall_capacitance_range (0.00302773, 0.00302773); + } + pin (B_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00245452; + rise_capacitance : 0.00247569; + rise_capacitance_range (0.00247569, 0.00247569); + fall_capacitance : 0.00243335; + fall_capacitance_range (0.00243335, 0.00243335); + internal_power () { + when : "A"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00486208, 0.00486684, 0.00521837, 0.0062652, 0.0087105, 0.0139774, 0.0251237" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00443198, 0.00454497, 0.00495145, 0.00599345, 0.00837328, 0.0137808, 0.0241792" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00486208, 0.00486684, 0.00521837, 0.0062652, 0.0087105, 0.0139774, 0.0251237" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00443198, 0.00454497, 0.00495145, 0.00599345, 0.00837328, 0.0137808, 0.0241792" \ + ); + } + } + } + } + cell (sg13g2_nor2b_2) { + area : 12.7008; + cell_footprint : "nor2b"; + cell_leakage_power : 489.677; + leakage_power () { + value : 368.141; + when : "!A*!B_N"; + } + leakage_power () { + value : 449.204; + when : "!A*B_N"; + } + leakage_power () { + value : 564.841; + when : "A*!B_N"; + } + leakage_power () { + value : 576.524; + when : "A*B_N"; + } + pin (Y) { + direction : "output"; + function : "!(A+!B_N)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0216805, 0.107912, 0.164574, 0.257942, 0.414301, 0.674199, 1.10806", \ + "0.0351335, 0.141454, 0.198858, 0.292375, 0.44873, 0.709322, 1.14251", \ + "0.0426655, 0.168972, 0.231136, 0.326643, 0.482832, 0.742779, 1.17689", \ + "0.0532312, 0.212222, 0.285142, 0.391133, 0.553171, 0.813508, 1.24642", \ + "0.0667799, 0.268355, 0.360722, 0.489343, 0.674325, 0.950503, 1.38753", \ + "0.0848624, 0.343669, 0.463737, 0.627391, 0.855738, 1.17857, 1.65332", \ + "0.109216, 0.443091, 0.597797, 0.811636, 1.1063, 1.51077, 2.07982" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0159189, 0.132039, 0.212161, 0.344426, 0.56603, 0.93571, 1.55094", \ + "0.0293901, 0.13955, 0.215211, 0.345406, 0.566301, 0.935717, 1.55095", \ + "0.0383425, 0.156263, 0.22823, 0.351917, 0.567482, 0.935718, 1.55096", \ + "0.0547342, 0.189582, 0.262577, 0.380752, 0.584638, 0.94045, 1.55266", \ + "0.0781248, 0.247749, 0.329394, 0.451533, 0.649393, 0.984765, 1.57016", \ + "0.119401, 0.335255, 0.435804, 0.577152, 0.786747, 1.11833, 1.67071", \ + "0.193142, 0.467797, 0.597956, 0.778781, 1.02387, 1.387, 1.9461" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0151416, 0.0546667, 0.0789752, 0.119123, 0.186488, 0.298133, 0.484968", \ + "0.0275766, 0.093224, 0.122694, 0.165433, 0.233255, 0.345086, 0.532255", \ + "0.0336384, 0.119038, 0.15448, 0.203982, 0.277151, 0.390829, 0.577226", \ + "0.0437731, 0.158884, 0.205754, 0.268281, 0.355131, 0.480801, 0.673278", \ + "0.0518331, 0.209298, 0.273222, 0.357735, 0.470141, 0.623655, 0.841795", \ + "0.059451, 0.277184, 0.363163, 0.478703, 0.633256, 0.834879, 1.10885", \ + "0.0619903, 0.361552, 0.48227, 0.639078, 0.849045, 1.13013, 1.4943" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00806623, 0.0551722, 0.0888531, 0.144605, 0.238333, 0.394131, 0.654262", \ + "0.0204275, 0.0721885, 0.101873, 0.152392, 0.240934, 0.395382, 0.65463", \ + "0.0298516, 0.0899836, 0.12114, 0.170604, 0.254217, 0.400842, 0.656998", \ + "0.0469244, 0.122297, 0.158144, 0.210109, 0.293036, 0.431543, 0.672755", \ + "0.07435, 0.175664, 0.219243, 0.281647, 0.371266, 0.511328, 0.740003", \ + "0.120096, 0.259644, 0.317566, 0.398272, 0.507017, 0.663039, 0.900373", \ + "0.201055, 0.402315, 0.476921, 0.580168, 0.723415, 0.918614, 1.19601" \ + ); + } + } + timing () { + related_pin : "B_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0553934, 0.14106, 0.197628, 0.291021, 0.447213, 0.707136, 1.14046", \ + "0.0845111, 0.170735, 0.227404, 0.320863, 0.477044, 0.737472, 1.17106", \ + "0.102223, 0.189441, 0.246171, 0.339565, 0.495862, 0.755995, 1.18969", \ + "0.130943, 0.22001, 0.276703, 0.369988, 0.526272, 0.786523, 1.22028", \ + "0.167237, 0.260129, 0.316729, 0.410447, 0.566607, 0.826751, 1.2601", \ + "0.218193, 0.319136, 0.375843, 0.469157, 0.625105, 0.885382, 1.3191", \ + "0.289704, 0.40397, 0.461723, 0.555347, 0.71187, 0.972781, 1.40672" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0160161, 0.132046, 0.212173, 0.344425, 0.566044, 0.93569, 1.5513", \ + "0.0177775, 0.13214, 0.212174, 0.344537, 0.566136, 0.935691, 1.55131", \ + "0.0197653, 0.132357, 0.212181, 0.344538, 0.566137, 0.935692, 1.55159", \ + "0.0234804, 0.132814, 0.212331, 0.344539, 0.566138, 0.935693, 1.5516", \ + "0.030029, 0.134216, 0.212881, 0.344779, 0.566356, 0.935694, 1.55161", \ + "0.040763, 0.139328, 0.215603, 0.345859, 0.566859, 0.936019, 1.55162", \ + "0.057029, 0.152344, 0.224198, 0.351227, 0.569659, 0.937744, 1.55218" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.048963, 0.089099, 0.113524, 0.152866, 0.218638, 0.328333, 0.510554", \ + "0.0774899, 0.118906, 0.14318, 0.182647, 0.248547, 0.358139, 0.540528", \ + "0.0943621, 0.137208, 0.161587, 0.201143, 0.267063, 0.376534, 0.559036", \ + "0.118685, 0.163951, 0.188128, 0.22768, 0.293187, 0.402459, 0.584697", \ + "0.145211, 0.195712, 0.22113, 0.2603, 0.325739, 0.434806, 0.616809", \ + "0.179061, 0.238502, 0.265089, 0.305654, 0.370415, 0.478896, 0.660725", \ + "0.217522, 0.29025, 0.32061, 0.363526, 0.431995, 0.54337, 0.726548" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.013821, 0.0609436, 0.0938855, 0.148098, 0.239522, 0.391954, 0.646349", \ + "0.0167328, 0.0621318, 0.0942335, 0.148407, 0.239737, 0.392357, 0.646434", \ + "0.0196861, 0.0635152, 0.0953068, 0.14892, 0.239924, 0.392358, 0.646435", \ + "0.024631, 0.0664644, 0.0971963, 0.150215, 0.240762, 0.392681, 0.646511", \ + "0.031673, 0.0727765, 0.102092, 0.153128, 0.24222, 0.393933, 0.647416", \ + "0.042881, 0.084496, 0.111466, 0.160207, 0.247089, 0.396875, 0.649439", \ + "0.060195, 0.106198, 0.131161, 0.176255, 0.2605, 0.408078, 0.658441" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00500535, 0.00617528, 0.00622711, 0.00612177, 0.00594333, 0.00547155, 0.00453252", \ + "0.00583119, 0.00575225, 0.00573654, 0.00583343, 0.00570892, 0.00534863, 0.00447205", \ + "0.00718803, 0.0059589, 0.00604685, 0.00576857, 0.00555104, 0.00521488, 0.00448764", \ + "0.00998203, 0.00743137, 0.00680097, 0.0064753, 0.00606062, 0.00554088, 0.00490686", \ + "0.0163632, 0.0115211, 0.0103215, 0.0091192, 0.00788036, 0.00731336, 0.00621549", \ + "0.0290532, 0.0209782, 0.0187485, 0.0163292, 0.014304, 0.0106799, 0.00993452", \ + "0.0553179, 0.0429518, 0.0389587, 0.0344438, 0.0292042, 0.0242928, 0.0187621" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00335591, 0.00485612, 0.00485911, 0.00473383, 0.00461759, 0.004134, 0.00355908", \ + "0.00372485, 0.00436018, 0.00443558, 0.00471645, 0.00462358, 0.00442327, 0.00370153", \ + "0.00486065, 0.00445827, 0.00458704, 0.00440477, 0.00466575, 0.00421652, 0.00389108", \ + "0.00788676, 0.00539648, 0.00528102, 0.00508976, 0.00454097, 0.00438166, 0.00372752", \ + "0.0143065, 0.00905244, 0.00805095, 0.00716482, 0.00653276, 0.00547561, 0.00397321", \ + "0.0278183, 0.0184264, 0.0159973, 0.0136275, 0.0112057, 0.00936842, 0.00772675", \ + "0.0546583, 0.0410376, 0.0360651, 0.0309556, 0.0255381, 0.0208731, 0.016835" \ + ); + } + } + internal_power () { + related_pin : "B_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0109544, 0.0116085, 0.0116156, 0.0114737, 0.0112347, 0.0107576, 0.0102211", \ + "0.0107944, 0.0114738, 0.0115076, 0.0114805, 0.0111554, 0.0106658, 0.0100834", \ + "0.0106815, 0.0112299, 0.0114178, 0.0112671, 0.0110816, 0.0106164, 0.0101045", \ + "0.0107432, 0.0112552, 0.0113328, 0.0112484, 0.0111866, 0.0109121, 0.0101856", \ + "0.0110507, 0.0111533, 0.0112823, 0.0113234, 0.0110797, 0.0114301, 0.0106991", \ + "0.0118923, 0.011199, 0.0111918, 0.011315, 0.0113112, 0.0108123, 0.010591", \ + "0.0138195, 0.0114537, 0.0111462, 0.0111124, 0.010973, 0.0110415, 0.0108639" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00522589, 0.00573409, 0.00586079, 0.00567309, 0.00537939, 0.00499109, 0.00419339", \ + "0.00512457, 0.00549117, 0.00552197, 0.00555547, 0.00536627, 0.00487167, 0.00411337", \ + "0.00514008, 0.00550928, 0.00555408, 0.00528458, 0.00558578, 0.00469078, 0.00392948", \ + "0.00555671, 0.00546591, 0.00532391, 0.00545321, 0.00491181, 0.00446511, 0.00362781", \ + "0.006162, 0.0058358, 0.005881, 0.0056763, 0.0056049, 0.0053922, 0.0039347", \ + "0.0072739, 0.0055478, 0.0054602, 0.005374, 0.0048267, 0.0045524, 0.0040426", \ + "0.0100817, 0.0064808, 0.0061657, 0.0055516, 0.0053663, 0.0050412, 0.0045985" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00604905; + rise_capacitance : 0.00623371; + rise_capacitance_range (0.00623371, 0.00623371); + fall_capacitance : 0.00586439; + fall_capacitance_range (0.00586439, 0.00586439); + } + pin (B_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00289325; + rise_capacitance : 0.0029282; + rise_capacitance_range (0.0029282, 0.0029282); + fall_capacitance : 0.00285831; + fall_capacitance_range (0.00285831, 0.00285831); + internal_power () { + when : "A"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00888837, 0.00877851, 0.00914151, 0.0102334, 0.0128974, 0.0189767, 0.0320051" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00763461, 0.00767723, 0.00814522, 0.00916219, 0.011842, 0.0180141, 0.0301527" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00888837, 0.00877851, 0.00914151, 0.0102334, 0.0128974, 0.0189767, 0.0320051" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00763461, 0.00767723, 0.00814522, 0.00916219, 0.011842, 0.0180141, 0.0301527" \ + ); + } + } + } + } + cell (sg13g2_nor3_1) { + area : 9.072; + cell_footprint : "nor3"; + cell_leakage_power : 267.578; + leakage_power () { + value : 162.216; + when : "A&!B&!C"; + } + leakage_power () { + value : 238.148; + when : "!A&!B&!C"; + } + leakage_power () { + value : 227.856; + when : "!A&B&!C"; + } + leakage_power () { + value : 299.963; + when : "!A&!B&C"; + } + leakage_power () { + value : 270.857; + when : "A&B&!C"; + } + leakage_power () { + value : 395.486; + when : "B&C"; + } + leakage_power () { + value : 278.522; + when : "A&!B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0502882, 0.175239, 0.260838, 0.402324, 0.638535, 1.03242, 1.6889", \ + "0.0667247, 0.196153, 0.282098, 0.423663, 0.660734, 1.05473, 1.71204", \ + "0.0745623, 0.212599, 0.299576, 0.441368, 0.678524, 1.07264, 1.73086", \ + "0.0857567, 0.240796, 0.332272, 0.477415, 0.714708, 1.10898, 1.76562", \ + "0.0977087, 0.281593, 0.382286, 0.537172, 0.782513, 1.17931, 1.83634", \ + "0.120369, 0.345165, 0.462969, 0.637746, 0.900954, 1.31624, 1.98086", \ + "0.152124, 0.438887, 0.582236, 0.78898, 1.0903, 1.54524, 2.24733" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0341707, 0.206048, 0.325812, 0.523464, 0.855007, 1.40721, 2.32755", \ + "0.0407936, 0.207381, 0.326142, 0.523982, 0.855008, 1.40735, 2.32778", \ + "0.0482598, 0.213199, 0.329219, 0.524548, 0.855009, 1.40736, 2.328", \ + "0.0655386, 0.22972, 0.343468, 0.533352, 0.858144, 1.40809, 2.32801", \ + "0.0961492, 0.266826, 0.379539, 0.565632, 0.880909, 1.41841, 2.33081", \ + "0.144307, 0.333298, 0.452205, 0.63934, 0.94845, 1.47007, 2.35806", \ + "0.222306, 0.444511, 0.575894, 0.77805, 1.09239, 1.61297, 2.47697" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.021356, 0.0560409, 0.0784952, 0.115338, 0.176748, 0.278951, 0.448735", \ + "0.0436453, 0.0958311, 0.122512, 0.161721, 0.223791, 0.325794, 0.495747", \ + "0.0560675, 0.122786, 0.154801, 0.20019, 0.26755, 0.371706, 0.541597", \ + "0.0751259, 0.16489, 0.20679, 0.264069, 0.344347, 0.460589, 0.637434", \ + "0.0956381, 0.21769, 0.275239, 0.35292, 0.457061, 0.600171, 0.8033", \ + "0.121014, 0.288076, 0.36597, 0.472014, 0.615983, 0.805141, 1.06285", \ + "0.149176, 0.377732, 0.485795, 0.629194, 0.824947, 1.08906, 1.43414" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0146867, 0.0575603, 0.0881497, 0.139177, 0.22433, 0.366675, 0.603363", \ + "0.0300005, 0.0742708, 0.101326, 0.147455, 0.227673, 0.367002, 0.603706", \ + "0.0421609, 0.0922497, 0.120632, 0.165804, 0.241921, 0.374456, 0.605273", \ + "0.0627122, 0.124756, 0.157506, 0.205221, 0.280909, 0.406659, 0.625311", \ + "0.096522, 0.178892, 0.219001, 0.275716, 0.358558, 0.486464, 0.695352", \ + "0.151, 0.265495, 0.318134, 0.391234, 0.492455, 0.637943, 0.855282", \ + "0.243096, 0.410899, 0.478868, 0.574236, 0.706356, 0.887849, 1.1478" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0470963, 0.172062, 0.257665, 0.399137, 0.635374, 1.02928, 1.68573", \ + "0.0640352, 0.196793, 0.282794, 0.4246, 0.661478, 1.05547, 1.71279", \ + "0.0732191, 0.219184, 0.306668, 0.448635, 0.685551, 1.07987, 1.73727", \ + "0.088628, 0.258345, 0.352152, 0.498478, 0.736266, 1.13064, 1.78744", \ + "0.110498, 0.316813, 0.423764, 0.58379, 0.832789, 1.23094, 1.88798", \ + "0.146321, 0.403137, 0.534658, 0.720368, 0.998336, 1.42067, 2.08947", \ + "0.200272, 0.528965, 0.693285, 0.926038, 1.2556, 1.73611, 2.457" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0342637, 0.20603, 0.325721, 0.523494, 0.855007, 1.40625, 2.32755", \ + "0.0451259, 0.208178, 0.326109, 0.523555, 0.855008, 1.40734, 2.32779", \ + "0.0555631, 0.216649, 0.330975, 0.524805, 0.855009, 1.40735, 2.32826", \ + "0.0757873, 0.239201, 0.349944, 0.536708, 0.859399, 1.40736, 2.32827", \ + "0.103645, 0.28686, 0.397869, 0.579248, 0.889349, 1.42115, 2.3352", \ + "0.149168, 0.365546, 0.486819, 0.673756, 0.977608, 1.48964, 2.36693", \ + "0.225435, 0.489535, 0.632705, 0.844113, 1.16386, 1.67198, 2.521" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0208647, 0.0549444, 0.0773011, 0.114208, 0.17586, 0.278758, 0.450027", \ + "0.0404294, 0.094044, 0.121011, 0.160527, 0.22283, 0.325633, 0.496613", \ + "0.0507789, 0.120303, 0.152843, 0.198686, 0.266684, 0.371465, 0.542654", \ + "0.0664435, 0.160928, 0.203788, 0.261904, 0.343135, 0.460211, 0.638428", \ + "0.0808668, 0.210955, 0.270312, 0.349344, 0.454919, 0.599576, 0.803919", \ + "0.0947036, 0.276332, 0.356801, 0.465827, 0.612267, 0.803513, 1.0627", \ + "0.100909, 0.352483, 0.467075, 0.616501, 0.81558, 1.08457, 1.43315" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126927, 0.0554061, 0.0862121, 0.13759, 0.223355, 0.366772, 0.605205", \ + "0.0274444, 0.0721814, 0.0996204, 0.14594, 0.226722, 0.367129, 0.605206", \ + "0.0391334, 0.0901773, 0.118698, 0.164304, 0.240869, 0.374553, 0.606922", \ + "0.0590138, 0.122259, 0.155493, 0.20354, 0.279867, 0.406825, 0.626391", \ + "0.0916096, 0.176528, 0.216773, 0.273887, 0.357979, 0.4863, 0.697254", \ + "0.144683, 0.262939, 0.315846, 0.389367, 0.491216, 0.639288, 0.856419", \ + "0.232595, 0.41025, 0.478891, 0.574101, 0.70795, 0.888753, 1.15034" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0365839, 0.162797, 0.248536, 0.390104, 0.626644, 1.02031, 1.67682", \ + "0.0559365, 0.191814, 0.277706, 0.419474, 0.656314, 1.05035, 1.70693", \ + "0.0675724, 0.220234, 0.307905, 0.449303, 0.685639, 1.08001, 1.7374", \ + "0.0869676, 0.26845, 0.36476, 0.511966, 0.748764, 1.1422, 1.79978", \ + "0.112403, 0.336574, 0.451215, 0.617576, 0.86972, 1.26727, 1.92175", \ + "0.152225, 0.432826, 0.575563, 0.776577, 1.06899, 1.50158, 2.17183", \ + "0.215652, 0.570284, 0.750478, 1.00182, 1.36031, 1.87519, 2.6231" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0343935, 0.206088, 0.325828, 0.523641, 0.854675, 1.40722, 2.32756", \ + "0.0484394, 0.209233, 0.326317, 0.523771, 0.854676, 1.40723, 2.32757", \ + "0.0584691, 0.221773, 0.33366, 0.525584, 0.854677, 1.40724, 2.32826", \ + "0.0772009, 0.252846, 0.361352, 0.543587, 0.86138, 1.40911, 2.32827", \ + "0.102616, 0.310417, 0.424969, 0.604299, 0.906668, 1.4297, 2.33806", \ + "0.148342, 0.401477, 0.531308, 0.726169, 1.03, 1.53033, 2.38947", \ + "0.22818, 0.540478, 0.701598, 0.924521, 1.26264, 1.77839, 2.61381" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180921, 0.0526002, 0.0748988, 0.111735, 0.173365, 0.276213, 0.447198", \ + "0.033614, 0.0909218, 0.118299, 0.158016, 0.220532, 0.323278, 0.494253", \ + "0.0410352, 0.1162, 0.149412, 0.195798, 0.263991, 0.368978, 0.540105", \ + "0.0518583, 0.154812, 0.199258, 0.258196, 0.340041, 0.457533, 0.635821", \ + "0.058488, 0.201886, 0.263185, 0.34396, 0.450704, 0.596189, 0.801086", \ + "0.0590106, 0.261605, 0.345581, 0.456914, 0.605701, 0.798573, 1.05948", \ + "0.0590116, 0.325599, 0.44645, 0.601293, 0.804743, 1.076, 1.42758" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0102156, 0.0525372, 0.0833252, 0.134645, 0.22058, 0.363789, 0.60214", \ + "0.0241736, 0.0696834, 0.0969731, 0.143192, 0.223795, 0.364349, 0.602407", \ + "0.0352955, 0.0871798, 0.11618, 0.161663, 0.238154, 0.371769, 0.603817", \ + "0.0547822, 0.119451, 0.152258, 0.200709, 0.277119, 0.404114, 0.624123", \ + "0.0866832, 0.173466, 0.213878, 0.27081, 0.355446, 0.483285, 0.694195", \ + "0.139834, 0.260586, 0.313717, 0.388142, 0.489018, 0.635857, 0.854801", \ + "0.229379, 0.410364, 0.47981, 0.573402, 0.706634, 0.888281, 1.14601" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00913362, 0.0094215, 0.0094172, 0.00936466, 0.00923778, 0.00898847, 0.00871117", \ + "0.00891502, 0.00912466, 0.00917495, 0.00919792, 0.00911182, 0.00891578, 0.00875649", \ + "0.00895919, 0.00910181, 0.00909851, 0.00928538, 0.00902755, 0.00886062, 0.00870528", \ + "0.00951363, 0.00921806, 0.00917461, 0.00930589, 0.00901233, 0.00885061, 0.00866061", \ + "0.0117776, 0.0104341, 0.0101217, 0.0097513, 0.00966664, 0.00907224, 0.00886996", \ + "0.0176299, 0.0144161, 0.0135457, 0.0126672, 0.0116068, 0.0109164, 0.00992424", \ + "0.0302643, 0.0248287, 0.0228354, 0.0208408, 0.0185056, 0.016481, 0.0141724" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0029717, 0.00300169, 0.00293759, 0.00287918, 0.00273828, 0.00257191, 0.00216897", \ + "0.00284532, 0.0028879, 0.00282004, 0.00286091, 0.00264972, 0.00242752, 0.002214", \ + "0.00317365, 0.00302541, 0.00296712, 0.00281654, 0.00279286, 0.00244426, 0.0022136", \ + "0.0042927, 0.00354028, 0.0033876, 0.00325438, 0.00298414, 0.00258434, 0.0027407", \ + "0.00710797, 0.00522287, 0.00474189, 0.00430824, 0.00390299, 0.00340445, 0.00250675", \ + "0.0133763, 0.00992372, 0.00879927, 0.00755998, 0.00628793, 0.00536697, 0.00450104", \ + "0.0262858, 0.021229, 0.0189338, 0.0165037, 0.0136531, 0.0112329, 0.00912193" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00666595, 0.00696024, 0.00695507, 0.00691246, 0.00678301, 0.00650968, 0.0062296", \ + "0.006477, 0.00667991, 0.00672467, 0.00673219, 0.00666365, 0.00646994, 0.00632197", \ + "0.00663565, 0.00667082, 0.00665174, 0.00672185, 0.00659331, 0.0064144, 0.00622291", \ + "0.00745078, 0.00692964, 0.00683412, 0.00685057, 0.00675324, 0.00638341, 0.0061373", \ + "0.0099494, 0.00833995, 0.00791193, 0.00745555, 0.00737416, 0.00668126, 0.00663604", \ + "0.0154575, 0.0122707, 0.0113654, 0.0103949, 0.00934221, 0.00855592, 0.00736334", \ + "0.0270964, 0.0218725, 0.0200221, 0.0181443, 0.0160346, 0.0136368, 0.0114106" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00281123, 0.00299695, 0.00294542, 0.00289222, 0.00274025, 0.00259444, 0.00221659", \ + "0.00263924, 0.00283707, 0.00282642, 0.00289112, 0.00265495, 0.00252247, 0.0021506", \ + "0.00296379, 0.00295197, 0.00294889, 0.00282272, 0.00286487, 0.00256395, 0.0021712", \ + "0.00408264, 0.0033961, 0.00331781, 0.00321429, 0.00289755, 0.00273883, 0.00291532", \ + "0.00677074, 0.00502182, 0.00455982, 0.00413546, 0.00386662, 0.00329009, 0.00258688", \ + "0.0125679, 0.00937788, 0.00830773, 0.00720016, 0.00612937, 0.00538719, 0.00422433", \ + "0.0245965, 0.019859, 0.0178572, 0.0155465, 0.0130158, 0.0107173, 0.00884852" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0039081, 0.00440146, 0.00442302, 0.00440626, 0.00426027, 0.00402804, 0.00370029", \ + "0.00409418, 0.00411794, 0.00415764, 0.00420417, 0.00412186, 0.00390581, 0.00366481", \ + "0.00463156, 0.00429162, 0.00418428, 0.00434557, 0.00409179, 0.00390425, 0.00371895", \ + "0.00583123, 0.00481545, 0.00462256, 0.00453058, 0.0043817, 0.00408407, 0.0037273", \ + "0.0086459, 0.00675215, 0.00622714, 0.00554775, 0.00526714, 0.00444219, 0.00420444", \ + "0.0144424, 0.0111858, 0.0101427, 0.0090506, 0.00803105, 0.00697854, 0.00561421", \ + "0.0265799, 0.0212268, 0.0194697, 0.017372, 0.0150587, 0.0126406, 0.00991502" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00238885, 0.00290365, 0.00291492, 0.00286587, 0.00276015, 0.00258772, 0.0021574", \ + "0.00233213, 0.00278565, 0.00278191, 0.00289574, 0.00275664, 0.00264302, 0.00223522", \ + "0.00272658, 0.00279542, 0.0028891, 0.00281204, 0.00283254, 0.00255521, 0.00221449", \ + "0.00397763, 0.00319369, 0.00315221, 0.00312719, 0.0029896, 0.0027607, 0.00323008", \ + "0.00676986, 0.00480011, 0.00433121, 0.00396104, 0.0038034, 0.0033962, 0.00261571", \ + "0.0128419, 0.00908818, 0.00789752, 0.00691163, 0.00585701, 0.00509762, 0.0044569", \ + "0.0254104, 0.0195053, 0.0172922, 0.0149526, 0.0122425, 0.010554, 0.00845082" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00329193; + rise_capacitance : 0.00319379; + rise_capacitance_range (0.00319379, 0.00319379); + fall_capacitance : 0.00339007; + fall_capacitance_range (0.00339007, 0.00339007); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00328077; + rise_capacitance : 0.00324652; + rise_capacitance_range (0.00324652, 0.00324652); + fall_capacitance : 0.00331502; + fall_capacitance_range (0.00331502, 0.00331502); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00310998; + rise_capacitance : 0.00321681; + rise_capacitance_range (0.00321681, 0.00321681); + fall_capacitance : 0.00300314; + fall_capacitance_range (0.00300314, 0.00300314); + } + } + cell (sg13g2_nor3_2) { + area : 16.3296; + cell_footprint : "nor3"; + cell_leakage_power : 516.047; + leakage_power () { + value : 311.25; + when : "A&!B&!C"; + } + leakage_power () { + value : 474.227; + when : "!A&!B&!C"; + } + leakage_power () { + value : 442.494; + when : "!A&B&!C"; + } + leakage_power () { + value : 586.824; + when : "!A&!B&C"; + } + leakage_power () { + value : 515.312; + when : "A&B&!C"; + } + leakage_power () { + value : 751.465; + when : "B&C"; + } + leakage_power () { + value : 530.757; + when : "A&!B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0466141, 0.175623, 0.261922, 0.404467, 0.643032, 1.03996, 1.70186", \ + "0.0626158, 0.196676, 0.283297, 0.426229, 0.665056, 1.06237, 1.72508", \ + "0.0698439, 0.213159, 0.300797, 0.443796, 0.682593, 1.0802, 1.74305", \ + "0.078885, 0.240928, 0.333174, 0.479271, 0.71863, 1.11633, 1.77852", \ + "0.0874915, 0.28043, 0.382279, 0.538314, 0.785854, 1.1863, 1.84815", \ + "0.105105, 0.34197, 0.46094, 0.636476, 0.904475, 1.32085, 1.99135", \ + "0.12949, 0.432108, 0.576725, 0.785548, 1.08908, 1.54732, 2.25476" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0284577, 0.205787, 0.326679, 0.526162, 0.860601, 1.41805, 2.34687", \ + "0.0351371, 0.207136, 0.326808, 0.526192, 0.860602, 1.41806, 2.34688", \ + "0.0421817, 0.212876, 0.330081, 0.527427, 0.860863, 1.41808, 2.34757", \ + "0.0592525, 0.229132, 0.343985, 0.535701, 0.863636, 1.4185, 2.34758", \ + "0.0904252, 0.265378, 0.379804, 0.567576, 0.886298, 1.42861, 2.35203", \ + "0.139267, 0.331443, 0.45029, 0.641409, 0.954559, 1.48014, 2.37685", \ + "0.217305, 0.444175, 0.574909, 0.780551, 1.09749, 1.6218, 2.49356" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0204087, 0.0578003, 0.0813017, 0.119741, 0.183898, 0.290498, 0.468213", \ + "0.0420975, 0.0979903, 0.125586, 0.16624, 0.230946, 0.337435, 0.51486", \ + "0.054282, 0.125462, 0.158473, 0.205242, 0.27499, 0.383307, 0.560702", \ + "0.073175, 0.168681, 0.211493, 0.270376, 0.352975, 0.473102, 0.656868", \ + "0.0938103, 0.223034, 0.282172, 0.36138, 0.468139, 0.615196, 0.824399", \ + "0.119632, 0.296212, 0.375551, 0.484332, 0.631298, 0.824741, 1.08907", \ + "0.150754, 0.391024, 0.501072, 0.646926, 0.84708, 1.11638, 1.4689" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0137835, 0.0597913, 0.0918722, 0.145293, 0.234276, 0.38304, 0.630664", \ + "0.0286576, 0.076105, 0.104623, 0.152913, 0.237248, 0.383318, 0.630716", \ + "0.040379, 0.0941219, 0.123809, 0.171125, 0.250796, 0.390142, 0.632046", \ + "0.0600877, 0.126909, 0.160739, 0.210524, 0.289478, 0.421413, 0.650562", \ + "0.0923712, 0.181662, 0.222835, 0.282086, 0.368314, 0.500658, 0.719534", \ + "0.144469, 0.26864, 0.323866, 0.398064, 0.503737, 0.654203, 0.880273", \ + "0.232206, 0.41446, 0.483881, 0.582606, 0.71931, 0.906445, 1.17356" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0433276, 0.172338, 0.258653, 0.401246, 0.63952, 1.03669, 1.69864", \ + "0.059399, 0.19727, 0.283973, 0.426734, 0.665772, 1.06297, 1.72577", \ + "0.0670157, 0.219582, 0.307915, 0.450981, 0.690101, 1.08741, 1.7503", \ + "0.079997, 0.258538, 0.35316, 0.500767, 0.740421, 1.13814, 1.80049", \ + "0.0988263, 0.315846, 0.424566, 0.585835, 0.836445, 1.23819, 1.90084", \ + "0.129682, 0.400909, 0.533303, 0.722477, 1.00145, 1.42764, 2.10153", \ + "0.176177, 0.523423, 0.690214, 0.923873, 1.25632, 1.74187, 2.4698" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0285774, 0.205872, 0.326668, 0.526394, 0.860752, 1.41687, 2.34687", \ + "0.0393257, 0.207885, 0.326948, 0.526395, 0.860753, 1.41745, 2.34688", \ + "0.0493856, 0.216276, 0.331755, 0.527853, 0.860754, 1.41746, 2.34768", \ + "0.0695741, 0.238917, 0.350644, 0.53947, 0.865011, 1.42003, 2.34769", \ + "0.0973149, 0.286362, 0.399118, 0.581757, 0.894509, 1.43187, 2.35459", \ + "0.142182, 0.366085, 0.48901, 0.676684, 0.982279, 1.49947, 2.38571", \ + "0.21778, 0.490342, 0.635556, 0.847122, 1.16728, 1.6823, 2.53936" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0199233, 0.0563243, 0.0794834, 0.117714, 0.181645, 0.288035, 0.465604", \ + "0.0386346, 0.0958039, 0.123461, 0.1641, 0.228655, 0.334948, 0.512221", \ + "0.0485452, 0.122552, 0.15581, 0.202864, 0.272666, 0.380943, 0.558163", \ + "0.0636577, 0.163875, 0.207776, 0.267206, 0.350202, 0.470506, 0.654252", \ + "0.0776911, 0.215545, 0.276034, 0.356679, 0.4643, 0.611937, 0.821491", \ + "0.0913837, 0.283418, 0.365148, 0.476338, 0.625463, 0.820072, 1.08486", \ + "0.0988476, 0.364369, 0.480663, 0.632155, 0.835204, 1.10795, 1.46335" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116586, 0.0570184, 0.0890037, 0.14255, 0.231286, 0.379907, 0.62732", \ + "0.0256322, 0.0736586, 0.102136, 0.150261, 0.234406, 0.380228, 0.627376", \ + "0.0369088, 0.0915117, 0.121251, 0.168352, 0.248116, 0.387218, 0.628647", \ + "0.0558747, 0.12391, 0.158049, 0.20758, 0.286805, 0.418603, 0.647414", \ + "0.0867156, 0.178247, 0.219976, 0.27934, 0.365337, 0.498138, 0.716515", \ + "0.137426, 0.265458, 0.32049, 0.395338, 0.500489, 0.650688, 0.876327", \ + "0.22114, 0.413345, 0.48277, 0.581252, 0.718983, 0.90465, 1.17206" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0314029, 0.161785, 0.248316, 0.390969, 0.629193, 1.02682, 1.68842", \ + "0.0487648, 0.190858, 0.277436, 0.420368, 0.659238, 1.05698, 1.71925", \ + "0.059085, 0.219222, 0.307535, 0.450138, 0.688514, 1.08598, 1.74881", \ + "0.0760427, 0.267044, 0.364274, 0.512658, 0.751406, 1.14806, 1.8096", \ + "0.0986325, 0.334573, 0.450462, 0.618126, 0.872292, 1.27303, 1.93292", \ + "0.133432, 0.429339, 0.574191, 0.776826, 1.0712, 1.50701, 2.18269", \ + "0.188668, 0.564045, 0.745474, 1.00164, 1.36117, 1.87896, 2.63396" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0286647, 0.205991, 0.326604, 0.526427, 0.860149, 1.41778, 2.34701", \ + "0.0417657, 0.209061, 0.327336, 0.526428, 0.860361, 1.41779, 2.34702", \ + "0.0508883, 0.221547, 0.33439, 0.528191, 0.860362, 1.4178, 2.34765", \ + "0.0688091, 0.252523, 0.36204, 0.546175, 0.867143, 1.41781, 2.34766", \ + "0.0927903, 0.310149, 0.425884, 0.606765, 0.912084, 1.44019, 2.35152", \ + "0.136619, 0.401683, 0.53253, 0.728492, 1.03429, 1.54067, 2.40805", \ + "0.213055, 0.540214, 0.703115, 0.930621, 1.26905, 1.78962, 2.63166" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0166515, 0.0536457, 0.076778, 0.114912, 0.178807, 0.285199, 0.462791", \ + "0.0305285, 0.0922838, 0.12049, 0.161303, 0.225903, 0.33224, 0.509551", \ + "0.0369154, 0.117995, 0.152023, 0.199588, 0.269818, 0.378154, 0.555442", \ + "0.046354, 0.157265, 0.202623, 0.26292, 0.346754, 0.467535, 0.651491", \ + "0.0513839, 0.205563, 0.268297, 0.350524, 0.459502, 0.608309, 0.818568", \ + "0.0513849, 0.26738, 0.353068, 0.466724, 0.61829, 0.814707, 1.0812", \ + "0.0513859, 0.335562, 0.458832, 0.615995, 0.824101, 1.09948, 1.4575" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00892666, 0.0538374, 0.0857786, 0.139071, 0.228022, 0.376707, 0.623891", \ + "0.0219648, 0.0707901, 0.0991785, 0.147256, 0.231125, 0.376985, 0.625072", \ + "0.0323322, 0.0886537, 0.118296, 0.165506, 0.245111, 0.384056, 0.625497", \ + "0.0507469, 0.120744, 0.155043, 0.204933, 0.283789, 0.415633, 0.644432", \ + "0.0810512, 0.175172, 0.217454, 0.275442, 0.362134, 0.495527, 0.713465", \ + "0.131324, 0.262622, 0.317487, 0.39332, 0.497469, 0.647817, 0.874526", \ + "0.216829, 0.413225, 0.48193, 0.58001, 0.714569, 0.903262, 1.16754" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0178871, 0.0184826, 0.0184739, 0.0183545, 0.0181042, 0.0181374, 0.0181888", \ + "0.0174755, 0.017954, 0.0179878, 0.018, 0.0178302, 0.0178466, 0.0178416", \ + "0.0175697, 0.0178822, 0.0178746, 0.0184869, 0.0177662, 0.0178978, 0.0177327", \ + "0.0186409, 0.0180732, 0.0179815, 0.018151, 0.0178341, 0.0178133, 0.0176963", \ + "0.0231035, 0.0202669, 0.0196995, 0.018982, 0.018762, 0.0183206, 0.0182352", \ + "0.0346683, 0.0278238, 0.0261119, 0.0245264, 0.0227031, 0.0211956, 0.0200188", \ + "0.059489, 0.0481702, 0.0441536, 0.0403784, 0.035745, 0.0317807, 0.0272908" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00572846, 0.00575563, 0.00568536, 0.0056054, 0.00525923, 0.00484905, 0.00424182", \ + "0.0054884, 0.00552103, 0.00543526, 0.00554381, 0.00524795, 0.00457225, 0.00396951", \ + "0.00616052, 0.00578262, 0.00569943, 0.00537621, 0.00538147, 0.00507932, 0.00386151", \ + "0.00839953, 0.00681239, 0.00649735, 0.00620587, 0.00560298, 0.00508013, 0.00431033", \ + "0.0139837, 0.01008, 0.00919489, 0.00831716, 0.00764654, 0.00612664, 0.00502097", \ + "0.0263098, 0.0192382, 0.0170109, 0.0146353, 0.0123378, 0.0103985, 0.00882665", \ + "0.0516964, 0.04124, 0.0367541, 0.0319903, 0.026374, 0.0215672, 0.0173369" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012979, 0.0135725, 0.0135595, 0.0134694, 0.0131944, 0.0131526, 0.0132648", \ + "0.0126161, 0.0130411, 0.0131055, 0.0130931, 0.012943, 0.0130054, 0.0129686", \ + "0.012914, 0.0129967, 0.012995, 0.0133445, 0.0128211, 0.0129582, 0.0132564", \ + "0.0145296, 0.0134175, 0.0132778, 0.0132374, 0.0130326, 0.0132158, 0.0126932", \ + "0.0195089, 0.0160735, 0.0153597, 0.0144385, 0.0142797, 0.0135214, 0.0136561", \ + "0.0304322, 0.0237244, 0.0218933, 0.0201558, 0.0179422, 0.0165254, 0.0153449", \ + "0.0534167, 0.042445, 0.038837, 0.0349487, 0.0307287, 0.0263791, 0.022109" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00522333, 0.00561221, 0.00554186, 0.00557501, 0.00514115, 0.00478867, 0.00421794", \ + "0.00488896, 0.00534321, 0.00530134, 0.00545775, 0.0050613, 0.00462563, 0.0040331", \ + "0.00559216, 0.00552349, 0.00550275, 0.00527582, 0.00543128, 0.00491759, 0.00384692", \ + "0.00783839, 0.00637662, 0.00622418, 0.00597849, 0.00528429, 0.00498956, 0.0045194", \ + "0.0132, 0.00947262, 0.00866341, 0.0079897, 0.00737532, 0.0063684, 0.0046236", \ + "0.0246554, 0.0180275, 0.0160199, 0.0138545, 0.0117114, 0.00993827, 0.00834278", \ + "0.0482942, 0.0384375, 0.0344727, 0.0300287, 0.0252834, 0.0202795, 0.0168894" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00730605, 0.00836672, 0.00838706, 0.008359, 0.00804182, 0.00812025, 0.00783528", \ + "0.00780265, 0.00780371, 0.00789286, 0.00796205, 0.00779909, 0.00786608, 0.00784186", \ + "0.00896195, 0.00809587, 0.00797258, 0.00825035, 0.00769367, 0.00784346, 0.00808587", \ + "0.0113879, 0.00922534, 0.00877183, 0.00858229, 0.00820053, 0.00786646, 0.00773137", \ + "0.0170456, 0.012937, 0.0119112, 0.0105637, 0.00978451, 0.00884969, 0.00811358", \ + "0.0285766, 0.0216072, 0.019573, 0.0173978, 0.0153549, 0.0132795, 0.0116778", \ + "0.0524509, 0.0412988, 0.0377059, 0.0338082, 0.0290047, 0.0240909, 0.0190437" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0037895, 0.00502985, 0.00500401, 0.0049245, 0.00467276, 0.00432197, 0.00365133", \ + "0.00386042, 0.00472846, 0.00480339, 0.00502592, 0.00482408, 0.00425599, 0.00420348", \ + "0.00475249, 0.00485158, 0.00494182, 0.00481099, 0.00499601, 0.00452322, 0.00363909", \ + "0.00725663, 0.00559428, 0.00556491, 0.00547057, 0.00503661, 0.00471313, 0.00488441", \ + "0.0129904, 0.00871299, 0.00792057, 0.00709329, 0.00662157, 0.00572518, 0.00450291", \ + "0.0250649, 0.0170748, 0.0149123, 0.0127859, 0.0107467, 0.00915171, 0.00800058", \ + "0.0495539, 0.0374866, 0.0329796, 0.0284193, 0.0232315, 0.0197448, 0.0157126" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00625738; + rise_capacitance : 0.00607825; + rise_capacitance_range (0.00607825, 0.00607825); + fall_capacitance : 0.00643651; + fall_capacitance_range (0.00643651, 0.00643651); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00619476; + rise_capacitance : 0.00614624; + rise_capacitance_range (0.00614624, 0.00614624); + fall_capacitance : 0.00624328; + fall_capacitance_range (0.00624328, 0.00624328); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00595923; + rise_capacitance : 0.00618994; + rise_capacitance_range (0.00618994, 0.00618994); + fall_capacitance : 0.00572851; + fall_capacitance_range (0.00572851, 0.00572851); + } + } + cell (sg13g2_nor4_1) { + area : 10.8864; + cell_footprint : "nor4"; + cell_leakage_power : 330.214; + leakage_power () { + value : 316.125; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 361.205; + when : "!A&!B&!C&D&!Y"; + } + leakage_power () { + value : 289.031; + when : "!A&!B&C&!D&!Y"; + } + leakage_power () { + value : 391.742; + when : "!A&!B&C&D&!Y"; + } + leakage_power () { + value : 223.414; + when : "!A&B&!C&!D&!Y"; + } + leakage_power () { + value : 333.178; + when : "!A&B&!C&D&!Y"; + } + leakage_power () { + value : 325.445; + when : "!A&B&C&!D&!Y"; + } + leakage_power () { + value : 443.532; + when : "!A&B&C&D&!Y"; + } + leakage_power () { + value : 158.081; + when : "A&!B&!C&!D&!Y"; + } + leakage_power () { + value : 269.267; + when : "A&!B&!C&D&!Y"; + } + leakage_power () { + value : 267.262; + when : "A&!B&C&!D&!Y"; + } + leakage_power () { + value : 388.744; + when : "A&!B&C&D&!Y"; + } + leakage_power () { + value : 260.438; + when : "A&B&!C&!D&!Y"; + } + leakage_power () { + value : 381.485; + when : "A&B&!C&D&!Y"; + } + leakage_power () { + value : 377.471; + when : "A&B&C&!D&!Y"; + } + leakage_power () { + value : 497.005; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.07543, 0.242841, 0.357792, 0.54717, 0.86415, 1.39247, 2.27278", \ + "0.0926275, 0.261932, 0.377113, 0.566956, 0.884439, 1.41317, 2.29362", \ + "0.101314, 0.2758, 0.391234, 0.581298, 0.898958, 1.42833, 2.30857", \ + "0.112594, 0.2991, 0.41733, 0.60845, 0.92606, 1.45563, 2.33682", \ + "0.121359, 0.331575, 0.456471, 0.654074, 0.975552, 1.50454, 2.38542", \ + "0.13984, 0.386294, 0.524149, 0.734338, 1.07, 1.60736, 2.48964", \ + "0.173732, 0.474653, 0.634887, 0.870333, 1.22921, 1.79409, 2.69783" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0524889, 0.281852, 0.441169, 0.704547, 1.14552, 1.88112, 3.10668", \ + "0.05665, 0.282061, 0.441324, 0.704548, 1.14562, 1.88113, 3.10669", \ + "0.0623289, 0.285017, 0.442463, 0.705104, 1.14642, 1.88182, 3.10781", \ + "0.0748685, 0.296879, 0.450754, 0.708864, 1.14643, 1.88277, 3.10782", \ + "0.104448, 0.326226, 0.47869, 0.730483, 1.15946, 1.88539, 3.10973", \ + "0.160806, 0.38521, 0.53841, 0.787649, 1.20804, 1.91759, 3.12054", \ + "0.24702, 0.495456, 0.655597, 0.908231, 1.32615, 2.02388, 3.19837" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0228608, 0.0599073, 0.0837706, 0.122556, 0.187103, 0.293578, 0.471397", \ + "0.0483653, 0.100989, 0.128337, 0.168998, 0.233832, 0.34044, 0.517792", \ + "0.0629881, 0.129301, 0.161989, 0.208525, 0.278153, 0.386436, 0.563794", \ + "0.0852111, 0.174188, 0.216255, 0.274551, 0.356696, 0.47649, 0.659993", \ + "0.109857, 0.230505, 0.288711, 0.366744, 0.472897, 0.619244, 0.82792", \ + "0.14015, 0.305127, 0.38378, 0.491385, 0.63668, 0.829853, 1.09321", \ + "0.174871, 0.400074, 0.508965, 0.654163, 0.853475, 1.12225, 1.47397" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0173286, 0.0633265, 0.0959315, 0.14885, 0.237917, 0.38605, 0.63324", \ + "0.0336793, 0.0792614, 0.107764, 0.156105, 0.240449, 0.386377, 0.633241", \ + "0.0464332, 0.0974453, 0.126862, 0.173899, 0.253767, 0.393008, 0.634177", \ + "0.069072, 0.13051, 0.16406, 0.21333, 0.292459, 0.424055, 0.652938", \ + "0.106786, 0.186926, 0.226866, 0.285348, 0.370771, 0.503685, 0.721873", \ + "0.16817, 0.277756, 0.331026, 0.403857, 0.508384, 0.656994, 0.882605", \ + "0.272109, 0.431565, 0.498194, 0.593571, 0.72813, 0.911692, 1.17705" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0725178, 0.239965, 0.354827, 0.544285, 0.861288, 1.3896, 2.26989", \ + "0.0900652, 0.260696, 0.375832, 0.565719, 0.883278, 1.41178, 2.29233", \ + "0.0994259, 0.277686, 0.393268, 0.583303, 0.900838, 1.42943, 2.31136", \ + "0.11299, 0.308605, 0.427808, 0.61924, 0.937005, 1.46647, 2.34739", \ + "0.129762, 0.355912, 0.485048, 0.684605, 1.00705, 1.53619, 2.41723", \ + "0.164972, 0.43535, 0.58085, 0.800272, 1.13966, 1.67982, 2.56329", \ + "0.222125, 0.560025, 0.735221, 0.987418, 1.36499, 1.94133, 2.85098" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.052459, 0.281794, 0.441037, 0.704547, 1.14582, 1.88112, 3.10668", \ + "0.0589383, 0.282159, 0.442259, 0.704574, 1.14583, 1.88185, 3.10669", \ + "0.0671736, 0.286535, 0.443009, 0.705193, 1.14584, 1.88191, 3.10778", \ + "0.0844014, 0.302187, 0.453796, 0.710334, 1.1468, 1.88266, 3.10906", \ + "0.118164, 0.339134, 0.48884, 0.737006, 1.16251, 1.88678, 3.11561", \ + "0.171847, 0.412121, 0.560787, 0.808634, 1.22134, 1.92437, 3.12446", \ + "0.255499, 0.532858, 0.698286, 0.953193, 1.37001, 2.0526, 3.21698" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0233214, 0.0594841, 0.0831133, 0.121814, 0.186548, 0.293584, 0.472561", \ + "0.0469955, 0.100008, 0.127493, 0.168312, 0.233373, 0.340572, 0.519203", \ + "0.0602765, 0.127704, 0.16072, 0.20754, 0.277523, 0.386416, 0.564911", \ + "0.0800149, 0.171126, 0.214033, 0.272978, 0.355824, 0.476407, 0.661152", \ + "0.100162, 0.225472, 0.284788, 0.364085, 0.47118, 0.618762, 0.828774", \ + "0.121327, 0.295729, 0.376239, 0.485996, 0.633868, 0.82837, 1.09364", \ + "0.137389, 0.379325, 0.493344, 0.643373, 0.844925, 1.11859, 1.47331" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160413, 0.0614008, 0.0936321, 0.147228, 0.23719, 0.386284, 0.635262", \ + "0.0314077, 0.0773085, 0.105981, 0.154698, 0.239583, 0.387348, 0.635263", \ + "0.0437786, 0.0954913, 0.125162, 0.172575, 0.252933, 0.393344, 0.636379", \ + "0.0656055, 0.128339, 0.162401, 0.211931, 0.291742, 0.424294, 0.654813", \ + "0.101635, 0.184661, 0.22474, 0.284338, 0.369646, 0.503882, 0.7231", \ + "0.160739, 0.274953, 0.328987, 0.402396, 0.506523, 0.656572, 0.884371", \ + "0.258386, 0.427912, 0.495855, 0.592891, 0.72852, 0.912891, 1.17927" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0635162, 0.231034, 0.345879, 0.535236, 0.852266, 1.38056, 2.26088", \ + "0.0809923, 0.253706, 0.369069, 0.558933, 0.876502, 1.40497, 2.28534", \ + "0.0914974, 0.275765, 0.391565, 0.581659, 0.899311, 1.42854, 2.30884", \ + "0.109732, 0.316858, 0.437675, 0.629502, 0.947236, 1.4759, 2.35792", \ + "0.136557, 0.381138, 0.514831, 0.718534, 1.04224, 1.57192, 2.45241", \ + "0.183641, 0.48246, 0.638815, 0.868423, 1.21733, 1.76218, 2.64649", \ + "0.258541, 0.633985, 0.826579, 1.10114, 1.50274, 2.10071, 3.02426" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.052501, 0.281689, 0.441302, 0.704578, 1.14642, 1.88112, 3.10668", \ + "0.0622618, 0.282648, 0.441303, 0.704579, 1.14643, 1.88113, 3.10669", \ + "0.0729349, 0.288617, 0.443877, 0.704898, 1.14644, 1.88163, 3.1067", \ + "0.0928633, 0.309264, 0.458278, 0.712411, 1.1472, 1.88331, 3.10773", \ + "0.12342, 0.356468, 0.502795, 0.747319, 1.16745, 1.88809, 3.10916", \ + "0.172738, 0.43813, 0.59185, 0.837302, 1.24356, 1.93686, 3.12798", \ + "0.253613, 0.569051, 0.745175, 1.00796, 1.42438, 2.10128, 3.24788" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0223606, 0.0575917, 0.080915, 0.119371, 0.183745, 0.290841, 0.469624", \ + "0.0435233, 0.0975645, 0.125161, 0.166, 0.230931, 0.338017, 0.516473", \ + "0.0546422, 0.124552, 0.157805, 0.204831, 0.274898, 0.383796, 0.562254", \ + "0.0708748, 0.166226, 0.209981, 0.269535, 0.352858, 0.473566, 0.658381", \ + "0.084933, 0.217695, 0.27855, 0.35911, 0.466866, 0.615311, 0.825807", \ + "0.095496, 0.283217, 0.366081, 0.478286, 0.628093, 0.823821, 1.08987", \ + "0.095497, 0.355145, 0.474577, 0.6295, 0.835341, 1.11063, 1.46745" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0138065, 0.0585592, 0.0909544, 0.144441, 0.23384, 0.383274, 0.632105", \ + "0.0285717, 0.0749348, 0.103443, 0.152001, 0.236673, 0.383543, 0.632106", \ + "0.0407185, 0.0928352, 0.122662, 0.170147, 0.250179, 0.390271, 0.633392", \ + "0.0615969, 0.125473, 0.159511, 0.209244, 0.289014, 0.421558, 0.651908", \ + "0.0963477, 0.180875, 0.222082, 0.281095, 0.367805, 0.500928, 0.720528", \ + "0.15351, 0.271193, 0.3252, 0.399202, 0.503889, 0.65387, 0.880764", \ + "0.247074, 0.425558, 0.49367, 0.590047, 0.726125, 0.909816, 1.17678" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0458335, 0.214873, 0.329823, 0.519407, 0.836613, 1.36488, 2.24601", \ + "0.0668296, 0.240304, 0.355596, 0.545816, 0.863448, 1.39228, 2.2723", \ + "0.0808599, 0.267832, 0.382872, 0.572173, 0.889748, 1.41873, 2.29876", \ + "0.103899, 0.318116, 0.439579, 0.630373, 0.946599, 1.47495, 2.35578", \ + "0.134644, 0.392992, 0.531518, 0.737974, 1.06159, 1.58839, 2.46653", \ + "0.184664, 0.503121, 0.669347, 0.909811, 1.2676, 1.81635, 2.69693", \ + "0.265874, 0.664111, 0.869055, 1.16147, 1.58674, 2.20946, 3.1469" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.051736, 0.281698, 0.441275, 0.704667, 1.14576, 1.88112, 3.10781", \ + "0.0636258, 0.282783, 0.442641, 0.705283, 1.14619, 1.88183, 3.10782", \ + "0.0733748, 0.291317, 0.444648, 0.705284, 1.14626, 1.88184, 3.10783", \ + "0.091137, 0.318492, 0.46526, 0.715095, 1.14824, 1.8825, 3.10784", \ + "0.118359, 0.374526, 0.522786, 0.76478, 1.17759, 1.89108, 3.11201", \ + "0.166992, 0.464514, 0.62907, 0.878381, 1.28356, 1.96358, 3.13862", \ + "0.249494, 0.610719, 0.801266, 1.07857, 1.50847, 2.18692, 3.31182" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0193167, 0.05487, 0.0781203, 0.116566, 0.180849, 0.287936, 0.466721", \ + "0.036519, 0.0940344, 0.122088, 0.163156, 0.228098, 0.335124, 0.513514", \ + "0.0446528, 0.120092, 0.154022, 0.201603, 0.271984, 0.380936, 0.559455", \ + "0.0559951, 0.159983, 0.205145, 0.265336, 0.349243, 0.47047, 0.655411", \ + "0.0623425, 0.208162, 0.271036, 0.35333, 0.462411, 0.611584, 0.82278", \ + "0.0623435, 0.26828, 0.354695, 0.469254, 0.621385, 0.818356, 1.08593", \ + "0.0623445, 0.329493, 0.454429, 0.614384, 0.824025, 1.10187, 1.46204" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112189, 0.0555191, 0.0876213, 0.141245, 0.23064, 0.380063, 0.628694", \ + "0.0253717, 0.0721916, 0.1007, 0.149108, 0.233686, 0.380871, 0.628888", \ + "0.0369136, 0.0900703, 0.119886, 0.167218, 0.247372, 0.38727, 0.630238", \ + "0.0571366, 0.122406, 0.156637, 0.206604, 0.285962, 0.41859, 0.648727", \ + "0.0908971, 0.178162, 0.21957, 0.278233, 0.364247, 0.497861, 0.717707", \ + "0.147254, 0.268004, 0.322229, 0.397446, 0.500521, 0.651391, 0.878674", \ + "0.241345, 0.423935, 0.492507, 0.588172, 0.725699, 0.909082, 1.17363" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0111221, 0.0113378, 0.0113243, 0.011269, 0.0110964, 0.0110609, 0.0109536", \ + "0.0108383, 0.0112255, 0.0111219, 0.0111003, 0.0109968, 0.0107918, 0.0109128", \ + "0.0108249, 0.0110086, 0.0109916, 0.0110158, 0.0109509, 0.0107506, 0.0109913", \ + "0.0110703, 0.0109897, 0.0110866, 0.011086, 0.0109059, 0.0107966, 0.011008", \ + "0.0124968, 0.0116897, 0.0114622, 0.0113283, 0.0112019, 0.0109483, 0.0110419", \ + "0.0175253, 0.0148632, 0.0141949, 0.0134658, 0.0127417, 0.012742, 0.0122038", \ + "0.0294172, 0.0243419, 0.0226575, 0.0207195, 0.0187712, 0.0167478, 0.0152986" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0038006, 0.00377944, 0.00380314, 0.00366285, 0.00356962, 0.00329296, 0.00304638", \ + "0.00376986, 0.00377855, 0.00371306, 0.00375299, 0.0035451, 0.00328217, 0.00300282", \ + "0.00401826, 0.00389955, 0.00384651, 0.00368079, 0.00373085, 0.00332507, 0.00287591", \ + "0.00494103, 0.00434598, 0.00423448, 0.00408039, 0.00370706, 0.00358841, 0.00332725", \ + "0.00753653, 0.00582883, 0.00542742, 0.00500875, 0.00471921, 0.00421563, 0.00349418", \ + "0.0132123, 0.0100362, 0.00905078, 0.00792832, 0.00678855, 0.00605055, 0.00527908", \ + "0.0253949, 0.0205256, 0.0184099, 0.0160831, 0.0134207, 0.0111341, 0.00922962" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00973051, 0.00998387, 0.00995719, 0.00991048, 0.00978402, 0.00970536, 0.00959134", \ + "0.00947331, 0.00985139, 0.00980693, 0.00975369, 0.00965063, 0.00965201, 0.00956646", \ + "0.0094901, 0.0096303, 0.0096348, 0.00966218, 0.00958887, 0.00940875, 0.00962498", \ + "0.00980782, 0.0096375, 0.00972477, 0.00965623, 0.00955298, 0.00962227, 0.00958839", \ + "0.0115458, 0.0105204, 0.0102625, 0.0100695, 0.00984209, 0.00974095, 0.010006", \ + "0.0165175, 0.0137927, 0.0130096, 0.0123105, 0.011483, 0.0112696, 0.0108742", \ + "0.0275124, 0.0226391, 0.0210483, 0.0192429, 0.0174449, 0.0153072, 0.0139526" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00352466, 0.00353872, 0.0034946, 0.00342789, 0.00337168, 0.00308478, 0.00282787", \ + "0.00331881, 0.00339462, 0.00335586, 0.0034288, 0.00329192, 0.00313671, 0.00262556", \ + "0.0034933, 0.00348727, 0.0034756, 0.00332279, 0.00337238, 0.00327483, 0.00258993", \ + "0.00436294, 0.00385287, 0.00379734, 0.00364708, 0.00341207, 0.00315551, 0.00296512", \ + "0.0067347, 0.00526137, 0.00488465, 0.00457008, 0.00422674, 0.00370135, 0.00299738", \ + "0.0120888, 0.00919885, 0.00826968, 0.00721583, 0.00624191, 0.0054996, 0.00478757", \ + "0.0233659, 0.0191032, 0.0169689, 0.0149296, 0.0122761, 0.0104004, 0.00854279" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00793985, 0.00818763, 0.00819371, 0.0081155, 0.0079905, 0.00792503, 0.00781036", \ + "0.00769714, 0.00796099, 0.00795815, 0.00796509, 0.00786232, 0.00786211, 0.00782452", \ + "0.00779811, 0.00785893, 0.00786367, 0.00788163, 0.00781721, 0.0078281, 0.00776254", \ + "0.00842287, 0.00801089, 0.00804606, 0.00796733, 0.00784384, 0.00785196, 0.00781695", \ + "0.0105173, 0.00918546, 0.0088143, 0.00854584, 0.00818507, 0.00825715, 0.00790799", \ + "0.0153308, 0.0125988, 0.0117724, 0.0109566, 0.00999867, 0.00957058, 0.0087398", \ + "0.0256572, 0.0209293, 0.0193928, 0.0175876, 0.0158095, 0.0136184, 0.0122472" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00226544, 0.0024074, 0.00241201, 0.00235012, 0.00217159, 0.00198817, 0.00170764", \ + "0.00208419, 0.00234842, 0.00231499, 0.00240708, 0.00231771, 0.00199062, 0.00162155", \ + "0.00230489, 0.00241269, 0.00240317, 0.00231408, 0.00233609, 0.00207284, 0.00158443", \ + "0.00319091, 0.00274085, 0.00270042, 0.00261852, 0.00238037, 0.00216852, 0.00216078", \ + "0.0055158, 0.00402974, 0.00374817, 0.00346569, 0.00319946, 0.00267724, 0.00207923", \ + "0.0106059, 0.00782908, 0.00692423, 0.00604155, 0.00506044, 0.0042901, 0.00363276", \ + "0.0212896, 0.0169828, 0.015193, 0.013189, 0.011129, 0.00904383, 0.00737337" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00557182, 0.00602031, 0.00604107, 0.00600057, 0.00588178, 0.00581855, 0.00578755", \ + "0.00566921, 0.00581816, 0.0058619, 0.00584847, 0.00574606, 0.00554581, 0.00564737", \ + "0.00616441, 0.00587931, 0.00580313, 0.00576415, 0.00570812, 0.00575972, 0.00556836", \ + "0.00720946, 0.00633021, 0.00624091, 0.00606008, 0.00585686, 0.0058364, 0.00572833", \ + "0.00966705, 0.00803183, 0.00748677, 0.00703373, 0.00644468, 0.00643394, 0.00599972", \ + "0.0148109, 0.0119388, 0.0112954, 0.0101743, 0.00905899, 0.00864562, 0.00730985", \ + "0.0256499, 0.0208297, 0.019243, 0.0173748, 0.0153957, 0.0128555, 0.011099" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00145052, 0.0019019, 0.00187895, 0.0018792, 0.00171684, 0.00155795, 0.00124912", \ + "0.00141598, 0.00184297, 0.00184163, 0.0019576, 0.00195776, 0.00168538, 0.00128534", \ + "0.00171366, 0.00189641, 0.0019525, 0.00185899, 0.00195067, 0.00169353, 0.00122647", \ + "0.00273882, 0.00220785, 0.00221117, 0.00216684, 0.00198824, 0.0017805, 0.001879", \ + "0.00516901, 0.00354575, 0.00323636, 0.00294704, 0.00269771, 0.00237438, 0.00171302", \ + "0.0105431, 0.00727019, 0.00633762, 0.00545213, 0.00454507, 0.00383906, 0.00329864", \ + "0.0216995, 0.0165021, 0.0144909, 0.0123843, 0.0104024, 0.0083515, 0.00676365" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00325353; + rise_capacitance : 0.00318626; + rise_capacitance_range (0.00318626, 0.00318626); + fall_capacitance : 0.00332079; + fall_capacitance_range (0.00332079, 0.00332079); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000186718, -0.000332469, -0.000362578, -0.000388512, -0.000407626, -0.00042518, -0.000440309" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00105059, 0.00105267, 0.00105926, 0.0010143, 0.00105145, 0.00103141, 0.00101759" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000186718, -0.000332469, -0.000362578, -0.000388512, -0.000407626, -0.00042518, -0.000440309" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00105059, 0.00105267, 0.00105926, 0.0010143, 0.00105145, 0.00103141, 0.00101759" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00321436; + rise_capacitance : 0.00321221; + rise_capacitance_range (0.00321221, 0.00321221); + fall_capacitance : 0.00321652; + fall_capacitance_range (0.00321652, 0.00321652); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00277526; + rise_capacitance : 0.00268255; + rise_capacitance_range (0.00268255, 0.00268255); + fall_capacitance : 0.00286797; + fall_capacitance_range (0.00286797, 0.00286797); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000777132, 0.000781945, 0.000785612, 0.000788436, 0.00078937, 0.000791804, 0.000789274" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000605285, -0.000601066, -0.00060247, -0.000601912, -0.000601579, -0.000599976, -0.000599722" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000777132, 0.000781945, 0.000785612, 0.000788436, 0.00078937, 0.000791804, 0.000789274" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000605285, -0.000601066, -0.00060247, -0.000601912, -0.000601579, -0.000599976, -0.000599722" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00278282; + rise_capacitance : 0.00274321; + rise_capacitance_range (0.00274321, 0.00274321); + fall_capacitance : 0.00282243; + fall_capacitance_range (0.00282243, 0.00282243); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00100553, 0.00100428, 0.00100799, 0.00100917, 0.00101093, 0.00100947, 0.00100608" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00100553, -0.00100428, -0.00100799, -0.00100917, -0.00101093, -0.00100947, -0.00100608" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00100553, 0.00100428, 0.00100799, 0.00100917, 0.00101093, 0.00100947, 0.00100608" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00100553, -0.00100428, -0.00100799, -0.00100917, -0.00101093, -0.00100947, -0.00100608" \ + ); + } + } + } + } + cell (sg13g2_nor4_2) { + area : 21.7728; + cell_footprint : "nor4"; + cell_leakage_power : 660.412; + leakage_power () { + value : 632.302; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 722.399; + when : "!A&!B&!C&D&!Y"; + } + leakage_power () { + value : 578.047; + when : "!A&!B&C&!D&!Y"; + } + leakage_power () { + value : 783.458; + when : "!A&!B&C&D&!Y"; + } + leakage_power () { + value : 446.822; + when : "!A&B&!C&!D&!Y"; + } + leakage_power () { + value : 666.339; + when : "!A&B&!C&D&!Y"; + } + leakage_power () { + value : 650.871; + when : "!A&B&C&!D&!Y"; + } + leakage_power () { + value : 887.034; + when : "!A&B&C&D&!Y"; + } + leakage_power () { + value : 316.154; + when : "A&!B&!C&!D&!Y"; + } + leakage_power () { + value : 538.515; + when : "A&!B&!C&D&!Y"; + } + leakage_power () { + value : 534.503; + when : "A&!B&C&!D&!Y"; + } + leakage_power () { + value : 777.456; + when : "A&!B&C&D&!Y"; + } + leakage_power () { + value : 520.864; + when : "A&B&!C&!D&!Y"; + } + leakage_power () { + value : 762.94; + when : "A&B&!C&D&!Y"; + } + leakage_power () { + value : 754.916; + when : "A&B&C&!D&!Y"; + } + leakage_power () { + value : 993.972; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0723478, 0.245356, 0.361253, 0.552498, 0.872496, 1.40568, 2.29419", \ + "0.0895842, 0.264472, 0.380958, 0.573446, 0.893215, 1.4264, 2.31466", \ + "0.0981956, 0.278458, 0.39494, 0.586758, 0.907344, 1.44154, 2.32977", \ + "0.108701, 0.301658, 0.420914, 0.613673, 0.934325, 1.46792, 2.35822", \ + "0.115722, 0.333794, 0.459456, 0.659288, 0.983065, 1.51721, 2.40636", \ + "0.131246, 0.387076, 0.525742, 0.739819, 1.0766, 1.61865, 2.50823", \ + "0.162078, 0.475162, 0.636064, 0.872928, 1.23392, 1.80728, 2.71358" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.046865, 0.283835, 0.444661, 0.711324, 1.15669, 1.89964, 3.13787", \ + "0.0511463, 0.28417, 0.445577, 0.711833, 1.15706, 1.89965, 3.138", \ + "0.0566776, 0.286897, 0.445875, 0.711834, 1.15741, 1.9002, 3.13801", \ + "0.0692042, 0.298517, 0.454145, 0.715229, 1.15826, 1.90044, 3.13897", \ + "0.0984096, 0.327428, 0.4813, 0.736376, 1.16993, 1.90382, 3.14154", \ + "0.155432, 0.385148, 0.540196, 0.794364, 1.21781, 1.93551, 3.15226", \ + "0.242353, 0.495835, 0.658062, 0.912913, 1.33422, 2.04314, 3.2278" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0215544, 0.059911, 0.0838601, 0.122763, 0.187414, 0.294101, 0.472127", \ + "0.0463286, 0.101035, 0.128449, 0.169193, 0.234218, 0.341009, 0.518653", \ + "0.0604488, 0.129349, 0.162164, 0.208713, 0.278322, 0.386892, 0.564451", \ + "0.0819205, 0.174304, 0.21638, 0.27483, 0.35699, 0.476915, 0.660635", \ + "0.105709, 0.230656, 0.288912, 0.367199, 0.47322, 0.619671, 0.828591", \ + "0.134361, 0.305707, 0.384058, 0.491637, 0.637689, 0.830291, 1.09377", \ + "0.167611, 0.40028, 0.509074, 0.654503, 0.854099, 1.123, 1.47446" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0162219, 0.0636031, 0.0963034, 0.149351, 0.238783, 0.387299, 0.635219", \ + "0.0323078, 0.0792773, 0.108001, 0.156605, 0.241208, 0.38854, 0.63522", \ + "0.0448995, 0.097639, 0.127091, 0.174329, 0.254419, 0.394236, 0.636375", \ + "0.0669503, 0.130679, 0.16432, 0.213862, 0.293106, 0.425187, 0.654884", \ + "0.10342, 0.187928, 0.227132, 0.286194, 0.371092, 0.504725, 0.723327", \ + "0.163875, 0.277589, 0.331387, 0.404296, 0.508367, 0.657218, 0.88411", \ + "0.264536, 0.432203, 0.498974, 0.59415, 0.728763, 0.912804, 1.17861" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0693127, 0.242338, 0.358207, 0.549473, 0.869758, 1.40265, 2.29118", \ + "0.0868159, 0.263184, 0.379553, 0.572215, 0.891623, 1.42513, 2.31363", \ + "0.0957433, 0.280171, 0.396779, 0.588619, 0.909311, 1.44301, 2.3327", \ + "0.108227, 0.311126, 0.431391, 0.624507, 0.94523, 1.4795, 2.36912", \ + "0.122606, 0.358308, 0.487916, 0.689479, 1.01494, 1.54912, 2.43727", \ + "0.155593, 0.437853, 0.584549, 0.804804, 1.14708, 1.69261, 2.58238", \ + "0.210541, 0.562013, 0.737296, 0.990934, 1.36984, 1.95289, 2.87198" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0469055, 0.283834, 0.444898, 0.710912, 1.15708, 1.89964, 3.13787", \ + "0.0534483, 0.284134, 0.445681, 0.712296, 1.15709, 1.89965, 3.1396", \ + "0.0614211, 0.288355, 0.44641, 0.712297, 1.15741, 1.89975, 3.13961", \ + "0.0787334, 0.303736, 0.457214, 0.716535, 1.15786, 1.90028, 3.13962", \ + "0.112558, 0.340671, 0.491716, 0.742847, 1.17289, 1.90435, 3.15", \ + "0.166195, 0.413306, 0.564262, 0.814513, 1.23178, 1.94254, 3.15481", \ + "0.249111, 0.534662, 0.698249, 0.957519, 1.3768, 2.06889, 3.24617" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0220246, 0.0592907, 0.0828919, 0.12151, 0.186078, 0.292902, 0.47128", \ + "0.0449, 0.0997955, 0.127312, 0.167995, 0.232909, 0.339685, 0.517696", \ + "0.057594, 0.127502, 0.160464, 0.207174, 0.276953, 0.385643, 0.563634", \ + "0.0764453, 0.170975, 0.21363, 0.272629, 0.35531, 0.475575, 0.659795", \ + "0.0952101, 0.225218, 0.284531, 0.363782, 0.470585, 0.617846, 0.827516", \ + "0.114718, 0.295321, 0.375848, 0.485615, 0.633246, 0.827284, 1.09173", \ + "0.128081, 0.378975, 0.492601, 0.642363, 0.845206, 1.11737, 1.47138" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0149766, 0.0613156, 0.0934984, 0.147057, 0.236625, 0.385735, 0.634323", \ + "0.0299561, 0.0773036, 0.105897, 0.154493, 0.239307, 0.386777, 0.634324", \ + "0.0421386, 0.0953242, 0.12495, 0.172315, 0.252591, 0.392734, 0.635469", \ + "0.0632441, 0.128341, 0.162033, 0.211657, 0.291276, 0.423736, 0.653987", \ + "0.0983159, 0.184478, 0.224539, 0.283899, 0.369246, 0.503259, 0.722726", \ + "0.155454, 0.274572, 0.327757, 0.401777, 0.505966, 0.656281, 0.883128", \ + "0.25094, 0.427735, 0.496018, 0.59243, 0.726667, 0.912115, 1.17808" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0596036, 0.232711, 0.348625, 0.539769, 0.859778, 1.39297, 2.28091", \ + "0.0764107, 0.255395, 0.371708, 0.563315, 0.884072, 1.41737, 2.30607", \ + "0.0860054, 0.277333, 0.394199, 0.585949, 0.906567, 1.4408, 2.3291", \ + "0.102634, 0.318459, 0.440147, 0.633714, 0.954346, 1.48878, 2.37769", \ + "0.128048, 0.382234, 0.517481, 0.722236, 1.04898, 1.58319, 2.47243", \ + "0.172857, 0.483961, 0.641096, 0.872894, 1.22375, 1.77341, 2.66522", \ + "0.244936, 0.635785, 0.829675, 1.10702, 1.50816, 2.11165, 3.04439" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0469169, 0.283661, 0.444916, 0.710912, 1.15669, 1.89974, 3.13911", \ + "0.0566149, 0.284534, 0.444953, 0.710913, 1.15697, 1.89975, 3.13912", \ + "0.0670251, 0.290506, 0.447474, 0.711676, 1.15736, 1.90023, 3.13913", \ + "0.0867139, 0.311156, 0.461725, 0.718458, 1.15803, 1.90024, 3.14019", \ + "0.116756, 0.357703, 0.506288, 0.7531, 1.17795, 1.90628, 3.1402", \ + "0.165144, 0.439489, 0.595308, 0.842364, 1.25314, 1.95447, 3.1581", \ + "0.244257, 0.570608, 0.748004, 1.01312, 1.43326, 2.11795, 3.27575" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.021125, 0.0573566, 0.0806302, 0.118997, 0.183196, 0.290028, 0.468352", \ + "0.0412367, 0.0972923, 0.124946, 0.165603, 0.230415, 0.337167, 0.515168", \ + "0.0515973, 0.124236, 0.157481, 0.204431, 0.274379, 0.38303, 0.561", \ + "0.0666958, 0.165993, 0.209605, 0.269072, 0.352259, 0.472729, 0.657096", \ + "0.0791287, 0.217177, 0.278066, 0.358488, 0.466117, 0.614461, 0.824393", \ + "0.0874194, 0.282443, 0.365356, 0.477228, 0.627174, 0.822614, 1.08827", \ + "0.0874204, 0.354307, 0.473463, 0.628356, 0.834052, 1.10905, 1.46558" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012717, 0.058356, 0.0907147, 0.144121, 0.233419, 0.382602, 0.630978", \ + "0.0270613, 0.0746461, 0.103289, 0.151709, 0.236336, 0.382933, 0.631142", \ + "0.0387306, 0.0927066, 0.122354, 0.169622, 0.249858, 0.389907, 0.632507", \ + "0.0588847, 0.125192, 0.159215, 0.208876, 0.288528, 0.42091, 0.651232", \ + "0.0923491, 0.180833, 0.221731, 0.2811, 0.366975, 0.500544, 0.719726", \ + "0.147199, 0.270864, 0.324748, 0.398983, 0.503396, 0.653874, 0.880786", \ + "0.238558, 0.424442, 0.493219, 0.589533, 0.724737, 0.90899, 1.17488" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0408273, 0.215492, 0.331546, 0.522877, 0.843025, 1.37621, 2.26472", \ + "0.0610511, 0.240929, 0.357248, 0.549276, 0.869931, 1.40308, 2.29285", \ + "0.0740866, 0.268482, 0.384652, 0.575784, 0.896192, 1.43012, 2.31843", \ + "0.0957997, 0.318961, 0.441419, 0.633893, 0.952956, 1.48586, 2.37541", \ + "0.125354, 0.394083, 0.533455, 0.741773, 1.06815, 1.59972, 2.48586", \ + "0.173318, 0.504821, 0.671436, 0.914252, 1.27506, 1.82793, 2.71628", \ + "0.251574, 0.66644, 0.873039, 1.1675, 1.59506, 2.22224, 3.16668" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0457615, 0.283676, 0.445017, 0.710909, 1.15669, 1.89964, 3.13786", \ + "0.0574034, 0.284717, 0.445018, 0.711509, 1.15713, 1.89965, 3.13897", \ + "0.0663962, 0.293155, 0.448432, 0.711603, 1.15723, 1.90017, 3.13972", \ + "0.0839634, 0.320326, 0.468534, 0.721339, 1.15817, 1.90018, 3.13973", \ + "0.109353, 0.375493, 0.526691, 0.770545, 1.18828, 1.9096, 3.14018", \ + "0.155568, 0.465405, 0.630952, 0.884467, 1.29344, 1.98121, 3.16957", \ + "0.235611, 0.611988, 0.802671, 1.08419, 1.51745, 2.20341, 3.34135" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.017962, 0.0544807, 0.0776225, 0.115753, 0.179685, 0.286135, 0.4638", \ + "0.0336211, 0.0934451, 0.121569, 0.162397, 0.227076, 0.333381, 0.510737", \ + "0.0406657, 0.119572, 0.153382, 0.200734, 0.270821, 0.379233, 0.55656", \ + "0.0504188, 0.15925, 0.204321, 0.264369, 0.347984, 0.468626, 0.65261", \ + "0.0542423, 0.207282, 0.270095, 0.352145, 0.460884, 0.609532, 0.819809", \ + "0.0542433, 0.266896, 0.353197, 0.467795, 0.619477, 0.815899, 1.08232", \ + "0.0542443, 0.326832, 0.451962, 0.612238, 0.820907, 1.09872, 1.45802" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00998168, 0.0550019, 0.0869918, 0.140265, 0.229272, 0.377971, 0.625373", \ + "0.0234688, 0.0717693, 0.100162, 0.14823, 0.232395, 0.378294, 0.625546", \ + "0.03447, 0.0896058, 0.119298, 0.166489, 0.246178, 0.38528, 0.626747", \ + "0.0538065, 0.121883, 0.155855, 0.205907, 0.284784, 0.416741, 0.645882", \ + "0.0863377, 0.177373, 0.218901, 0.276927, 0.363294, 0.496643, 0.714571", \ + "0.140369, 0.267149, 0.321372, 0.396386, 0.498969, 0.649319, 0.875508", \ + "0.231744, 0.42338, 0.491853, 0.587237, 0.724701, 0.905983, 1.17005" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0223178, 0.0227765, 0.0227452, 0.02263, 0.0223184, 0.0223626, 0.0222536", \ + "0.0217898, 0.022445, 0.0224327, 0.0224536, 0.0221784, 0.0222635, 0.0221001", \ + "0.0217258, 0.0220403, 0.0220353, 0.0221015, 0.0219944, 0.0220602, 0.0219134", \ + "0.0222147, 0.022068, 0.0222908, 0.0221622, 0.0219309, 0.0220508, 0.0220339", \ + "0.0250901, 0.0234574, 0.0230203, 0.022758, 0.0222879, 0.0226233, 0.0223085", \ + "0.0351055, 0.0295083, 0.0282316, 0.0269769, 0.025464, 0.024891, 0.0235835", \ + "0.0590278, 0.0484025, 0.0450804, 0.0412577, 0.0373133, 0.0333315, 0.0306815" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0076827, 0.0076552, 0.00769065, 0.00738972, 0.00723535, 0.00669675, 0.00618682", \ + "0.00762167, 0.0076349, 0.00749961, 0.00759767, 0.00712309, 0.00702372, 0.00598265", \ + "0.00813342, 0.00789547, 0.00778388, 0.00742629, 0.00750686, 0.00692723, 0.0059331", \ + "0.010002, 0.00873671, 0.00852031, 0.00826648, 0.00749354, 0.00719063, 0.00690188", \ + "0.0149991, 0.0117439, 0.0108702, 0.0102049, 0.00944561, 0.00860225, 0.00686357", \ + "0.0266683, 0.0201141, 0.0180964, 0.0158714, 0.0137451, 0.0120915, 0.0105034", \ + "0.0510436, 0.0409286, 0.0368062, 0.0321319, 0.0268657, 0.0223641, 0.0184163" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0198289, 0.0203297, 0.0203196, 0.0202004, 0.0199071, 0.0199112, 0.0197889", \ + "0.0193391, 0.0199534, 0.0199703, 0.0200244, 0.0197327, 0.0197994, 0.0197515", \ + "0.0193398, 0.0196281, 0.0196322, 0.019706, 0.0195935, 0.0195558, 0.0196761", \ + "0.0199856, 0.0196614, 0.0198386, 0.0197218, 0.0195, 0.0195682, 0.0194844", \ + "0.0234517, 0.0213184, 0.0208253, 0.0204919, 0.0199926, 0.0203257, 0.0207569", \ + "0.033461, 0.027797, 0.0262919, 0.024877, 0.0232086, 0.0224365, 0.0211008", \ + "0.0555504, 0.0453674, 0.0420315, 0.038513, 0.0348285, 0.0305718, 0.0283451" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0067407, 0.00676533, 0.00667336, 0.00657645, 0.00636168, 0.00586743, 0.0053439", \ + "0.00630269, 0.00652073, 0.00640653, 0.00653211, 0.0063769, 0.00591826, 0.0050594", \ + "0.00667239, 0.00664562, 0.00655055, 0.00630653, 0.00636147, 0.00616916, 0.0048795", \ + "0.00844807, 0.00743688, 0.00718851, 0.00697739, 0.00634477, 0.00610684, 0.00525784", \ + "0.0133268, 0.0101706, 0.00940811, 0.00882664, 0.00806961, 0.00732086, 0.00558821", \ + "0.0240184, 0.0180176, 0.0161137, 0.0141403, 0.0120996, 0.0105543, 0.00921146", \ + "0.0465146, 0.0374792, 0.033533, 0.0295461, 0.024302, 0.0203371, 0.0166858" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0156524, 0.0162243, 0.0162228, 0.0160916, 0.0157775, 0.0157502, 0.0157358", \ + "0.0152336, 0.0158867, 0.0157913, 0.0157578, 0.0156053, 0.0156713, 0.0155605", \ + "0.0154383, 0.0155509, 0.0155891, 0.0156042, 0.0154915, 0.0155556, 0.0154439", \ + "0.0166708, 0.0158516, 0.0159192, 0.0156879, 0.0153945, 0.0154976, 0.0155741", \ + "0.0209529, 0.0181356, 0.0174339, 0.0168989, 0.0161469, 0.0164952, 0.0157413", \ + "0.0306914, 0.0249646, 0.0232982, 0.0215982, 0.0197564, 0.0188301, 0.0173065", \ + "0.051453, 0.041555, 0.0384934, 0.0350058, 0.0311543, 0.0268432, 0.0243657" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00420667, 0.00452301, 0.00452281, 0.00439508, 0.00406591, 0.00367494, 0.0030364", \ + "0.00386905, 0.00438148, 0.00439264, 0.00452387, 0.00434626, 0.00365127, 0.00309124", \ + "0.0043532, 0.0045414, 0.0045395, 0.00426746, 0.00444856, 0.00422736, 0.00295413", \ + "0.00617316, 0.00522374, 0.00511205, 0.00498165, 0.0044451, 0.00408781, 0.00393026", \ + "0.0108674, 0.00778355, 0.00721356, 0.00663024, 0.00601939, 0.00504146, 0.00392446", \ + "0.0210936, 0.0153386, 0.013537, 0.0117086, 0.00982623, 0.00859166, 0.00722455", \ + "0.0424752, 0.0335763, 0.0299787, 0.0259721, 0.0217841, 0.0177149, 0.0142579" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0110726, 0.0120646, 0.0121049, 0.0120228, 0.0117502, 0.011775, 0.0114802", \ + "0.0113958, 0.0116597, 0.0116163, 0.0116878, 0.0115153, 0.0115866, 0.01149", \ + "0.0124253, 0.0117298, 0.0116128, 0.0115965, 0.0114244, 0.0115183, 0.0113814", \ + "0.0145727, 0.01269, 0.0125138, 0.0120816, 0.011576, 0.0115396, 0.0113414", \ + "0.0195612, 0.0160462, 0.0148868, 0.0140709, 0.0129027, 0.0125184, 0.0119345", \ + "0.0299498, 0.0238442, 0.0224675, 0.0204425, 0.0181167, 0.0170805, 0.0145166", \ + "0.0516657, 0.0415898, 0.0383732, 0.0347253, 0.0304791, 0.0256096, 0.0223611" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00223277, 0.00327484, 0.00326158, 0.00316775, 0.00293288, 0.00259993, 0.00198135", \ + "0.00229604, 0.00316722, 0.00320366, 0.00343259, 0.00310829, 0.00267243, 0.00216353", \ + "0.00296322, 0.00329313, 0.00340226, 0.00321844, 0.00338533, 0.00276799, 0.00191838", \ + "0.00505268, 0.00392778, 0.00392793, 0.00385756, 0.0032212, 0.00308853, 0.002658", \ + "0.0100611, 0.00662446, 0.00601398, 0.005322, 0.00491008, 0.00401053, 0.00284362", \ + "0.0209429, 0.0140063, 0.0121539, 0.0104171, 0.00854261, 0.0071277, 0.00598434", \ + "0.0432299, 0.0324655, 0.0283896, 0.0241774, 0.0201721, 0.01599, 0.0130382" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00626664; + rise_capacitance : 0.00613307; + rise_capacitance_range (0.00613307, 0.00613307); + fall_capacitance : 0.00640022; + fall_capacitance_range (0.00640022, 0.00640022); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00055946, -0.000853136, -0.00091225, -0.000962419, -0.00100151, -0.00103774, -0.00106832" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00232636, 0.00230435, 0.00236033, 0.00225797, 0.00230704, 0.00233519, 0.00227999" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00055946, -0.000853136, -0.00091225, -0.000962419, -0.00100151, -0.00103774, -0.00106832" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00232636, 0.00230435, 0.00236033, 0.00225797, 0.00230704, 0.00233519, 0.00227999" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00611751; + rise_capacitance : 0.00611452; + rise_capacitance_range (0.00611452, 0.00611452); + fall_capacitance : 0.0061205; + fall_capacitance_range (0.0061205, 0.0061205); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00529362; + rise_capacitance : 0.00510894; + rise_capacitance_range (0.00510894, 0.00510894); + fall_capacitance : 0.00547831; + fall_capacitance_range (0.00547831, 0.00547831); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00128945, 0.00129303, 0.00130474, 0.00130732, 0.00131516, 0.00131737, 0.00131224" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000700827, -0.000695235, -0.000689857, -0.000691563, -0.000688335, -0.000685705, -0.000687727" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00128945, 0.00129303, 0.00130474, 0.00130732, 0.00131516, 0.00131737, 0.00131224" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000700827, -0.000695235, -0.000689857, -0.000691563, -0.000688335, -0.000685705, -0.000687727" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0053609; + rise_capacitance : 0.00528759; + rise_capacitance_range (0.00528759, 0.00528759); + fall_capacitance : 0.0054342; + fall_capacitance_range (0.0054342, 0.0054342); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00176777, 0.00176809, 0.00177335, 0.0017741, 0.00177647, 0.00177689, 0.00177157" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00176777, -0.00175944, -0.0017675, -0.00177274, -0.00175633, -0.00174845, -0.00174677" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00176777, 0.00176809, 0.00177335, 0.0017741, 0.00177647, 0.00177689, 0.00177157" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00176777, -0.00175944, -0.0017675, -0.00177274, -0.00175633, -0.00174845, -0.00174677" \ + ); + } + } + } + } + cell (sg13g2_o21ai_1) { + area : 9.072; + cell_footprint : "o21ai"; + cell_leakage_power : 372.611; + leakage_power () { + value : 230.627; + when : "!A1*!A2*!B1*Y"; + } + leakage_power () { + value : 170.728; + when : "!A1*!A2*B1*Y"; + } + leakage_power () { + value : 342.658; + when : "!A1*A2*!B1*Y"; + } + leakage_power () { + value : 473.371; + when : "!A1*A2*B1*!Y"; + } + leakage_power () { + value : 342.671; + when : "A1*!A2*!B1*Y"; + } + leakage_power () { + value : 398.738; + when : "A1*!A2*B1*!Y"; + } + leakage_power () { + value : 450.024; + when : "A1*A2*!B1*Y"; + } + leakage_power () { + value : 572.071; + when : "A1*A2*B1*!Y"; + } + pin (Y) { + direction : "output"; + function : "!((A1+A2)*B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0464509, 0.148043, 0.217611, 0.331941, 0.523516, 0.842743, 1.37446", \ + "0.066942, 0.175352, 0.245047, 0.359778, 0.551857, 0.870807, 1.40278", \ + "0.0787746, 0.198361, 0.270106, 0.385398, 0.577188, 0.896529, 1.42897", \ + "0.0979566, 0.237711, 0.315793, 0.436216, 0.629992, 0.949404, 1.48191", \ + "0.123174, 0.29521, 0.385279, 0.520184, 0.72649, 1.0528, 1.58576", \ + "0.164847, 0.382188, 0.493319, 0.652771, 0.886065, 1.23957, 1.79057", \ + "0.228873, 0.509378, 0.651368, 0.850528, 1.13414, 1.54041, 2.14928" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0323659, 0.17285, 0.270578, 0.431866, 0.701345, 1.15163, 1.89993", \ + "0.0414149, 0.175541, 0.271379, 0.432888, 0.702183, 1.15164, 1.90122", \ + "0.0513248, 0.184383, 0.277151, 0.434421, 0.702184, 1.15165, 1.90123", \ + "0.0706667, 0.206742, 0.29757, 0.448485, 0.708706, 1.15237, 1.90346", \ + "0.0983104, 0.253399, 0.34482, 0.49356, 0.744267, 1.17276, 1.90676", \ + "0.142245, 0.330224, 0.431599, 0.586263, 0.834906, 1.25049, 1.95721", \ + "0.212578, 0.450654, 0.574624, 0.750837, 1.0158, 1.43631, 2.12568" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0338634, 0.0990683, 0.143315, 0.216304, 0.33823, 0.541365, 0.880304", \ + "0.0520832, 0.127671, 0.17309, 0.246401, 0.368514, 0.571754, 0.910241", \ + "0.0621345, 0.149564, 0.198365, 0.27427, 0.397281, 0.600727, 0.93924", \ + "0.0765906, 0.186216, 0.242376, 0.325848, 0.455229, 0.661602, 1.00082", \ + "0.089225, 0.233043, 0.303151, 0.402305, 0.547958, 0.768669, 1.11648", \ + "0.10291, 0.295484, 0.385215, 0.511078, 0.688594, 0.942553, 1.32173", \ + "0.111387, 0.371904, 0.493155, 0.655268, 0.881911, 1.20013, 1.64461" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0199286, 0.106795, 0.167064, 0.267011, 0.434329, 0.713074, 1.17767", \ + "0.0291842, 0.112567, 0.170518, 0.268056, 0.434991, 0.713075, 1.17768", \ + "0.0389461, 0.123451, 0.179883, 0.274731, 0.437397, 0.715302, 1.17769", \ + "0.058115, 0.147154, 0.203935, 0.296469, 0.453406, 0.722208, 1.17953", \ + "0.091136, 0.192216, 0.25238, 0.346934, 0.50017, 0.759529, 1.20204", \ + "0.143038, 0.270109, 0.337961, 0.440457, 0.599713, 0.858007, 1.28539", \ + "0.225338, 0.404215, 0.483231, 0.600946, 0.779534, 1.05428, 1.48426" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0406223, 0.143015, 0.212519, 0.327119, 0.51868, 0.837853, 1.36964", \ + "0.0643919, 0.177456, 0.247175, 0.361865, 0.553827, 0.872853, 1.40467", \ + "0.0794602, 0.208854, 0.28145, 0.396612, 0.588087, 0.907638, 1.43909", \ + "0.104073, 0.260442, 0.342711, 0.466114, 0.66002, 0.97878, 1.51076", \ + "0.136432, 0.333773, 0.434226, 0.578163, 0.792187, 1.12066, 1.65299", \ + "0.188391, 0.439895, 0.567027, 0.744809, 1.00132, 1.372, 1.93204", \ + "0.271983, 0.594552, 0.758287, 0.986222, 1.30598, 1.76164, 2.40998" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0325292, 0.172884, 0.270577, 0.431882, 0.701628, 1.15154, 1.89997", \ + "0.0468238, 0.177311, 0.271932, 0.43205, 0.701638, 1.15155, 1.90028", \ + "0.058255, 0.191579, 0.281244, 0.435733, 0.702124, 1.15178, 1.90029", \ + "0.0770341, 0.224162, 0.312743, 0.458511, 0.713138, 1.15291, 1.90267", \ + "0.102926, 0.284044, 0.378483, 0.524911, 0.767983, 1.18554, 1.91149", \ + "0.145961, 0.375309, 0.487181, 0.650363, 0.899635, 1.30399, 1.98943", \ + "0.217383, 0.514617, 0.656993, 0.852787, 1.14056, 1.56726, 2.2437" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0284599, 0.09367, 0.137611, 0.209981, 0.331088, 0.53292, 0.869724", \ + "0.043025, 0.121766, 0.167247, 0.240223, 0.361626, 0.563615, 0.900145", \ + "0.0498708, 0.142799, 0.192076, 0.268018, 0.390447, 0.592724, 0.929339", \ + "0.0586769, 0.177748, 0.234949, 0.318989, 0.448143, 0.653711, 0.991068", \ + "0.0613955, 0.22112, 0.293214, 0.393894, 0.54016, 0.760788, 1.10685", \ + "0.0613965, 0.276977, 0.3706, 0.499182, 0.678812, 0.93387, 1.31252", \ + "0.0613975, 0.336646, 0.466191, 0.635673, 0.866938, 1.1878, 1.6346" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0137757, 0.0993382, 0.159432, 0.258545, 0.424694, 0.701611, 1.16297", \ + "0.0233765, 0.105639, 0.162974, 0.25973, 0.428342, 0.701612, 1.16298", \ + "0.0329573, 0.116745, 0.172793, 0.266537, 0.428343, 0.706678, 1.16299", \ + "0.0518289, 0.140752, 0.197049, 0.288796, 0.444269, 0.710609, 1.16534", \ + "0.0855158, 0.185761, 0.244978, 0.33908, 0.491935, 0.748902, 1.18829", \ + "0.137767, 0.265365, 0.331492, 0.432085, 0.591834, 0.848086, 1.27232", \ + "0.220367, 0.403338, 0.48197, 0.597241, 0.771506, 1.04584, 1.47453" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0202551, 0.07213, 0.106603, 0.163639, 0.258355, 0.416465, 0.679541", \ + "0.0370164, 0.111168, 0.148357, 0.20601, 0.30104, 0.459285, 0.722733", \ + "0.0466827, 0.139276, 0.182605, 0.245402, 0.342353, 0.500711, 0.763769", \ + "0.0600292, 0.182484, 0.237362, 0.312962, 0.422081, 0.586024, 0.849607", \ + "0.0773593, 0.238841, 0.31277, 0.410636, 0.545669, 0.735591, 1.01607", \ + "0.10166, 0.315513, 0.415204, 0.548205, 0.724851, 0.965528, 1.29896", \ + "0.137117, 0.417238, 0.550576, 0.731301, 0.973325, 1.29246, 1.72443" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0189959, 0.0895431, 0.13907, 0.221133, 0.358116, 0.586874, 0.968338", \ + "0.0398636, 0.10221, 0.146582, 0.223921, 0.360387, 0.586875, 0.968576", \ + "0.0539188, 0.121981, 0.164734, 0.237361, 0.365474, 0.588859, 0.968577", \ + "0.0781992, 0.157044, 0.202735, 0.2749, 0.395033, 0.605144, 0.972615", \ + "0.111066, 0.217204, 0.272179, 0.35101, 0.472886, 0.673425, 1.01813", \ + "0.166215, 0.307699, 0.379832, 0.477074, 0.617029, 0.825449, 1.15987", \ + "0.25724, 0.446625, 0.544297, 0.676684, 0.85243, 1.10157, 1.46" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0294268, 0.0956909, 0.139991, 0.213107, 0.33508, 0.538304, 0.877177", \ + "0.0493944, 0.131491, 0.177518, 0.250868, 0.373064, 0.576439, 0.91527", \ + "0.0606508, 0.158745, 0.210078, 0.287377, 0.410521, 0.613777, 0.952466", \ + "0.0785638, 0.202103, 0.263921, 0.352661, 0.485552, 0.693003, 1.03184", \ + "0.0984155, 0.257257, 0.336512, 0.446162, 0.603217, 0.832749, 1.18401", \ + "0.123355, 0.331457, 0.43264, 0.574891, 0.772653, 1.05127, 1.45003", \ + "0.15206, 0.430329, 0.562168, 0.743456, 1.0011, 1.35603, 1.84869" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0203342, 0.10667, 0.167162, 0.267, 0.434328, 0.713135, 1.17766", \ + "0.0339196, 0.116517, 0.172668, 0.268738, 0.434339, 0.713136, 1.17767", \ + "0.0447512, 0.133581, 0.188052, 0.279629, 0.439138, 0.71402, 1.17768", \ + "0.0633209, 0.166132, 0.223379, 0.313314, 0.465244, 0.727961, 1.18093", \ + "0.0936327, 0.220472, 0.285756, 0.383461, 0.535508, 0.786759, 1.21717", \ + "0.143504, 0.305488, 0.385969, 0.500835, 0.672502, 0.929594, 1.34661", \ + "0.226509, 0.444161, 0.543454, 0.685589, 0.890866, 1.19108, 1.63106" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0195752, 0.0713796, 0.105668, 0.16227, 0.256869, 0.414714, 0.676988", \ + "0.0354544, 0.110176, 0.147339, 0.204769, 0.299532, 0.457098, 0.720614", \ + "0.0442802, 0.138029, 0.181347, 0.244043, 0.340811, 0.498484, 0.761161", \ + "0.0564793, 0.180697, 0.23577, 0.311326, 0.420296, 0.583915, 0.847005", \ + "0.0714602, 0.235832, 0.310238, 0.408189, 0.543357, 0.733036, 1.01323", \ + "0.0909006, 0.310355, 0.410852, 0.544427, 0.721358, 0.96269, 1.29567", \ + "0.115063, 0.408349, 0.542697, 0.724196, 0.967687, 1.28782, 1.72055" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124329, 0.0817218, 0.131251, 0.213097, 0.350489, 0.579278, 0.960794", \ + "0.0270404, 0.0941661, 0.138698, 0.216158, 0.351578, 0.579279, 0.961444", \ + "0.0370356, 0.113202, 0.156774, 0.229494, 0.357772, 0.580767, 0.961445", \ + "0.0551511, 0.146718, 0.193951, 0.266798, 0.387313, 0.597499, 0.965329", \ + "0.0798992, 0.205068, 0.261635, 0.341996, 0.465119, 0.66565, 1.01066", \ + "0.1224, 0.290602, 0.367327, 0.465759, 0.60689, 0.817242, 1.15203", \ + "0.195497, 0.421673, 0.525055, 0.663624, 0.842182, 1.09148, 1.45166" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0225602, 0.0882317, 0.132129, 0.204536, 0.325747, 0.52781, 0.864131", \ + "0.0368148, 0.123453, 0.169473, 0.242462, 0.363841, 0.56566, 0.902126", \ + "0.0444802, 0.149327, 0.20124, 0.278612, 0.401189, 0.603016, 0.939495", \ + "0.0570757, 0.190503, 0.25334, 0.342795, 0.475692, 0.682227, 1.01909", \ + "0.0695646, 0.242155, 0.323187, 0.434199, 0.591901, 0.821157, 1.17101", \ + "0.0843372, 0.312099, 0.41555, 0.559492, 0.75849, 1.03738, 1.43565", \ + "0.0990687, 0.403344, 0.539546, 0.72348, 0.981943, 1.33889, 1.83082" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0148779, 0.0993814, 0.159285, 0.258479, 0.424678, 0.701535, 1.16317", \ + "0.0280224, 0.110361, 0.16562, 0.260663, 0.425362, 0.701536, 1.16318", \ + "0.0382641, 0.127622, 0.181698, 0.272047, 0.429993, 0.702304, 1.16319", \ + "0.0556398, 0.159923, 0.216848, 0.306315, 0.456752, 0.716752, 1.16695", \ + "0.0838867, 0.213193, 0.278783, 0.376359, 0.527163, 0.776277, 1.20348", \ + "0.130402, 0.296528, 0.379041, 0.492953, 0.663771, 0.919422, 1.33348", \ + "0.210342, 0.436501, 0.534349, 0.679191, 0.885723, 1.17895, 1.61709" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0202551, 0.07213, 0.106603, 0.163639, 0.258355, 0.416465, 0.679541", \ + "0.0370164, 0.111168, 0.148357, 0.20601, 0.30104, 0.459285, 0.722733", \ + "0.0466827, 0.139276, 0.182605, 0.245402, 0.342353, 0.500711, 0.763769", \ + "0.0600292, 0.182484, 0.237362, 0.312962, 0.422081, 0.586024, 0.849607", \ + "0.0773593, 0.238841, 0.31277, 0.410636, 0.545669, 0.735591, 1.01607", \ + "0.10166, 0.315513, 0.415204, 0.548205, 0.724851, 0.965528, 1.29896", \ + "0.137117, 0.417238, 0.550576, 0.731301, 0.973325, 1.29246, 1.72443" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0189959, 0.0895431, 0.13907, 0.221133, 0.358116, 0.586874, 0.968338", \ + "0.0398636, 0.10221, 0.146582, 0.223921, 0.360387, 0.586875, 0.968576", \ + "0.0539188, 0.121981, 0.164734, 0.237361, 0.365474, 0.588859, 0.968577", \ + "0.0781992, 0.157044, 0.202735, 0.2749, 0.395033, 0.605144, 0.972615", \ + "0.111066, 0.217204, 0.272179, 0.35101, 0.472886, 0.673425, 1.01813", \ + "0.166215, 0.307699, 0.379832, 0.477074, 0.617029, 0.825449, 1.15987", \ + "0.25724, 0.446625, 0.544297, 0.676684, 0.85243, 1.10157, 1.46" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0294268, 0.0956909, 0.139991, 0.213107, 0.33508, 0.538304, 0.877177", \ + "0.0493944, 0.131491, 0.177518, 0.250868, 0.373064, 0.576439, 0.91527", \ + "0.0606508, 0.158745, 0.210078, 0.287377, 0.410521, 0.613777, 0.952466", \ + "0.0785638, 0.202103, 0.263921, 0.352661, 0.485552, 0.693003, 1.03184", \ + "0.0984155, 0.257257, 0.336512, 0.446162, 0.603217, 0.832749, 1.18401", \ + "0.123355, 0.331457, 0.43264, 0.574891, 0.772653, 1.05127, 1.45003", \ + "0.15206, 0.430329, 0.562168, 0.743456, 1.0011, 1.35603, 1.84869" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0203342, 0.10667, 0.167162, 0.267, 0.434328, 0.713135, 1.17766", \ + "0.0339196, 0.116517, 0.172668, 0.268738, 0.434339, 0.713136, 1.17767", \ + "0.0447512, 0.133581, 0.188052, 0.279629, 0.439138, 0.71402, 1.17768", \ + "0.0633209, 0.166132, 0.223379, 0.313314, 0.465244, 0.727961, 1.18093", \ + "0.0936327, 0.220472, 0.285756, 0.383461, 0.535508, 0.786759, 1.21717", \ + "0.143504, 0.305488, 0.385969, 0.500835, 0.672502, 0.929594, 1.34661", \ + "0.226509, 0.444161, 0.543454, 0.685589, 0.890866, 1.19108, 1.63106" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00605579, 0.00613666, 0.00611567, 0.00603431, 0.00587502, 0.00565755, 0.00527926", \ + "0.00594169, 0.00607179, 0.00614072, 0.00612466, 0.00598778, 0.0057251, 0.00541266", \ + "0.00610205, 0.00610891, 0.006118, 0.0061027, 0.00595747, 0.00572336, 0.00536552", \ + "0.00689702, 0.00644475, 0.00629891, 0.00629293, 0.00611507, 0.00581903, 0.00574647", \ + "0.00940404, 0.00793506, 0.00752224, 0.00710442, 0.00676087, 0.00629505, 0.00642594", \ + "0.0150904, 0.0122179, 0.0112178, 0.0102135, 0.00911686, 0.00803499, 0.00752282", \ + "0.0270354, 0.0226456, 0.0207511, 0.0185925, 0.0162766, 0.0138402, 0.0114509" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00606602, 0.00614632, 0.00608684, 0.00601414, 0.00587598, 0.00565609, 0.00528706", \ + "0.00562022, 0.00582925, 0.00595847, 0.00579103, 0.00573139, 0.00543123, 0.00505236", \ + "0.00572178, 0.00581719, 0.00579897, 0.00583117, 0.00566857, 0.00560267, 0.00498329", \ + "0.00647542, 0.00612082, 0.00607298, 0.00593142, 0.0058784, 0.00550548, 0.00537811", \ + "0.00884141, 0.00741955, 0.00712654, 0.00685375, 0.00646404, 0.00601508, 0.0061533", \ + "0.0145592, 0.0114756, 0.0104794, 0.00958862, 0.00864061, 0.00786594, 0.00642856", \ + "0.0265709, 0.02173, 0.0197142, 0.0174707, 0.0152176, 0.0131742, 0.0110262" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00299439, 0.00324284, 0.00321913, 0.00316862, 0.00302389, 0.00281628, 0.00237157", \ + "0.0031014, 0.00314756, 0.00323392, 0.00317237, 0.00307956, 0.00281211, 0.00246896", \ + "0.00359192, 0.0033078, 0.00334026, 0.0032107, 0.00309489, 0.00290738, 0.0024762", \ + "0.00477818, 0.003881, 0.00365828, 0.00358487, 0.00340964, 0.00296036, 0.0027482", \ + "0.00752296, 0.0057376, 0.00519727, 0.00458157, 0.00415153, 0.00344838, 0.00334988", \ + "0.0131915, 0.0102546, 0.00941219, 0.00823541, 0.00690292, 0.00572188, 0.00527503", \ + "0.0249531, 0.0205995, 0.0187432, 0.0164814, 0.0141433, 0.0116412, 0.00888985" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00567784, 0.00628124, 0.00629051, 0.0062102, 0.0061132, 0.00588457, 0.00551674", \ + "0.00517707, 0.005841, 0.00603167, 0.0059878, 0.00629848, 0.00569773, 0.00535918", \ + "0.00534442, 0.00575323, 0.00584841, 0.00605574, 0.00584285, 0.00619385, 0.00528597", \ + "0.00619908, 0.00595457, 0.00599565, 0.00589021, 0.00599866, 0.00567294, 0.00602092", \ + "0.0086951, 0.00711178, 0.00684456, 0.00670995, 0.00639697, 0.00614529, 0.00594616", \ + "0.0144035, 0.0110078, 0.00999917, 0.00918164, 0.00843551, 0.0077795, 0.00649938", \ + "0.0262831, 0.0208136, 0.0188055, 0.0166263, 0.0144242, 0.0127444, 0.0109084" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0038116, 0.00450523, 0.00453436, 0.0045304, 0.00436823, 0.00415468, 0.00370452", \ + "0.00403596, 0.00421909, 0.00442653, 0.00437357, 0.00449274, 0.00408917, 0.00376783", \ + "0.004673, 0.00438455, 0.00435745, 0.00448637, 0.00421429, 0.00411668, 0.00364397", \ + "0.00615548, 0.0049478, 0.00478802, 0.00455688, 0.00449873, 0.00416888, 0.00393141", \ + "0.0093034, 0.0069984, 0.0064375, 0.00591918, 0.00531332, 0.00474653, 0.00443394", \ + "0.015935, 0.0120911, 0.0108762, 0.00964286, 0.00851754, 0.00711189, 0.00570228", \ + "0.0293385, 0.0237268, 0.0215393, 0.0190618, 0.0163816, 0.013921, 0.0113665" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00342969, 0.00381406, 0.00381342, 0.00375426, 0.00364743, 0.00342989, 0.00307886", \ + "0.00333194, 0.00354547, 0.0036834, 0.00357696, 0.00351543, 0.00334162, 0.00294076", \ + "0.00374596, 0.00360744, 0.00358965, 0.00369772, 0.00354795, 0.0033188, 0.00291055", \ + "0.00501749, 0.00416996, 0.00401883, 0.00383521, 0.00380434, 0.00348771, 0.00296848", \ + "0.00797874, 0.00609926, 0.00551528, 0.00505439, 0.00453699, 0.0040755, 0.003466", \ + "0.0147071, 0.0108704, 0.00967086, 0.0084724, 0.00743067, 0.00619582, 0.0047445", \ + "0.0279789, 0.0224379, 0.0200361, 0.0177662, 0.0152763, 0.0126872, 0.0102725" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00086506, 0.00160068, 0.00163232, 0.00157041, 0.00148323, 0.00131586, 0.00077733", \ + "0.00110243, 0.00128532, 0.00146305, 0.00140391, 0.00143224, 0.0011696, 0.00086717", \ + "0.00175647, 0.00148108, 0.001434, 0.00154766, 0.00139479, 0.00107706, 0.0007449", \ + "0.00328942, 0.00203284, 0.00187302, 0.00165724, 0.0015612, 0.00132846, 0.00146554", \ + "0.0065028, 0.00416571, 0.00355894, 0.00302107, 0.00245609, 0.00183106, 0.00217866", \ + "0.0131054, 0.00928356, 0.0080766, 0.00676671, 0.00562127, 0.0042879, 0.00263727", \ + "0.0267584, 0.0209365, 0.018721, 0.0163079, 0.013708, 0.0110714, 0.00846872" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00283068, 0.00342759, 0.00343075, 0.00338745, 0.00327568, 0.0030928, 0.00271226", \ + "0.00295279, 0.00313484, 0.00324904, 0.00323092, 0.00322432, 0.00296572, 0.00260606", \ + "0.00350362, 0.00321651, 0.00321544, 0.0033075, 0.00313267, 0.00293297, 0.00257661", \ + "0.00496077, 0.0038356, 0.00364946, 0.00346612, 0.00341311, 0.00305276, 0.00261097", \ + "0.00817358, 0.00585421, 0.00522435, 0.00473804, 0.00417973, 0.0037059, 0.00358045", \ + "0.0149167, 0.0107577, 0.00953916, 0.00824854, 0.0070667, 0.00587506, 0.00442017", \ + "0.0287075, 0.0226111, 0.0200216, 0.0177312, 0.0152019, 0.0123394, 0.00987043" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00086506, 0.00160068, 0.00163232, 0.00157041, 0.00148323, 0.00131586, 0.00077733", \ + "0.00110243, 0.00128532, 0.00146305, 0.00140391, 0.00143224, 0.0011696, 0.00086717", \ + "0.00175647, 0.00148108, 0.001434, 0.00154766, 0.00139479, 0.00107706, 0.0007449", \ + "0.00328942, 0.00203284, 0.00187302, 0.00165724, 0.0015612, 0.00132846, 0.00146554", \ + "0.0065028, 0.00416571, 0.00355894, 0.00302107, 0.00245609, 0.00183106, 0.00217866", \ + "0.0131054, 0.00928356, 0.0080766, 0.00676671, 0.00562127, 0.0042879, 0.00263727", \ + "0.0267584, 0.0209365, 0.018721, 0.0163079, 0.013708, 0.0110714, 0.00846872" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00283068, 0.00342759, 0.00343075, 0.00338745, 0.00327568, 0.0030928, 0.00271226", \ + "0.00295279, 0.00313484, 0.00324904, 0.00323092, 0.00322432, 0.00296572, 0.00260606", \ + "0.00350362, 0.00321651, 0.00321544, 0.0033075, 0.00313267, 0.00293297, 0.00257661", \ + "0.00496077, 0.0038356, 0.00364946, 0.00346612, 0.00341311, 0.00305276, 0.00261097", \ + "0.00817358, 0.00585421, 0.00522435, 0.00473804, 0.00417973, 0.0037059, 0.00358045", \ + "0.0149167, 0.0107577, 0.00953916, 0.00824854, 0.0070667, 0.00587506, 0.00442017", \ + "0.0287075, 0.0226111, 0.0200216, 0.0177312, 0.0152019, 0.0123394, 0.00987043" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00357877; + rise_capacitance : 0.00364638; + rise_capacitance_range (0.00364638, 0.00364638); + fall_capacitance : 0.00351116; + fall_capacitance_range (0.00351116, 0.00351116); + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00024963, -0.000129218, -0.000101318, -8.72877e-05, -6.3552e-05, -5.03328e-05, -4.27905e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00024963, 0.000129218, 0.000101318, 8.72877e-05, 6.3552e-05, 5.03328e-05, 4.27905e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00024963, -0.000129218, -0.000101318, -8.72877e-05, -6.3552e-05, -5.03328e-05, -4.27905e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00024963, 0.000129218, 0.000101318, 8.72877e-05, 6.3552e-05, 5.03328e-05, 4.27905e-05" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00359576; + rise_capacitance : 0.00370906; + rise_capacitance_range (0.00370906, 0.00370906); + fall_capacitance : 0.00348245; + fall_capacitance_range (0.00348245, 0.00348245); + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000175911, -5.2776e-05, -2.87077e-05, -1.14483e-05, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000175911, 5.2776e-05, 2.87077e-05, 1.14483e-05, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000175911, -5.2776e-05, -2.87077e-05, -1.14483e-05, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000175911, 5.2776e-05, 2.87077e-05, 1.14483e-05, 0, 0, 0" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00325681; + rise_capacitance : 0.00340535; + rise_capacitance_range (0.00340535, 0.00340535); + fall_capacitance : 0.00310827; + fall_capacitance_range (0.00310827, 0.00310827); + internal_power () { + when : "(!A1 * !A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-4.43078e-05, -3.36343e-05, -2.73037e-05, -2.52047e-05, -2.64783e-05, -2.90102e-05, -3.17376e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00116278, 0.00115803, 0.00115555, 0.00116095, 0.0011667, 0.00116694, 0.00117183" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-4.43078e-05, -3.36343e-05, -2.73037e-05, -2.52047e-05, -2.64783e-05, -2.90102e-05, -3.17376e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00116278, 0.00115803, 0.00115555, 0.00116095, 0.0011667, 0.00116694, 0.00117183" \ + ); + } + } + } + } + cell (sg13g2_or2_1) { + area : 9.072; + cell_footprint : "or2"; + cell_leakage_power : 238.259; + leakage_power () { + value : 274.425; + when : "!A&!B"; + } + leakage_power () { + value : 187.544; + when : "A&!B"; + } + leakage_power () { + value : 239.868; + when : "!A&B"; + } + leakage_power () { + value : 251.197; + when : "A&B"; + } + pin (X) { + direction : "output"; + function : "(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0405266, 0.083151, 0.110811, 0.15629, 0.232313, 0.358914, 0.569829", \ + "0.0682855, 0.11194, 0.139704, 0.185227, 0.26133, 0.388049, 0.599628", \ + "0.0849353, 0.129811, 0.157461, 0.20296, 0.278916, 0.405508, 0.616343", \ + "0.111078, 0.158601, 0.186065, 0.231401, 0.307336, 0.433879, 0.64459", \ + "0.142563, 0.195766, 0.223269, 0.268136, 0.343922, 0.470249, 0.680987", \ + "0.184047, 0.247342, 0.275596, 0.320927, 0.396578, 0.52339, 0.734298", \ + "0.238936, 0.316737, 0.349455, 0.396417, 0.473449, 0.601917, 0.813296" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107559, 0.0665171, 0.106611, 0.17316, 0.284492, 0.470101, 0.779566", \ + "0.0139951, 0.0671151, 0.106978, 0.173271, 0.284594, 0.470184, 0.780423", \ + "0.0166428, 0.0678657, 0.107315, 0.173551, 0.284781, 0.470196, 0.780424", \ + "0.0210878, 0.0695898, 0.108217, 0.17404, 0.28523, 0.470485, 0.780425", \ + "0.0281394, 0.073692, 0.110727, 0.17532, 0.28596, 0.471193, 0.780426", \ + "0.038756, 0.084012, 0.117784, 0.179793, 0.288866, 0.473073, 0.781465", \ + "0.055172, 0.103911, 0.134499, 0.191802, 0.297596, 0.480614, 0.787076" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0616533, 0.104849, 0.128789, 0.167402, 0.231408, 0.337739, 0.514987", \ + "0.0831817, 0.127715, 0.151908, 0.190539, 0.25461, 0.361015, 0.538085", \ + "0.0961746, 0.142524, 0.16686, 0.205681, 0.269888, 0.376342, 0.553391", \ + "0.116581, 0.165687, 0.190285, 0.229253, 0.293488, 0.400082, 0.57731", \ + "0.14034, 0.19533, 0.221059, 0.260239, 0.324367, 0.431077, 0.60823", \ + "0.175088, 0.238234, 0.266016, 0.307797, 0.372723, 0.480319, 0.657148", \ + "0.218571, 0.294037, 0.32625, 0.370798, 0.439896, 0.550783, 0.732526" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014579, 0.058566, 0.0894236, 0.141582, 0.230016, 0.377938, 0.624528", \ + "0.0162786, 0.059655, 0.0901966, 0.141955, 0.230044, 0.378131, 0.624781", \ + "0.0184653, 0.0613125, 0.0913418, 0.142784, 0.230577, 0.378206, 0.624782", \ + "0.0221911, 0.0640609, 0.0934107, 0.144139, 0.231387, 0.378704, 0.625192", \ + "0.0279236, 0.0698446, 0.0980998, 0.146995, 0.23296, 0.379745, 0.625767", \ + "0.037222, 0.079971, 0.107036, 0.155365, 0.238993, 0.383407, 0.628254", \ + "0.051985, 0.098597, 0.124961, 0.170603, 0.253531, 0.397465, 0.639457" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0375732, 0.0798908, 0.107483, 0.152884, 0.228947, 0.355329, 0.566108", \ + "0.0625034, 0.105937, 0.133656, 0.179196, 0.255086, 0.38174, 0.592506", \ + "0.0768445, 0.121648, 0.148983, 0.194462, 0.270382, 0.39685, 0.607743", \ + "0.0994165, 0.147194, 0.174395, 0.21946, 0.295001, 0.420997, 0.631665", \ + "0.124702, 0.179983, 0.207179, 0.252172, 0.326389, 0.452537, 0.662827", \ + "0.155925, 0.221587, 0.250891, 0.296622, 0.372351, 0.497657, 0.708211", \ + "0.189989, 0.272272, 0.306595, 0.35502, 0.433678, 0.561809, 0.774766" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0103221, 0.0662801, 0.106421, 0.172997, 0.284562, 0.470057, 0.779478", \ + "0.0137844, 0.0669606, 0.106834, 0.173172, 0.284563, 0.470058, 0.779831", \ + "0.0168049, 0.0678115, 0.107289, 0.173461, 0.284682, 0.470059, 0.779954", \ + "0.0216556, 0.0696784, 0.108315, 0.174018, 0.285136, 0.470397, 0.779955", \ + "0.0294136, 0.0753198, 0.111451, 0.175637, 0.28602, 0.471104, 0.779975", \ + "0.041134, 0.087794, 0.120836, 0.181663, 0.290033, 0.473885, 0.781784", \ + "0.059865, 0.111732, 0.141991, 0.19783, 0.303021, 0.484379, 0.789972" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0574218, 0.100655, 0.124641, 0.163178, 0.227151, 0.333513, 0.510753", \ + "0.0832761, 0.127778, 0.152, 0.190751, 0.254879, 0.361192, 0.538406", \ + "0.0992485, 0.145153, 0.169591, 0.208554, 0.272824, 0.379234, 0.556435", \ + "0.123727, 0.172216, 0.196518, 0.235155, 0.29921, 0.40563, 0.582981", \ + "0.151945, 0.206339, 0.231628, 0.27056, 0.335049, 0.440287, 0.617944", \ + "0.193634, 0.256049, 0.283756, 0.32335, 0.38859, 0.494974, 0.672287", \ + "0.253317, 0.328291, 0.359049, 0.402892, 0.470235, 0.580095, 0.757293" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145504, 0.0586626, 0.0894449, 0.141506, 0.229949, 0.377946, 0.624574", \ + "0.017185, 0.0600781, 0.0905521, 0.14219, 0.230112, 0.378267, 0.624939", \ + "0.0200302, 0.0616119, 0.0918379, 0.143217, 0.231055, 0.378445, 0.62494", \ + "0.0245632, 0.0643585, 0.0937288, 0.144581, 0.232144, 0.379414, 0.625477", \ + "0.0313468, 0.069928, 0.0979077, 0.147115, 0.233465, 0.380642, 0.626651", \ + "0.042116, 0.081185, 0.107889, 0.154208, 0.238652, 0.383561, 0.628962", \ + "0.059423, 0.101742, 0.125576, 0.170343, 0.25266, 0.396438, 0.639166" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00742334, 0.00795984, 0.0079401, 0.0079096, 0.00778853, 0.00755896, 0.00747157", \ + "0.00744349, 0.00765926, 0.00773868, 0.00786721, 0.00763808, 0.00741071, 0.00758195", \ + "0.00776979, 0.00791135, 0.00793374, 0.00790993, 0.00817071, 0.00776877, 0.00718029", \ + "0.00882362, 0.00872471, 0.00875117, 0.00874511, 0.00860357, 0.0087178, 0.00847341", \ + "0.0113614, 0.0109001, 0.0108444, 0.0107893, 0.0107589, 0.0105549, 0.0102069", \ + "0.0167901, 0.0156969, 0.0155345, 0.0154487, 0.0153145, 0.0153119, 0.0151541", \ + "0.0280733, 0.0258999, 0.0256883, 0.0252137, 0.024943, 0.0248229, 0.0246507" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00939378, 0.00989834, 0.00994503, 0.00994673, 0.00985425, 0.00964371, 0.00924866", \ + "0.00926796, 0.00962641, 0.00972839, 0.00982462, 0.00965489, 0.00944279, 0.00905911", \ + "0.00944216, 0.00973138, 0.00979111, 0.00973428, 0.00989016, 0.00950458, 0.00908691", \ + "0.010298, 0.0102747, 0.0102525, 0.0103493, 0.0101273, 0.0100259, 0.00983158", \ + "0.0124323, 0.0121704, 0.0121626, 0.0121848, 0.0122152, 0.0122773, 0.0114266", \ + "0.0174383, 0.0164192, 0.0163716, 0.016516, 0.0162869, 0.0163947, 0.0162057", \ + "0.0278449, 0.0259132, 0.0257366, 0.0254243, 0.0252123, 0.0250425, 0.0252117" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00722688, 0.00782491, 0.00780779, 0.00776553, 0.00766787, 0.00736666, 0.00729995", \ + "0.00709113, 0.00740623, 0.00750655, 0.00754143, 0.00743016, 0.00716064, 0.00715977", \ + "0.00745648, 0.00765143, 0.00762693, 0.00758947, 0.00782663, 0.00740954, 0.00731881", \ + "0.00852593, 0.00846833, 0.00855379, 0.00850379, 0.00829204, 0.008221, 0.00786309", \ + "0.0111317, 0.0107412, 0.0106489, 0.01066, 0.010465, 0.010292, 0.00989323", \ + "0.0166951, 0.0155956, 0.0154682, 0.0153848, 0.0152305, 0.015055, 0.0147974", \ + "0.0280637, 0.0258109, 0.0256601, 0.0251511, 0.0250626, 0.0246966, 0.024636" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00742025, 0.00796031, 0.0080015, 0.00797635, 0.00793334, 0.00766881, 0.00728424", \ + "0.007552, 0.00781883, 0.00791752, 0.00808991, 0.00786818, 0.00769012, 0.00734143", \ + "0.00801413, 0.00814146, 0.00820436, 0.00815408, 0.00832127, 0.00785347, 0.0075081", \ + "0.00918245, 0.00903818, 0.00899461, 0.00908723, 0.00876804, 0.00856297, 0.00860335", \ + "0.0118392, 0.0111825, 0.0112446, 0.0112935, 0.0113791, 0.0111564, 0.0104795", \ + "0.0169903, 0.0157339, 0.0158173, 0.0156735, 0.0156541, 0.0154893, 0.0154979", \ + "0.0280132, 0.0256154, 0.025333, 0.0251677, 0.0249434, 0.0248186, 0.0244548" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0026692; + rise_capacitance : 0.00263341; + rise_capacitance_range (0.00263341, 0.00263341); + fall_capacitance : 0.00270499; + fall_capacitance_range (0.00270499, 0.00270499); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00247518; + rise_capacitance : 0.00255268; + rise_capacitance_range (0.00255268, 0.00255268); + fall_capacitance : 0.00239768; + fall_capacitance_range (0.00239768, 0.00239768); + } + } + cell (sg13g2_or2_2) { + area : 10.8864; + cell_footprint : "or2"; + cell_leakage_power : 336.882; + leakage_power () { + value : 432.158; + when : "!A&!B"; + } + leakage_power () { + value : 266.464; + when : "A&!B"; + } + leakage_power () { + value : 318.788; + when : "!A&B"; + } + leakage_power () { + value : 330.118; + when : "A&B"; + } + pin (X) { + direction : "output"; + function : "(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.047648, 0.0945606, 0.122359, 0.168063, 0.244101, 0.371037, 0.581843", \ + "0.0802065, 0.128615, 0.156499, 0.202078, 0.278143, 0.404901, 0.616956", \ + "0.100509, 0.151141, 0.178795, 0.224431, 0.300431, 0.427142, 0.638223", \ + "0.131785, 0.186402, 0.214232, 0.259463, 0.335561, 0.461994, 0.672958", \ + "0.171751, 0.233123, 0.260781, 0.305726, 0.381254, 0.507291, 0.71786", \ + "0.225571, 0.297788, 0.326594, 0.371712, 0.447684, 0.57312, 0.783472", \ + "0.298139, 0.384821, 0.41936, 0.468305, 0.544434, 0.669574, 0.881112" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0121298, 0.0680563, 0.107866, 0.174423, 0.285845, 0.471751, 0.781733", \ + "0.0157804, 0.0689236, 0.108257, 0.174477, 0.285851, 0.472977, 0.782939", \ + "0.0191629, 0.0701736, 0.109023, 0.174851, 0.286137, 0.472978, 0.78294", \ + "0.0247331, 0.0733679, 0.110841, 0.175697, 0.286614, 0.472979, 0.782941", \ + "0.0334191, 0.0799292, 0.114904, 0.178181, 0.287815, 0.473063, 0.782942", \ + "0.047608, 0.093908, 0.124662, 0.184035, 0.291128, 0.475093, 0.783914", \ + "0.07001, 0.119553, 0.147867, 0.200775, 0.30188, 0.481961, 0.78884" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.079834, 0.131866, 0.157274, 0.196722, 0.261164, 0.367936, 0.54547", \ + "0.103996, 0.156872, 0.182301, 0.221827, 0.286327, 0.393047, 0.570436", \ + "0.120583, 0.175023, 0.200863, 0.240481, 0.305036, 0.411728, 0.589222", \ + "0.146629, 0.204181, 0.230225, 0.270293, 0.334937, 0.441871, 0.619216", \ + "0.178779, 0.24165, 0.26897, 0.310423, 0.374881, 0.481815, 0.659307", \ + "0.224765, 0.295802, 0.325652, 0.368627, 0.434226, 0.541038, 0.718819", \ + "0.286216, 0.367883, 0.401707, 0.447417, 0.516475, 0.627421, 0.805654" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0197736, 0.0661255, 0.0963939, 0.147533, 0.234949, 0.382802, 0.629973", \ + "0.0211824, 0.0668345, 0.0968208, 0.147699, 0.235076, 0.382812, 0.629974", \ + "0.0234296, 0.068708, 0.0982713, 0.148728, 0.235695, 0.383008, 0.630054", \ + "0.0276923, 0.0726608, 0.101131, 0.150855, 0.236864, 0.383726, 0.630203", \ + "0.0357293, 0.0793238, 0.106747, 0.155357, 0.239668, 0.385043, 0.631192", \ + "0.047845, 0.092148, 0.118812, 0.164698, 0.246027, 0.388978, 0.633425", \ + "0.066758, 0.113219, 0.138986, 0.182715, 0.262537, 0.403251, 0.643373" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0450043, 0.0915406, 0.119285, 0.164911, 0.240991, 0.367686, 0.578628", \ + "0.0754853, 0.123889, 0.151579, 0.197155, 0.273153, 0.399791, 0.612136", \ + "0.0937944, 0.144315, 0.172022, 0.217382, 0.293457, 0.42005, 0.630997", \ + "0.122555, 0.176958, 0.204577, 0.249514, 0.325527, 0.451576, 0.662595", \ + "0.157491, 0.219552, 0.247693, 0.292289, 0.366404, 0.492303, 0.701762", \ + "0.203172, 0.276397, 0.306817, 0.352233, 0.425607, 0.551677, 0.761176", \ + "0.258057, 0.346139, 0.382903, 0.432933, 0.508527, 0.634864, 0.846008" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116732, 0.0679954, 0.10773, 0.17427, 0.285842, 0.471717, 0.781554", \ + "0.0156614, 0.0687827, 0.108166, 0.174323, 0.285843, 0.472192, 0.783062", \ + "0.0191927, 0.0702812, 0.108906, 0.174821, 0.285983, 0.472193, 0.783063", \ + "0.0248706, 0.0736641, 0.111061, 0.175682, 0.286524, 0.472194, 0.783064", \ + "0.0346619, 0.0806134, 0.115542, 0.178275, 0.287956, 0.473005, 0.783065", \ + "0.050191, 0.096518, 0.127674, 0.185883, 0.292144, 0.475604, 0.78408", \ + "0.074867, 0.126562, 0.154599, 0.207257, 0.306262, 0.485192, 0.790749" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0757933, 0.128029, 0.153298, 0.192753, 0.257279, 0.363978, 0.54157", \ + "0.105922, 0.158856, 0.184348, 0.223812, 0.288417, 0.39507, 0.572516", \ + "0.126923, 0.181228, 0.207114, 0.246672, 0.311283, 0.418073, 0.595631", \ + "0.1589, 0.216553, 0.241977, 0.281904, 0.346537, 0.453577, 0.630807", \ + "0.197685, 0.260901, 0.288141, 0.327908, 0.392798, 0.499451, 0.676512", \ + "0.253153, 0.326081, 0.35502, 0.396544, 0.46222, 0.565845, 0.743067", \ + "0.331786, 0.415302, 0.449022, 0.494536, 0.562268, 0.669965, 0.848125" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0197429, 0.0661146, 0.0962291, 0.147637, 0.235031, 0.382951, 0.629978", \ + "0.0221007, 0.0672062, 0.097021, 0.147873, 0.235208, 0.382952, 0.629979", \ + "0.0255931, 0.0692856, 0.0987833, 0.149097, 0.235933, 0.383166, 0.62998", \ + "0.0316867, 0.0737604, 0.102121, 0.151354, 0.237491, 0.384269, 0.630686", \ + "0.0421737, 0.081783, 0.108376, 0.155317, 0.239985, 0.385807, 0.631982", \ + "0.056965, 0.096733, 0.121366, 0.164625, 0.246161, 0.388915, 0.633731", \ + "0.078545, 0.121871, 0.144695, 0.185809, 0.262505, 0.402258, 0.642401" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0124613, 0.01346, 0.0135101, 0.0134994, 0.0132519, 0.0129291, 0.0127806", \ + "0.012746, 0.0129864, 0.0132286, 0.0134406, 0.0129222, 0.0129146, 0.013072", \ + "0.013333, 0.0132631, 0.0132185, 0.0132859, 0.013541, 0.0127487, 0.0125901", \ + "0.014956, 0.0140113, 0.0141502, 0.0141595, 0.01389, 0.0141063, 0.013768", \ + "0.0182949, 0.0162761, 0.0160335, 0.0160493, 0.016003, 0.0153081, 0.0148259", \ + "0.0253175, 0.0213655, 0.0207827, 0.0204723, 0.0205419, 0.0202081, 0.0199102", \ + "0.039193, 0.032201, 0.031513, 0.0307741, 0.0301674, 0.0290449, 0.0291105" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0147965, 0.015027, 0.0151268, 0.0151372, 0.0150446, 0.0146751, 0.0138008", \ + "0.0148525, 0.0147441, 0.0149988, 0.0151884, 0.0152752, 0.0144451, 0.0137417", \ + "0.0153034, 0.0148279, 0.0148964, 0.0149095, 0.0154254, 0.0147, 0.0137573", \ + "0.016488, 0.0154167, 0.0153411, 0.0154803, 0.0151959, 0.0151792, 0.0143241", \ + "0.019445, 0.0170612, 0.0169902, 0.0174342, 0.0174751, 0.0172374, 0.0162219", \ + "0.0257323, 0.021376, 0.0213093, 0.0213538, 0.0211141, 0.0211681, 0.0214786", \ + "0.0379455, 0.0311895, 0.0306255, 0.0299583, 0.0296567, 0.0295009, 0.0285897" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0123198, 0.0135299, 0.0135496, 0.0134937, 0.0132979, 0.012811, 0.0127884", \ + "0.0124802, 0.0128571, 0.0130569, 0.0130556, 0.0128254, 0.0125101, 0.0122787", \ + "0.0130041, 0.0130879, 0.0130983, 0.0130392, 0.0134047, 0.0126193, 0.0116915", \ + "0.014539, 0.0138054, 0.0138564, 0.0137025, 0.0135291, 0.0137517, 0.0132249", \ + "0.0181274, 0.0158248, 0.0157899, 0.015646, 0.0154774, 0.0149205, 0.0137462", \ + "0.025226, 0.0208029, 0.0206909, 0.0204341, 0.0198744, 0.0197237, 0.0190673", \ + "0.0393358, 0.0321998, 0.0314167, 0.0306944, 0.0299214, 0.0288599, 0.0287539" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0129515, 0.0132098, 0.0132497, 0.0132006, 0.0131728, 0.0127474, 0.0121056", \ + "0.0131884, 0.0128663, 0.0131413, 0.0132576, 0.0135456, 0.0126666, 0.0119398", \ + "0.0141279, 0.0131914, 0.0133156, 0.0132835, 0.013753, 0.0135349, 0.0120551", \ + "0.0159242, 0.0141368, 0.0140103, 0.014051, 0.0137088, 0.0137708, 0.0127166", \ + "0.0196055, 0.0161754, 0.0163036, 0.0160519, 0.0162924, 0.0161115, 0.0146888", \ + "0.0263168, 0.0210495, 0.0209275, 0.020443, 0.0207656, 0.0203502, 0.0204143", \ + "0.0395147, 0.031262, 0.0305027, 0.0300799, 0.0296533, 0.0291744, 0.0289511" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00265657; + rise_capacitance : 0.00261568; + rise_capacitance_range (0.00261568, 0.00261568); + fall_capacitance : 0.00269746; + fall_capacitance_range (0.00269746, 0.00269746); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00245391; + rise_capacitance : 0.00252685; + rise_capacitance_range (0.00252685, 0.00252685); + fall_capacitance : 0.00238097; + fall_capacitance_range (0.00238097, 0.00238097); + } + } + cell (sg13g2_or3_1) { + area : 12.7008; + cell_footprint : "or3"; + cell_leakage_power : 284.539; + leakage_power () { + value : 364.602; + when : "!A&!B&!C"; + } + leakage_power () { + value : 313.141; + when : "!A&!B&C"; + } + leakage_power () { + value : 249.474; + when : "!A&B&!C"; + } + leakage_power () { + value : 311.9; + when : "!A&B&C"; + } + leakage_power () { + value : 191.963; + when : "A&!B&!C"; + } + leakage_power () { + value : 260.697; + when : "A&!B&C"; + } + leakage_power () { + value : 253.824; + when : "A&B&!C"; + } + leakage_power () { + value : 330.709; + when : "A&B&C"; + } + pin (X) { + direction : "output"; + function : "(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0461027, 0.0900766, 0.1179, 0.16353, 0.239742, 0.366212, 0.57671", \ + "0.0778759, 0.122722, 0.150589, 0.196253, 0.272349, 0.398974, 0.611028", \ + "0.0975976, 0.143847, 0.171769, 0.217383, 0.293434, 0.42004, 0.630619", \ + "0.12814, 0.177498, 0.205329, 0.250692, 0.326771, 0.453268, 0.663927", \ + "0.166947, 0.221763, 0.249475, 0.294768, 0.370227, 0.496251, 0.706841", \ + "0.220925, 0.285824, 0.314778, 0.360232, 0.436022, 0.562677, 0.772934", \ + "0.297543, 0.376916, 0.409026, 0.45547, 0.532412, 0.6583, 0.873776" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119043, 0.0671241, 0.107052, 0.173297, 0.284517, 0.469821, 0.778616", \ + "0.0147347, 0.0676371, 0.107289, 0.173399, 0.284518, 0.469863, 0.780115", \ + "0.0174001, 0.0683888, 0.107651, 0.17371, 0.284612, 0.469864, 0.780116", \ + "0.0216328, 0.070369, 0.108756, 0.174178, 0.285064, 0.470064, 0.780117", \ + "0.0282546, 0.0743045, 0.111115, 0.175639, 0.285901, 0.470909, 0.780118", \ + "0.038263, 0.084509, 0.11806, 0.179601, 0.288357, 0.47262, 0.78048", \ + "0.053228, 0.102994, 0.133645, 0.191163, 0.297022, 0.479356, 0.786154" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0864629, 0.136075, 0.161645, 0.201235, 0.26609, 0.373399, 0.552055", \ + "0.105828, 0.156364, 0.181962, 0.221688, 0.286623, 0.393938, 0.57253", \ + "0.117154, 0.169037, 0.194938, 0.235006, 0.300014, 0.407326, 0.586056", \ + "0.133797, 0.188635, 0.215049, 0.255449, 0.32092, 0.428376, 0.607027", \ + "0.151273, 0.211681, 0.239449, 0.280527, 0.346317, 0.453885, 0.632751", \ + "0.178141, 0.247584, 0.278137, 0.322124, 0.38923, 0.497976, 0.676933", \ + "0.210825, 0.293124, 0.328066, 0.376843, 0.448531, 0.561446, 0.7452" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01842, 0.064132, 0.0945365, 0.145862, 0.233755, 0.382328, 0.630765", \ + "0.0192875, 0.0648225, 0.0948983, 0.145999, 0.233758, 0.382378, 0.630766", \ + "0.0206539, 0.0662744, 0.0961376, 0.147028, 0.234269, 0.382459, 0.630767", \ + "0.0233441, 0.0693695, 0.0986762, 0.14867, 0.235429, 0.383103, 0.631285", \ + "0.0287311, 0.075157, 0.103833, 0.152302, 0.237649, 0.384319, 0.631931", \ + "0.037843, 0.085798, 0.114188, 0.161779, 0.244465, 0.388541, 0.634359", \ + "0.051983, 0.104813, 0.132518, 0.180115, 0.261928, 0.404478, 0.646773" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0440794, 0.0872518, 0.114876, 0.160322, 0.2364, 0.362831, 0.573234", \ + "0.0735623, 0.117921, 0.145655, 0.19116, 0.26715, 0.393542, 0.604153", \ + "0.0914, 0.137249, 0.165068, 0.210564, 0.28652, 0.413128, 0.623616", \ + "0.118996, 0.167784, 0.1954, 0.240743, 0.316595, 0.442835, 0.653432", \ + "0.152605, 0.207571, 0.235249, 0.280358, 0.356024, 0.482294, 0.692733", \ + "0.196439, 0.261994, 0.291979, 0.337222, 0.41347, 0.539719, 0.75024", \ + "0.252807, 0.33344, 0.36807, 0.415154, 0.493083, 0.620779, 0.831999" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0111708, 0.0664428, 0.106465, 0.172807, 0.284223, 0.469532, 0.778401", \ + "0.014218, 0.0670982, 0.106813, 0.172966, 0.284224, 0.470069, 0.778819", \ + "0.0169486, 0.0679757, 0.107192, 0.17332, 0.284279, 0.47007, 0.77882", \ + "0.0213587, 0.0699905, 0.108317, 0.17373, 0.284725, 0.470071, 0.778821", \ + "0.0283862, 0.0743791, 0.110785, 0.175168, 0.285617, 0.47057, 0.77931", \ + "0.039018, 0.085809, 0.11882, 0.179722, 0.28824, 0.472433, 0.780375", \ + "0.055203, 0.106463, 0.136059, 0.192662, 0.297968, 0.479837, 0.786028" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0829639, 0.132517, 0.158077, 0.19778, 0.262693, 0.370018, 0.548528", \ + "0.104355, 0.155188, 0.180868, 0.220627, 0.285611, 0.392966, 0.571522", \ + "0.118166, 0.170512, 0.196562, 0.236704, 0.301873, 0.409346, 0.587931", \ + "0.140121, 0.195343, 0.221948, 0.262083, 0.32752, 0.435244, 0.613955", \ + "0.167222, 0.227962, 0.255676, 0.29695, 0.362128, 0.470083, 0.649197", \ + "0.20904, 0.278268, 0.307824, 0.351335, 0.4178, 0.526587, 0.705545", \ + "0.262705, 0.34468, 0.378541, 0.425295, 0.495877, 0.608655, 0.790122" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0184135, 0.0641034, 0.09444, 0.145801, 0.233536, 0.382347, 0.630679", \ + "0.0197794, 0.0651826, 0.0952716, 0.146209, 0.233846, 0.382386, 0.63068", \ + "0.0216854, 0.0669251, 0.0966774, 0.147514, 0.234741, 0.382669, 0.630744", \ + "0.0252172, 0.0702619, 0.099409, 0.149497, 0.236098, 0.383861, 0.631624", \ + "0.0313514, 0.0760516, 0.104071, 0.152883, 0.238027, 0.384942, 0.632861", \ + "0.040511, 0.086485, 0.113941, 0.161075, 0.243694, 0.388317, 0.634506", \ + "0.055632, 0.105862, 0.131953, 0.178485, 0.259407, 0.402737, 0.645464" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0402811, 0.0829582, 0.110533, 0.155903, 0.231761, 0.358132, 0.568748", \ + "0.0669011, 0.110996, 0.138625, 0.184154, 0.260096, 0.386361, 0.596985", \ + "0.0822271, 0.12778, 0.155456, 0.200885, 0.276778, 0.403146, 0.61376", \ + "0.10635, 0.1553, 0.182627, 0.227881, 0.30309, 0.429397, 0.639655", \ + "0.133633, 0.190519, 0.217898, 0.262829, 0.337804, 0.463384, 0.673479", \ + "0.16741, 0.235487, 0.265453, 0.311013, 0.386978, 0.512753, 0.72331", \ + "0.203783, 0.289223, 0.324414, 0.373527, 0.451745, 0.580826, 0.791974" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0106801, 0.0661922, 0.106261, 0.172672, 0.283903, 0.469381, 0.77839", \ + "0.0141534, 0.0669467, 0.106648, 0.172872, 0.284052, 0.469382, 0.778712", \ + "0.016939, 0.0678754, 0.10713, 0.173175, 0.284194, 0.469432, 0.778713", \ + "0.0217273, 0.0700244, 0.108307, 0.173745, 0.284745, 0.469691, 0.778714", \ + "0.029428, 0.0757736, 0.111565, 0.175497, 0.285656, 0.470613, 0.778907", \ + "0.041032, 0.08891, 0.121275, 0.181717, 0.289795, 0.473109, 0.780777", \ + "0.059601, 0.114017, 0.144537, 0.199168, 0.302328, 0.483852, 0.78908" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0731797, 0.12294, 0.148562, 0.188267, 0.252917, 0.360313, 0.539015", \ + "0.0978871, 0.148663, 0.174385, 0.21423, 0.279235, 0.386608, 0.566631", \ + "0.114714, 0.166394, 0.192374, 0.232629, 0.297828, 0.405335, 0.583984", \ + "0.140653, 0.194541, 0.220556, 0.260771, 0.325812, 0.433898, 0.612762", \ + "0.171576, 0.23085, 0.257365, 0.297968, 0.363145, 0.471423, 0.650852", \ + "0.217559, 0.284117, 0.312482, 0.354423, 0.421357, 0.530442, 0.709387", \ + "0.283329, 0.362752, 0.395552, 0.440748, 0.50987, 0.619712, 0.801699" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0183072, 0.0641329, 0.094504, 0.145886, 0.23376, 0.382224, 0.630772", \ + "0.020099, 0.0655004, 0.0954863, 0.146383, 0.234054, 0.382708, 0.632168", \ + "0.0224931, 0.0671406, 0.0971054, 0.147948, 0.235128, 0.382932, 0.632169", \ + "0.0265968, 0.0699774, 0.0990877, 0.149643, 0.236765, 0.384542, 0.63217", \ + "0.0335859, 0.0752546, 0.103166, 0.151936, 0.23813, 0.385794, 0.633742", \ + "0.043905, 0.086388, 0.112183, 0.159228, 0.243272, 0.388378, 0.63541", \ + "0.060509, 0.105944, 0.130855, 0.175254, 0.257711, 0.401661, 0.645506" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00782385, 0.00825936, 0.00828339, 0.0082502, 0.00818503, 0.00795208, 0.0079071", \ + "0.0077834, 0.00796071, 0.00804579, 0.00815418, 0.00790301, 0.00769575, 0.00761926", \ + "0.0081019, 0.00820125, 0.00822169, 0.00820351, 0.00823662, 0.00791282, 0.00749112", \ + "0.00911138, 0.00895377, 0.00901496, 0.00898557, 0.00883368, 0.00902001, 0.00873128", \ + "0.0116559, 0.0111219, 0.0110487, 0.0109797, 0.0109492, 0.0105591, 0.010321", \ + "0.0173064, 0.0162314, 0.0160624, 0.0158907, 0.0157613, 0.0157152, 0.0154227", \ + "0.0288627, 0.0269655, 0.0266642, 0.0261432, 0.0259135, 0.0253356, 0.0254648" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0133815, 0.013739, 0.0137822, 0.0137675, 0.0136769, 0.0135437, 0.0130888", \ + "0.0132156, 0.0135087, 0.013626, 0.0137358, 0.0137377, 0.0134008, 0.0129327", \ + "0.013333, 0.0135132, 0.0134984, 0.0135439, 0.0137707, 0.0135252, 0.0129118", \ + "0.0137739, 0.0138262, 0.0138298, 0.0139009, 0.0137031, 0.013597, 0.0135413", \ + "0.0155508, 0.0152636, 0.0153143, 0.0153121, 0.0154453, 0.0153548, 0.014629", \ + "0.0204648, 0.0195174, 0.0195076, 0.0195579, 0.0193469, 0.0194886, 0.0194679", \ + "0.0314164, 0.029472, 0.0292277, 0.0291071, 0.028807, 0.028602, 0.0287527" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00756861, 0.00810855, 0.00813742, 0.00810278, 0.008029, 0.00782143, 0.00771376", \ + "0.00744305, 0.00770077, 0.00780026, 0.00797783, 0.00770985, 0.00761561, 0.00717529", \ + "0.0077431, 0.00791603, 0.00794839, 0.00793551, 0.00809627, 0.00792866, 0.00763191", \ + "0.00872364, 0.00864867, 0.00870936, 0.00869578, 0.00855889, 0.00858447, 0.00823792", \ + "0.0112368, 0.0107557, 0.0107049, 0.0107148, 0.0107362, 0.0105591, 0.010182", \ + "0.0166516, 0.0155836, 0.0154624, 0.0153163, 0.0152292, 0.0151553, 0.0150193", \ + "0.0277986, 0.0257108, 0.0253841, 0.0249458, 0.024917, 0.0245487, 0.0243968" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112208, 0.0115712, 0.0116569, 0.011663, 0.0116009, 0.0114122, 0.0109549", \ + "0.0111296, 0.0113497, 0.0114647, 0.0115885, 0.0115911, 0.0112557, 0.010803", \ + "0.0113345, 0.0114205, 0.0114034, 0.0114416, 0.0115245, 0.011503, 0.0108029", \ + "0.0120639, 0.0120217, 0.0120335, 0.0119646, 0.0117618, 0.0117409, 0.0113267", \ + "0.0141907, 0.013813, 0.0137969, 0.0139426, 0.0138785, 0.0137789, 0.0132026", \ + "0.0191041, 0.0179636, 0.0179209, 0.0179798, 0.0178336, 0.0179885, 0.0179086", \ + "0.0294535, 0.0273563, 0.027085, 0.0269657, 0.0266762, 0.0265963, 0.0264233" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00736828, 0.0079925, 0.00796739, 0.00792197, 0.00779195, 0.00753473, 0.00744984", \ + "0.00723067, 0.00753086, 0.00762969, 0.00767519, 0.00763538, 0.00727172, 0.00694491", \ + "0.00751787, 0.00768988, 0.00774477, 0.00771612, 0.00786499, 0.00747429, 0.00704075", \ + "0.00854999, 0.00853804, 0.008549, 0.00854741, 0.00823931, 0.00830722, 0.00791645", \ + "0.011205, 0.010698, 0.0106082, 0.0105974, 0.0104639, 0.0101808, 0.00984346", \ + "0.016734, 0.0155722, 0.0153656, 0.0153432, 0.0153063, 0.0150513, 0.0147786", \ + "0.0283986, 0.0263125, 0.0260915, 0.0254934, 0.0253067, 0.0250124, 0.0246924" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00886304, 0.00921907, 0.00931447, 0.00931299, 0.00920667, 0.008993, 0.00869509", \ + "0.00893718, 0.00908125, 0.00920454, 0.00938898, 0.00925795, 0.00908029, 0.0090081", \ + "0.00946195, 0.00946315, 0.00942661, 0.00946078, 0.00968128, 0.00933737, 0.00882164", \ + "0.0105664, 0.0104372, 0.0103612, 0.010359, 0.00997889, 0.0100036, 0.00954062", \ + "0.0131801, 0.0125035, 0.0124966, 0.0124835, 0.0124701, 0.0125403, 0.0117771", \ + "0.0183609, 0.0170372, 0.0168613, 0.0168737, 0.0168428, 0.0169138, 0.0167625", \ + "0.0293224, 0.0268074, 0.0265718, 0.0262922, 0.02621, 0.0258089, 0.0257481" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00280545; + rise_capacitance : 0.00277151; + rise_capacitance_range (0.00277151, 0.00277151); + fall_capacitance : 0.0028394; + fall_capacitance_range (0.0028394, 0.0028394); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00274292; + rise_capacitance : 0.00276965; + rise_capacitance_range (0.00276965, 0.00276965); + fall_capacitance : 0.00271619; + fall_capacitance_range (0.00271619, 0.00271619); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00260861; + rise_capacitance : 0.00274601; + rise_capacitance_range (0.00274601, 0.00274601); + fall_capacitance : 0.00247121; + fall_capacitance_range (0.00247121, 0.00247121); + } + } + cell (sg13g2_or3_2) { + area : 14.5152; + cell_footprint : "or3"; + cell_leakage_power : 373.473; + leakage_power () { + value : 522.498; + when : "!A&!B&!C"; + } + leakage_power () { + value : 392.223; + when : "!A&!B&C"; + } + leakage_power () { + value : 328.556; + when : "!A&B&!C"; + } + leakage_power () { + value : 390.982; + when : "!A&B&C"; + } + leakage_power () { + value : 271.045; + when : "A&!B&!C"; + } + leakage_power () { + value : 339.779; + when : "A&!B&C"; + } + leakage_power () { + value : 332.906; + when : "A&B&!C"; + } + leakage_power () { + value : 409.791; + when : "A&B&C"; + } + pin (X) { + direction : "output"; + function : "(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0530939, 0.101645, 0.129626, 0.175451, 0.251561, 0.378416, 0.58946", \ + "0.0883995, 0.138363, 0.166285, 0.211974, 0.288344, 0.415159, 0.62656", \ + "0.111272, 0.163212, 0.191115, 0.236767, 0.312959, 0.439601, 0.650487", \ + "0.146662, 0.20334, 0.231044, 0.276625, 0.352617, 0.478969, 0.689919", \ + "0.192474, 0.255692, 0.283453, 0.329111, 0.403983, 0.530638, 0.741278", \ + "0.257015, 0.330153, 0.359809, 0.405586, 0.481459, 0.606457, 0.816926", \ + "0.347275, 0.435817, 0.470515, 0.51778, 0.593866, 0.719922, 0.93065" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0132009, 0.0686823, 0.108309, 0.174647, 0.285806, 0.471517, 0.78118", \ + "0.0162195, 0.0695535, 0.108663, 0.174678, 0.285918, 0.472071, 0.78232", \ + "0.0194805, 0.0707722, 0.109401, 0.175008, 0.286073, 0.472072, 0.782321", \ + "0.0250168, 0.0742776, 0.111281, 0.175869, 0.286625, 0.472073, 0.782322", \ + "0.0329227, 0.0811344, 0.115473, 0.178513, 0.287741, 0.472803, 0.782323", \ + "0.046243, 0.093538, 0.124655, 0.183991, 0.291105, 0.475012, 0.783405", \ + "0.066989, 0.118008, 0.14685, 0.199985, 0.301245, 0.481673, 0.788177" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.109225, 0.169068, 0.196537, 0.237573, 0.303316, 0.410687, 0.58893", \ + "0.129599, 0.189738, 0.217212, 0.258697, 0.32428, 0.431775, 0.610194", \ + "0.142997, 0.204372, 0.23202, 0.273664, 0.339411, 0.447074, 0.625495", \ + "0.163749, 0.22746, 0.255912, 0.297766, 0.36401, 0.471803, 0.650329", \ + "0.188146, 0.256826, 0.286565, 0.32939, 0.396204, 0.504386, 0.682788", \ + "0.225291, 0.301693, 0.333927, 0.379103, 0.447969, 0.555916, 0.734543", \ + "0.272478, 0.359942, 0.396367, 0.446045, 0.519876, 0.631738, 0.812415" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0247017, 0.0738877, 0.103911, 0.154004, 0.240272, 0.387319, 0.635046", \ + "0.0253808, 0.0739941, 0.103978, 0.154265, 0.240389, 0.387602, 0.635047", \ + "0.0268326, 0.0755196, 0.105075, 0.154906, 0.240967, 0.387603, 0.635527", \ + "0.0298447, 0.0785363, 0.107895, 0.157034, 0.242455, 0.388432, 0.635528", \ + "0.0363022, 0.0851225, 0.113864, 0.161476, 0.245484, 0.390115, 0.63637", \ + "0.047086, 0.097088, 0.12548, 0.171871, 0.253576, 0.39481, 0.638394", \ + "0.065149, 0.11743, 0.145179, 0.191317, 0.271897, 0.410714, 0.650415" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0509445, 0.0986602, 0.126486, 0.172164, 0.248129, 0.375078, 0.58571", \ + "0.0846066, 0.133947, 0.161833, 0.207361, 0.283548, 0.4102, 0.62166", \ + "0.10586, 0.157399, 0.185173, 0.230736, 0.306798, 0.433396, 0.644464", \ + "0.138461, 0.194919, 0.222289, 0.267732, 0.343607, 0.470138, 0.681016", \ + "0.179864, 0.242983, 0.271082, 0.316467, 0.391491, 0.517973, 0.728475", \ + "0.236829, 0.311232, 0.341228, 0.386587, 0.462381, 0.587804, 0.797559", \ + "0.309712, 0.400031, 0.435385, 0.484252, 0.560544, 0.68589, 0.89632" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0124586, 0.0681063, 0.107702, 0.174105, 0.285451, 0.471276, 0.780894", \ + "0.0158112, 0.0690072, 0.108184, 0.174258, 0.28559, 0.47253, 0.782039", \ + "0.0191827, 0.070367, 0.108976, 0.174656, 0.285822, 0.472531, 0.78204", \ + "0.0247138, 0.0740926, 0.110853, 0.175544, 0.2863, 0.472532, 0.782041", \ + "0.0331544, 0.0807215, 0.115498, 0.178142, 0.287471, 0.472626, 0.782042", \ + "0.047116, 0.094751, 0.125398, 0.184418, 0.290915, 0.474644, 0.78299", \ + "0.06946, 0.121191, 0.149561, 0.202071, 0.302446, 0.482124, 0.788403" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.105692, 0.165512, 0.19303, 0.234126, 0.299808, 0.407302, 0.585632", \ + "0.129117, 0.189327, 0.216829, 0.258353, 0.323916, 0.431421, 0.609905", \ + "0.146226, 0.207891, 0.235571, 0.277293, 0.343202, 0.450756, 0.629141", \ + "0.173989, 0.238306, 0.26657, 0.308809, 0.374879, 0.482907, 0.661395", \ + "0.210222, 0.278963, 0.3088, 0.351735, 0.418025, 0.525986, 0.704704", \ + "0.262164, 0.339909, 0.371813, 0.417117, 0.485607, 0.593032, 0.771661", \ + "0.334923, 0.423384, 0.459239, 0.508921, 0.579527, 0.691248, 0.872258" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0246844, 0.0739768, 0.103955, 0.153955, 0.240292, 0.387309, 0.634753", \ + "0.0257564, 0.0742824, 0.104191, 0.154231, 0.240453, 0.387623, 0.635062", \ + "0.0278083, 0.0760509, 0.105514, 0.155294, 0.241145, 0.387874, 0.635283", \ + "0.0320729, 0.0796881, 0.108615, 0.157855, 0.243093, 0.388855, 0.635773", \ + "0.0403636, 0.0866602, 0.114926, 0.162522, 0.245907, 0.390712, 0.637228", \ + "0.053137, 0.099668, 0.126298, 0.171906, 0.253125, 0.394494, 0.639046", \ + "0.071851, 0.122545, 0.14791, 0.192477, 0.269449, 0.408793, 0.648665" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0473651, 0.0945925, 0.122301, 0.168053, 0.243915, 0.370802, 0.581375", \ + "0.0789593, 0.12808, 0.155858, 0.201446, 0.277461, 0.404161, 0.61497", \ + "0.098287, 0.149845, 0.177563, 0.223003, 0.299072, 0.425565, 0.636523", \ + "0.12789, 0.184236, 0.211552, 0.256782, 0.332826, 0.459187, 0.669807", \ + "0.165053, 0.228478, 0.256486, 0.301462, 0.376064, 0.501912, 0.711596", \ + "0.212103, 0.288089, 0.319184, 0.364209, 0.439674, 0.564887, 0.773623", \ + "0.269334, 0.361426, 0.39825, 0.448572, 0.525174, 0.651718, 0.862736" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0119494, 0.0677774, 0.107559, 0.174147, 0.285413, 0.471246, 0.780944", \ + "0.0157122, 0.0688351, 0.108077, 0.174149, 0.285414, 0.471369, 0.781028", \ + "0.0191104, 0.0703384, 0.108894, 0.17458, 0.285696, 0.47137, 0.781029", \ + "0.0247706, 0.0744193, 0.110995, 0.175549, 0.286258, 0.471609, 0.78103", \ + "0.0340877, 0.0815302, 0.116071, 0.178351, 0.287618, 0.472436, 0.781551", \ + "0.049636, 0.098046, 0.128634, 0.18646, 0.291977, 0.475083, 0.783127", \ + "0.074135, 0.127723, 0.156387, 0.207895, 0.306552, 0.484985, 0.790461" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0962679, 0.156032, 0.183503, 0.224767, 0.290363, 0.397852, 0.57628", \ + "0.123907, 0.184013, 0.211495, 0.252829, 0.318609, 0.426207, 0.60462", \ + "0.145128, 0.206476, 0.234192, 0.275762, 0.341698, 0.44937, 0.627517", \ + "0.178553, 0.242036, 0.269965, 0.311967, 0.37814, 0.486215, 0.66511", \ + "0.220012, 0.288034, 0.316593, 0.359474, 0.425194, 0.533115, 0.711883", \ + "0.279952, 0.35638, 0.387029, 0.430611, 0.497649, 0.605786, 0.783779", \ + "0.360934, 0.449461, 0.48507, 0.532614, 0.60204, 0.710841, 0.890895" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0246805, 0.0738939, 0.103813, 0.154249, 0.240278, 0.387239, 0.635052", \ + "0.0261883, 0.074467, 0.104255, 0.154261, 0.240452, 0.387578, 0.635053", \ + "0.0292154, 0.076503, 0.10598, 0.155694, 0.241478, 0.388054, 0.635284", \ + "0.0350782, 0.0803271, 0.10883, 0.157977, 0.243538, 0.38943, 0.635931", \ + "0.0451658, 0.0880302, 0.11473, 0.162547, 0.246287, 0.3915, 0.637973", \ + "0.059748, 0.10277, 0.127185, 0.171224, 0.252117, 0.39455, 0.639816", \ + "0.08195, 0.128004, 0.151443, 0.192904, 0.26898, 0.407738, 0.647829" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012905, 0.0136906, 0.0137717, 0.0137942, 0.0135359, 0.0131459, 0.0123447", \ + "0.0131314, 0.0132735, 0.0134284, 0.0135852, 0.0134605, 0.0130531, 0.0125429", \ + "0.0136685, 0.0134466, 0.0134318, 0.0135136, 0.0135181, 0.0127924, 0.0120129", \ + "0.0152394, 0.0143365, 0.0143493, 0.0144296, 0.0139206, 0.0142547, 0.0127147", \ + "0.0186274, 0.0166822, 0.0163536, 0.0163692, 0.0159825, 0.0155915, 0.0152667", \ + "0.0258696, 0.0217698, 0.0213155, 0.0210129, 0.0208735, 0.0202122, 0.0197471", \ + "0.0400073, 0.0333289, 0.0326592, 0.0317121, 0.0311083, 0.0302949, 0.0299001" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0194301, 0.0189285, 0.0191045, 0.0189219, 0.0189687, 0.0184129, 0.0174514", \ + "0.0192753, 0.0186076, 0.0189028, 0.0189204, 0.0187514, 0.0184998, 0.0177064", \ + "0.0195839, 0.0186504, 0.0186542, 0.0187926, 0.0193594, 0.0186018, 0.0177243", \ + "0.0204109, 0.0188042, 0.0190932, 0.0190534, 0.0187092, 0.0189115, 0.01778", \ + "0.0229198, 0.0202862, 0.0204902, 0.0203919, 0.0206608, 0.0205054, 0.0191645", \ + "0.0286658, 0.0245274, 0.0246702, 0.0244465, 0.0247616, 0.0244648, 0.0244939", \ + "0.0414773, 0.0348166, 0.0342067, 0.0337155, 0.0338693, 0.03268, 0.0325638" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0126315, 0.0136372, 0.0136919, 0.0136973, 0.0134426, 0.0131516, 0.0121946", \ + "0.0128012, 0.0130626, 0.013323, 0.013346, 0.0132106, 0.0130722, 0.0123146", \ + "0.0133309, 0.0132342, 0.0132395, 0.013305, 0.0136483, 0.01281, 0.0119251", \ + "0.014859, 0.0140772, 0.0140289, 0.0140632, 0.0137109, 0.0141237, 0.012801", \ + "0.0181415, 0.0161819, 0.0159684, 0.0159853, 0.0158385, 0.0153044, 0.0148192", \ + "0.0254513, 0.0212026, 0.020832, 0.0205166, 0.0205073, 0.020189, 0.0195038", \ + "0.0390763, 0.0320448, 0.0313016, 0.0306207, 0.0300805, 0.0289614, 0.0285313" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0172551, 0.0167333, 0.0169466, 0.0168836, 0.0167164, 0.0164459, 0.0151259", \ + "0.0172171, 0.0164399, 0.0167501, 0.0167334, 0.0169778, 0.0162507, 0.0156128", \ + "0.0177524, 0.0165382, 0.0165054, 0.016645, 0.0167507, 0.016418, 0.0154588", \ + "0.0189747, 0.0170281, 0.017172, 0.0172406, 0.0167956, 0.016823, 0.0157517", \ + "0.0219979, 0.0186152, 0.0188828, 0.0188743, 0.0189508, 0.018644, 0.0173422", \ + "0.0282227, 0.0230976, 0.0229795, 0.0228282, 0.0234062, 0.0230893, 0.0230244", \ + "0.0404337, 0.0333067, 0.0323681, 0.0320624, 0.0311473, 0.0309166, 0.0312521" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0124693, 0.0135888, 0.0136368, 0.0136765, 0.0133806, 0.0130396, 0.0121137", \ + "0.0126424, 0.0129421, 0.013126, 0.0131467, 0.012935, 0.0124992, 0.0118805", \ + "0.0131883, 0.0131352, 0.0131139, 0.0131917, 0.0131706, 0.0125468, 0.0118127", \ + "0.014782, 0.0139562, 0.0138601, 0.0138815, 0.0136111, 0.0136602, 0.0127667", \ + "0.018037, 0.0159348, 0.0158938, 0.0155902, 0.0154443, 0.0147834, 0.0141617", \ + "0.0252568, 0.0209916, 0.0207664, 0.0204465, 0.0199715, 0.0196887, 0.0186973", \ + "0.0399313, 0.0324659, 0.0317184, 0.0308784, 0.0300701, 0.0291653, 0.0287898" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0148865, 0.0143723, 0.0145444, 0.014426, 0.0143335, 0.0138469, 0.0132276", \ + "0.0150606, 0.0141552, 0.0143921, 0.0143676, 0.0144939, 0.0141697, 0.0133766", \ + "0.0159228, 0.0145426, 0.0145065, 0.014641, 0.0151643, 0.0144371, 0.0134458", \ + "0.0178549, 0.0154261, 0.0154727, 0.0154851, 0.0150747, 0.0151531, 0.014042", \ + "0.021429, 0.0174613, 0.0173823, 0.0175661, 0.0175149, 0.0172116, 0.0159491", \ + "0.0282766, 0.0225712, 0.022139, 0.021694, 0.021882, 0.0221264, 0.0218617", \ + "0.0415812, 0.0328096, 0.0319362, 0.031417, 0.0308795, 0.030072, 0.0301195" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00279834; + rise_capacitance : 0.00276125; + rise_capacitance_range (0.00276125, 0.00276125); + fall_capacitance : 0.00283544; + fall_capacitance_range (0.00283544, 0.00283544); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00273227; + rise_capacitance : 0.00275384; + rise_capacitance_range (0.00275384, 0.00275384); + fall_capacitance : 0.0027107; + fall_capacitance_range (0.0027107, 0.0027107); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00259059; + rise_capacitance : 0.00272435; + rise_capacitance_range (0.00272435, 0.00272435); + fall_capacitance : 0.00245684; + fall_capacitance_range (0.00245684, 0.00245684); + } + } + cell (sg13g2_or4_1) { + area : 14.5152; + cell_footprint : "or4"; + cell_leakage_power : 322.798; + leakage_power () { + value : 433.565; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 373.067; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 309.37; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 371.815; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 251.785; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 320.537; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 313.633; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 390.536; + when : "!A&B&C&D"; + } + leakage_power () { + value : 194.431; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 264.415; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 262.68; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 342.829; + when : "A&!B&C&D"; + } + leakage_power () { + value : 256.606; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 336.101; + when : "A&B&!C&D"; + } + leakage_power () { + value : 332.558; + when : "A&B&C&!D"; + } + leakage_power () { + value : 410.841; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.048125, 0.0935444, 0.121817, 0.168085, 0.244471, 0.371766, 0.583245", \ + "0.0820219, 0.128001, 0.156262, 0.202273, 0.278798, 0.405945, 0.618572", \ + "0.103248, 0.150744, 0.178833, 0.224802, 0.301357, 0.428559, 0.640094", \ + "0.135843, 0.186505, 0.214595, 0.260688, 0.336948, 0.464299, 0.675798", \ + "0.17733, 0.233168, 0.260999, 0.306789, 0.38267, 0.508911, 0.72033", \ + "0.233384, 0.300618, 0.328357, 0.374012, 0.45234, 0.576696, 0.789035", \ + "0.310227, 0.391206, 0.424041, 0.471543, 0.549481, 0.675765, 0.886996" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0127198, 0.0687925, 0.108428, 0.174953, 0.286036, 0.471855, 0.781641", \ + "0.0153075, 0.0687935, 0.108429, 0.174954, 0.286037, 0.472972, 0.782866", \ + "0.0179728, 0.0693666, 0.108806, 0.174975, 0.286184, 0.472973, 0.782867", \ + "0.0223868, 0.0713411, 0.109795, 0.175423, 0.28652, 0.472974, 0.782868", \ + "0.0287867, 0.07567, 0.112546, 0.176882, 0.287448, 0.472975, 0.782869", \ + "0.039146, 0.084979, 0.119345, 0.18094, 0.289974, 0.474743, 0.783805", \ + "0.05446, 0.104494, 0.134585, 0.191806, 0.297661, 0.481215, 0.788854" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.119261, 0.176356, 0.204281, 0.245643, 0.311516, 0.419116, 0.597816", \ + "0.138297, 0.195683, 0.223415, 0.264978, 0.331039, 0.438727, 0.617581", \ + "0.14946, 0.207938, 0.236049, 0.277785, 0.343908, 0.451719, 0.630492", \ + "0.164879, 0.225775, 0.254214, 0.296601, 0.363067, 0.471224, 0.650199", \ + "0.179585, 0.245374, 0.274982, 0.319105, 0.385963, 0.494525, 0.673893", \ + "0.203295, 0.277751, 0.310315, 0.356538, 0.42712, 0.53633, 0.715995", \ + "0.23844, 0.325889, 0.363848, 0.41523, 0.491276, 0.606026, 0.790246" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0231237, 0.0717873, 0.102152, 0.151985, 0.238114, 0.385012, 0.632843", \ + "0.0236028, 0.0720294, 0.102153, 0.152068, 0.238313, 0.385411, 0.632844", \ + "0.0245251, 0.0731042, 0.103056, 0.152841, 0.238661, 0.385476, 0.633412", \ + "0.0265493, 0.0758332, 0.105291, 0.154823, 0.240203, 0.386198, 0.633413", \ + "0.0311191, 0.0814176, 0.110212, 0.159418, 0.243036, 0.387809, 0.63428", \ + "0.039871, 0.092972, 0.121888, 0.169343, 0.251939, 0.392954, 0.636639", \ + "0.054468, 0.11222, 0.142073, 0.190125, 0.272108, 0.412018, 0.651035" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0474666, 0.091901, 0.119846, 0.165681, 0.242011, 0.36914, 0.580344", \ + "0.0797088, 0.125011, 0.152952, 0.198777, 0.275133, 0.402205, 0.613738", \ + "0.0994651, 0.146307, 0.174229, 0.220029, 0.296477, 0.423511, 0.634989", \ + "0.129659, 0.179631, 0.206921, 0.253336, 0.329488, 0.456453, 0.667842", \ + "0.166818, 0.222308, 0.250483, 0.295784, 0.371561, 0.497515, 0.70876", \ + "0.21495, 0.280699, 0.310145, 0.355732, 0.431614, 0.558931, 0.770431", \ + "0.274981, 0.356638, 0.38987, 0.437855, 0.51511, 0.642329, 0.854207" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0120533, 0.0676591, 0.107702, 0.173901, 0.285439, 0.471397, 0.781201", \ + "0.0147961, 0.0679306, 0.107703, 0.173983, 0.28544, 0.47149, 0.781482", \ + "0.0174705, 0.0686443, 0.108101, 0.174322, 0.285586, 0.471491, 0.781483", \ + "0.0218209, 0.0707607, 0.109143, 0.174782, 0.286024, 0.471679, 0.781484", \ + "0.028585, 0.0749572, 0.111631, 0.176256, 0.286848, 0.47242, 0.781956", \ + "0.03909, 0.085308, 0.119282, 0.180642, 0.289542, 0.474293, 0.78345", \ + "0.054818, 0.105544, 0.135557, 0.192869, 0.297954, 0.481191, 0.788564" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.115837, 0.173114, 0.200915, 0.242155, 0.308144, 0.415621, 0.594456", \ + "0.135734, 0.193326, 0.221016, 0.26275, 0.328667, 0.436421, 0.615273", \ + "0.148186, 0.207261, 0.235328, 0.277403, 0.343576, 0.451432, 0.630292", \ + "0.16739, 0.229172, 0.25777, 0.300185, 0.367008, 0.475322, 0.654392", \ + "0.190487, 0.257798, 0.287696, 0.331921, 0.398937, 0.507614, 0.686838", \ + "0.231201, 0.307358, 0.33995, 0.385994, 0.455907, 0.564987, 0.744489", \ + "0.293183, 0.381601, 0.419074, 0.470138, 0.544212, 0.658262, 0.842969" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0230908, 0.0721636, 0.102122, 0.15195, 0.238072, 0.384967, 0.632881", \ + "0.0238708, 0.0722467, 0.102146, 0.15226, 0.238232, 0.385381, 0.632882", \ + "0.0251612, 0.0737269, 0.103517, 0.153267, 0.239025, 0.385474, 0.63298", \ + "0.0278931, 0.0768673, 0.106193, 0.155587, 0.240822, 0.386755, 0.633676", \ + "0.0338449, 0.082994, 0.111269, 0.160049, 0.243648, 0.388503, 0.635167", \ + "0.043241, 0.094943, 0.122996, 0.169394, 0.251513, 0.392969, 0.637114", \ + "0.057647, 0.114036, 0.142371, 0.189191, 0.269207, 0.409429, 0.649587" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0451467, 0.0885947, 0.116348, 0.161977, 0.238358, 0.365189, 0.576222", \ + "0.0753296, 0.119932, 0.147776, 0.193452, 0.269837, 0.396661, 0.60793", \ + "0.0932668, 0.139586, 0.167366, 0.213001, 0.289307, 0.416225, 0.627525", \ + "0.120526, 0.169901, 0.197667, 0.243188, 0.319288, 0.446126, 0.657452", \ + "0.152399, 0.20832, 0.236104, 0.281275, 0.357236, 0.48416, 0.695361", \ + "0.190995, 0.258713, 0.287961, 0.333712, 0.409969, 0.536777, 0.74753", \ + "0.233665, 0.317216, 0.351052, 0.398979, 0.476541, 0.604933, 0.817129" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0113654, 0.0667246, 0.106893, 0.173425, 0.285203, 0.471017, 0.781194", \ + "0.0144001, 0.0673773, 0.107169, 0.173615, 0.285204, 0.471024, 0.781463", \ + "0.0170999, 0.068274, 0.107569, 0.173876, 0.285256, 0.47109, 0.781464", \ + "0.0215602, 0.0703322, 0.108759, 0.174402, 0.285664, 0.471429, 0.781465", \ + "0.0287967, 0.0750135, 0.111506, 0.175908, 0.286489, 0.472036, 0.781721", \ + "0.039787, 0.086594, 0.119595, 0.180725, 0.28939, 0.474028, 0.782906", \ + "0.056597, 0.108625, 0.13864, 0.194068, 0.298992, 0.481369, 0.788788" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.10676, 0.163866, 0.191703, 0.232945, 0.298956, 0.406664, 0.585336", \ + "0.127646, 0.185406, 0.213294, 0.254867, 0.320805, 0.428655, 0.607494", \ + "0.142216, 0.201805, 0.230011, 0.272069, 0.338372, 0.44645, 0.625204", \ + "0.167005, 0.228881, 0.257425, 0.299821, 0.366737, 0.475464, 0.65444", \ + "0.200294, 0.266876, 0.296883, 0.340479, 0.407302, 0.51605, 0.695692", \ + "0.253088, 0.328791, 0.361176, 0.405859, 0.47429, 0.583451, 0.763079", \ + "0.332872, 0.419949, 0.456243, 0.505842, 0.577918, 0.691311, 0.874904" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.023131, 0.0720592, 0.102296, 0.152116, 0.238128, 0.385082, 0.632599", \ + "0.0242129, 0.0725014, 0.102487, 0.152338, 0.238329, 0.385311, 0.632805", \ + "0.0259452, 0.0743919, 0.104159, 0.153826, 0.239411, 0.385618, 0.633163", \ + "0.0292698, 0.077392, 0.106607, 0.155966, 0.24152, 0.387291, 0.634042", \ + "0.0358995, 0.0828648, 0.111719, 0.159807, 0.243646, 0.389003, 0.635765", \ + "0.045764, 0.094181, 0.121891, 0.167535, 0.249119, 0.392004, 0.63789", \ + "0.060282, 0.113893, 0.140705, 0.1863, 0.2655, 0.406606, 0.647649" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0410841, 0.0840656, 0.111757, 0.157264, 0.233632, 0.360162, 0.571445", \ + "0.0684531, 0.112924, 0.14071, 0.186301, 0.262615, 0.389343, 0.600616", \ + "0.0840537, 0.130089, 0.157731, 0.203416, 0.279523, 0.406367, 0.617623", \ + "0.107759, 0.157419, 0.184748, 0.230133, 0.305873, 0.432699, 0.643936", \ + "0.133516, 0.191035, 0.218214, 0.263845, 0.339274, 0.46545, 0.675643", \ + "0.161876, 0.232103, 0.261952, 0.307689, 0.383836, 0.509351, 0.720051", \ + "0.186684, 0.273823, 0.309589, 0.359551, 0.438018, 0.566186, 0.779599" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108288, 0.0664389, 0.106644, 0.17327, 0.285216, 0.470954, 0.78098", \ + "0.0141485, 0.0671847, 0.107, 0.173429, 0.285217, 0.470956, 0.781323", \ + "0.0170398, 0.0681707, 0.107512, 0.173716, 0.285218, 0.471053, 0.781324", \ + "0.0218469, 0.0703397, 0.108757, 0.174277, 0.285577, 0.471261, 0.781325", \ + "0.0297249, 0.0761117, 0.112293, 0.17614, 0.286498, 0.472077, 0.781617", \ + "0.041707, 0.089937, 0.122595, 0.182741, 0.290678, 0.474699, 0.783033", \ + "0.06046, 0.115447, 0.145819, 0.200613, 0.303609, 0.484833, 0.791595" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0905678, 0.14772, 0.175207, 0.216976, 0.282939, 0.39065, 0.569492", \ + "0.114687, 0.172259, 0.200117, 0.241808, 0.307867, 0.41569, 0.59438", \ + "0.133216, 0.191333, 0.21943, 0.26168, 0.328071, 0.436131, 0.615124", \ + "0.16283, 0.222227, 0.250443, 0.292902, 0.359675, 0.468726, 0.648338", \ + "0.201632, 0.263925, 0.292963, 0.335376, 0.401889, 0.510901, 0.690978", \ + "0.257554, 0.32922, 0.360108, 0.404358, 0.471674, 0.580753, 0.760266", \ + "0.344079, 0.427932, 0.462561, 0.509735, 0.58042, 0.693042, 0.874926" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0231694, 0.0720444, 0.102253, 0.151879, 0.238103, 0.385109, 0.632874", \ + "0.024175, 0.0726238, 0.102491, 0.152525, 0.238433, 0.385181, 0.632875", \ + "0.02611, 0.0743155, 0.10419, 0.154141, 0.239671, 0.385782, 0.633314", \ + "0.0300732, 0.0766342, 0.106228, 0.156049, 0.241805, 0.387873, 0.634293", \ + "0.0372452, 0.081289, 0.109994, 0.158386, 0.243474, 0.389755, 0.636778", \ + "0.048199, 0.092378, 0.119231, 0.165901, 0.2481, 0.392318, 0.638649", \ + "0.064541, 0.113091, 0.138425, 0.183238, 0.263051, 0.405138, 0.647585" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00877904, 0.00925723, 0.00924484, 0.00925224, 0.00911394, 0.00888654, 0.0084465", \ + "0.00875703, 0.008878, 0.00896221, 0.00905109, 0.00882352, 0.00881197, 0.00848497", \ + "0.00899108, 0.00908437, 0.00904902, 0.00905516, 0.0092755, 0.00879426, 0.00834196", \ + "0.00993808, 0.00972338, 0.00976096, 0.00978349, 0.00951686, 0.00981944, 0.00910776", \ + "0.0123075, 0.0117363, 0.0116424, 0.0115829, 0.0114706, 0.0110518, 0.0107935", \ + "0.0176385, 0.0164062, 0.0162837, 0.01608, 0.0160773, 0.0157034, 0.0155974", \ + "0.0290752, 0.0269638, 0.0265611, 0.026084, 0.0258555, 0.0252854, 0.0247759" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134845, 0.0135859, 0.0137054, 0.0136905, 0.0136094, 0.0133418, 0.0128905", \ + "0.0132715, 0.0133682, 0.013516, 0.0134982, 0.0134301, 0.0132846, 0.0128718", \ + "0.0133857, 0.0133657, 0.0134092, 0.0134487, 0.0134883, 0.013347, 0.0128684", \ + "0.01366, 0.0134816, 0.0135911, 0.0136672, 0.0134836, 0.0135097, 0.0129472", \ + "0.0148866, 0.0144488, 0.0144352, 0.0146248, 0.014625, 0.0145985, 0.0140436", \ + "0.0191795, 0.0180581, 0.0179805, 0.0178823, 0.018103, 0.018091, 0.0181917", \ + "0.0296681, 0.0276151, 0.0273822, 0.02714, 0.0270866, 0.0265714, 0.0266655" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00836551, 0.00891472, 0.00897327, 0.00889644, 0.00880972, 0.00861391, 0.00812031", \ + "0.00827577, 0.00847679, 0.00855611, 0.00858324, 0.00847181, 0.00824872, 0.00791765", \ + "0.00848728, 0.00861883, 0.00863503, 0.00865316, 0.00887865, 0.00841349, 0.00801109", \ + "0.00939976, 0.0092243, 0.00917696, 0.00935382, 0.00917256, 0.00920279, 0.00861454", \ + "0.0116233, 0.0111018, 0.0110607, 0.0110048, 0.0109573, 0.0105629, 0.0102533", \ + "0.016862, 0.0156733, 0.0155722, 0.0154019, 0.0152188, 0.0152236, 0.0151048", \ + "0.027628, 0.0255256, 0.0252219, 0.024858, 0.0245895, 0.0240548, 0.0238268" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.013732, 0.0138781, 0.013973, 0.0139111, 0.0139035, 0.0135222, 0.0132145", \ + "0.0135564, 0.0136285, 0.0137495, 0.013763, 0.0136518, 0.0135541, 0.0130932", \ + "0.0136826, 0.0136058, 0.0136115, 0.0137166, 0.0139211, 0.0135219, 0.0130634", \ + "0.0141296, 0.0138237, 0.0139241, 0.0139324, 0.0137721, 0.0138071, 0.0133042", \ + "0.0156942, 0.0150136, 0.0150228, 0.0152268, 0.0152473, 0.0151607, 0.0144716", \ + "0.0202219, 0.0190241, 0.018932, 0.0188542, 0.0190581, 0.0189638, 0.0188141", \ + "0.0302542, 0.0279898, 0.0277242, 0.0275714, 0.027404, 0.0269928, 0.0274606" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00705689, 0.00759356, 0.00763013, 0.00759215, 0.00753446, 0.00728132, 0.00680485", \ + "0.00695863, 0.00721962, 0.00730912, 0.00741036, 0.00721283, 0.00699552, 0.00660953", \ + "0.00723306, 0.00738137, 0.00742206, 0.00739249, 0.00755623, 0.00711965, 0.00671127", \ + "0.00804239, 0.00795874, 0.00802751, 0.00804167, 0.0078655, 0.0080726, 0.00763883", \ + "0.0103204, 0.00978714, 0.00973014, 0.00966464, 0.00969144, 0.00951254, 0.00921068", \ + "0.0153409, 0.0141492, 0.0140248, 0.0139454, 0.0138729, 0.0137896, 0.0135173", \ + "0.0258384, 0.0235826, 0.0233429, 0.0227676, 0.0225874, 0.0223229, 0.0221841" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122273, 0.0123612, 0.0124115, 0.0123807, 0.0123026, 0.0122048, 0.0116429", \ + "0.0120981, 0.0120991, 0.012254, 0.0122125, 0.0122657, 0.0120265, 0.0116336", \ + "0.0123097, 0.0121608, 0.0121808, 0.012231, 0.0125192, 0.0121548, 0.0116198", \ + "0.0129824, 0.012585, 0.0126648, 0.0126506, 0.0125596, 0.0125025, 0.012001", \ + "0.0150216, 0.0141268, 0.0142612, 0.0143332, 0.0143396, 0.0141626, 0.0134814", \ + "0.0195783, 0.0180199, 0.0180524, 0.0178383, 0.0178886, 0.0179143, 0.0179232", \ + "0.0292106, 0.0267035, 0.0263834, 0.0262251, 0.025923, 0.0257747, 0.0261202" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00656137, 0.00716184, 0.00718054, 0.00712006, 0.00706555, 0.00675596, 0.00628358", \ + "0.00647636, 0.00681608, 0.0068779, 0.00692626, 0.00679143, 0.00657065, 0.00622268", \ + "0.00673978, 0.00693664, 0.00696578, 0.00696123, 0.00714763, 0.00706867, 0.00660996", \ + "0.00763571, 0.00759064, 0.00762539, 0.00761582, 0.00742329, 0.00755483, 0.00714045", \ + "0.0100241, 0.00954342, 0.00946582, 0.00950652, 0.00944292, 0.00908356, 0.00855582", \ + "0.0152899, 0.0140195, 0.0139004, 0.0137873, 0.0136686, 0.0134607, 0.0131948", \ + "0.0259698, 0.0236293, 0.0234758, 0.0231261, 0.0228439, 0.0224871, 0.0223689" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00969034, 0.00983907, 0.00981078, 0.00993032, 0.00990913, 0.00958245, 0.00931313", \ + "0.00973436, 0.00969357, 0.00981255, 0.00982985, 0.00988298, 0.00964261, 0.00920419", \ + "0.0102892, 0.0100599, 0.0100283, 0.0101023, 0.0103999, 0.0100123, 0.00956991", \ + "0.0113465, 0.0108476, 0.0108817, 0.0108879, 0.010684, 0.0108089, 0.0102812", \ + "0.0138012, 0.0127686, 0.0128566, 0.0127994, 0.0127608, 0.0125746, 0.0120447", \ + "0.0188046, 0.016999, 0.0169344, 0.0169018, 0.0168887, 0.0170466, 0.0169584", \ + "0.028959, 0.0261384, 0.0258185, 0.0255909, 0.0253255, 0.0251985, 0.0251205" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00281758; + rise_capacitance : 0.0027515; + rise_capacitance_range (0.0027515, 0.0027515); + fall_capacitance : 0.00288366; + fall_capacitance_range (0.00288366, 0.00288366); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000425827, -0.000444894, -0.000450125, -0.000454936, -0.000460141, -0.000466363, -0.000473373" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00261688, 0.00264434, 0.00261126, 0.00261241, 0.00262416, 0.00262248, 0.00262096" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000425827, -0.000444894, -0.000450125, -0.000454936, -0.000460141, -0.000466363, -0.000473373" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00261688, 0.00264434, 0.00261126, 0.00261241, 0.00262416, 0.00262248, 0.00262096" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00278109; + rise_capacitance : 0.00272764; + rise_capacitance_range (0.00272764, 0.00272764); + fall_capacitance : 0.00283454; + fall_capacitance_range (0.00283454, 0.00283454); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000251227, -0.000257526, -0.000254808, -0.0002487, -0.000255268, -0.000256013, -0.000255031" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000251227, 0.000257526, 0.000254808, 0.0002487, 0.000255268, 0.000256013, 0.000255031" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000251227, -0.000257526, -0.000254808, -0.0002487, -0.000255268, -0.000256013, -0.000255031" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000251227, 0.000257526, 0.000254808, 0.0002487, 0.000255268, 0.000256013, 0.000255031" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00229776; + rise_capacitance : 0.00225772; + rise_capacitance_range (0.00225772, 0.00225772); + fall_capacitance : 0.0023378; + fall_capacitance_range (0.0023378, 0.0023378); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000663537, 0.000664661, 0.000667053, 0.000669146, 0.000671061, 0.000671967, 0.000670403" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00038034, -0.000376029, -0.000376128, -0.000375811, -0.000375704, -0.000376326, -0.000374572" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000663537, 0.000664661, 0.000667053, 0.000669146, 0.000671061, 0.000671967, 0.000670403" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00038034, -0.000376029, -0.000376128, -0.000375811, -0.000375704, -0.000376326, -0.000374572" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00233065; + rise_capacitance : 0.00231615; + rise_capacitance_range (0.00231615, 0.00231615); + fall_capacitance : 0.00234514; + fall_capacitance_range (0.00234514, 0.00234514); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000856623, 0.000853453, 0.000856227, 0.000858207, 0.000860083, 0.000859843, 0.000857966" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000266138, -0.000256773, -0.000255524, -0.000256393, -0.000250694, -0.000244168, -0.000245564" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000856623, 0.000853453, 0.000856227, 0.000858207, 0.000860083, 0.000859843, 0.000857966" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000266138, -0.000256773, -0.000255524, -0.000256393, -0.000250694, -0.000244168, -0.000245564" \ + ); + } + } + } + } + cell (sg13g2_or4_2) { + area : 16.3296; + cell_footprint : "or4"; + cell_leakage_power : 406.66; + leakage_power () { + value : 591.411; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 451.997; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 388.3; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 450.744; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 330.714; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 399.467; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 392.563; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 469.466; + when : "!A&B&C&D"; + } + leakage_power () { + value : 273.361; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 343.344; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 341.609; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 421.758; + when : "A&!B&C&D"; + } + leakage_power () { + value : 335.536; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 415.031; + when : "A&B&!C&D"; + } + leakage_power () { + value : 411.488; + when : "A&B&C&!D"; + } + leakage_power () { + value : 489.771; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0551906, 0.105073, 0.133464, 0.179572, 0.256173, 0.383405, 0.594935", \ + "0.0920881, 0.143114, 0.171296, 0.217346, 0.293929, 0.42102, 0.632786", \ + "0.116357, 0.169217, 0.197452, 0.243287, 0.319854, 0.446941, 0.658368", \ + "0.153661, 0.210889, 0.239231, 0.285006, 0.361138, 0.488224, 0.699609", \ + "0.201477, 0.265353, 0.293694, 0.339235, 0.415273, 0.542185, 0.753544", \ + "0.268064, 0.341849, 0.372056, 0.418356, 0.494058, 0.619728, 0.831685", \ + "0.358125, 0.450538, 0.482933, 0.531782, 0.606635, 0.735262, 0.94601" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.014004, 0.069826, 0.109467, 0.175749, 0.287057, 0.47317, 0.783005", \ + "0.0167729, 0.0704521, 0.109581, 0.175766, 0.287058, 0.473342, 0.783167", \ + "0.0199391, 0.0716698, 0.110344, 0.176035, 0.287203, 0.473343, 0.783168", \ + "0.0254948, 0.0747713, 0.112197, 0.176901, 0.28777, 0.473344, 0.783169", \ + "0.0334192, 0.0819071, 0.116544, 0.179286, 0.288942, 0.474249, 0.783836", \ + "0.046781, 0.094376, 0.125912, 0.185132, 0.292118, 0.476182, 0.785298", \ + "0.067777, 0.11836, 0.147507, 0.200744, 0.30198, 0.482725, 0.790107" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.1497, 0.217796, 0.247942, 0.292452, 0.359945, 0.468434, 0.647299", \ + "0.169064, 0.237473, 0.267665, 0.311736, 0.379547, 0.48812, 0.666936", \ + "0.18155, 0.25052, 0.280938, 0.325015, 0.393071, 0.501797, 0.680655", \ + "0.199709, 0.270637, 0.301771, 0.346378, 0.414924, 0.523915, 0.702689", \ + "0.21999, 0.295009, 0.326987, 0.373216, 0.442165, 0.551592, 0.730851", \ + "0.253132, 0.335208, 0.370041, 0.418572, 0.48967, 0.600604, 0.78013", \ + "0.302775, 0.395318, 0.434263, 0.487259, 0.565209, 0.679485, 0.862658" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0318746, 0.0845561, 0.114753, 0.164766, 0.249005, 0.393479, 0.639524", \ + "0.0321857, 0.0846821, 0.114754, 0.164767, 0.249006, 0.39348, 0.639525", \ + "0.0331908, 0.0854502, 0.115448, 0.164811, 0.249017, 0.393704, 0.640459", \ + "0.0355905, 0.0880475, 0.118048, 0.166905, 0.250765, 0.39486, 0.64046", \ + "0.0407592, 0.0939391, 0.123072, 0.171705, 0.254158, 0.397023, 0.641657", \ + "0.050949, 0.105363, 0.135004, 0.182508, 0.262468, 0.40227, 0.644094", \ + "0.068246, 0.125808, 0.155447, 0.20369, 0.284113, 0.420631, 0.657248" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0542527, 0.103127, 0.13125, 0.177165, 0.253492, 0.380704, 0.591968", \ + "0.0898618, 0.140108, 0.168234, 0.214072, 0.290445, 0.417483, 0.628796", \ + "0.112806, 0.164988, 0.193016, 0.238822, 0.31509, 0.442094, 0.653441", \ + "0.147835, 0.204535, 0.232758, 0.278437, 0.354565, 0.481169, 0.692561", \ + "0.192085, 0.255534, 0.283929, 0.328995, 0.405071, 0.531494, 0.742479", \ + "0.251155, 0.325703, 0.355784, 0.401465, 0.47689, 0.603543, 0.81431", \ + "0.328031, 0.418325, 0.453537, 0.502376, 0.578153, 0.704137, 0.915992" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0132879, 0.0688838, 0.108573, 0.174909, 0.286483, 0.472521, 0.782669", \ + "0.0162583, 0.0697437, 0.108885, 0.175045, 0.286489, 0.472846, 0.78267", \ + "0.0194909, 0.071032, 0.109619, 0.175417, 0.286638, 0.472847, 0.782827", \ + "0.0250579, 0.0741896, 0.111654, 0.176262, 0.287264, 0.47292, 0.782828", \ + "0.0331629, 0.0815141, 0.11609, 0.17893, 0.288359, 0.473745, 0.783332", \ + "0.047062, 0.094564, 0.125792, 0.184578, 0.291793, 0.475847, 0.784948", \ + "0.068475, 0.120572, 0.149052, 0.201734, 0.3024, 0.482638, 0.790113" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.146209, 0.214641, 0.244881, 0.289015, 0.356537, 0.465024, 0.643891", \ + "0.166783, 0.235165, 0.265696, 0.309573, 0.377427, 0.486012, 0.664776", \ + "0.181327, 0.250594, 0.281148, 0.32552, 0.393435, 0.502135, 0.680968", \ + "0.204551, 0.276153, 0.30736, 0.352172, 0.420857, 0.52989, 0.708892", \ + "0.235681, 0.311484, 0.343976, 0.389744, 0.459069, 0.568572, 0.74791", \ + "0.286902, 0.371306, 0.406015, 0.454572, 0.526155, 0.635819, 0.815257", \ + "0.362507, 0.457037, 0.496544, 0.549697, 0.62601, 0.741563, 0.922836" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0318479, 0.0846852, 0.115111, 0.164754, 0.248948, 0.393477, 0.639535", \ + "0.0323387, 0.0846862, 0.115112, 0.164755, 0.248949, 0.393478, 0.639536", \ + "0.0337368, 0.085879, 0.115862, 0.165297, 0.249273, 0.393939, 0.639837", \ + "0.0369348, 0.0891127, 0.118838, 0.167783, 0.251395, 0.395356, 0.640117", \ + "0.0438393, 0.0957054, 0.124811, 0.172486, 0.254891, 0.397802, 0.642219", \ + "0.056036, 0.1085, 0.136408, 0.18315, 0.263299, 0.40256, 0.644769", \ + "0.074844, 0.13091, 0.159318, 0.205183, 0.283208, 0.420109, 0.655849" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0518028, 0.0997681, 0.127645, 0.173471, 0.249723, 0.376789, 0.587985", \ + "0.0858476, 0.135503, 0.163376, 0.209048, 0.285407, 0.412318, 0.624111", \ + "0.107271, 0.159069, 0.186907, 0.232623, 0.308771, 0.435657, 0.646995", \ + "0.139599, 0.196169, 0.224124, 0.269699, 0.34573, 0.472341, 0.683563", \ + "0.179766, 0.243392, 0.271808, 0.31701, 0.392179, 0.518496, 0.72973", \ + "0.231018, 0.307029, 0.336912, 0.381989, 0.457806, 0.583711, 0.794421", \ + "0.291669, 0.382872, 0.418923, 0.469935, 0.545174, 0.671427, 0.882858" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0126342, 0.0682818, 0.107984, 0.174482, 0.286066, 0.472219, 0.782371", \ + "0.0158481, 0.0692226, 0.108419, 0.174618, 0.286168, 0.473044, 0.78306", \ + "0.0192031, 0.070545, 0.109251, 0.175018, 0.286375, 0.473045, 0.783061", \ + "0.0247789, 0.073954, 0.111261, 0.175953, 0.286919, 0.473046, 0.783062", \ + "0.0334033, 0.0812542, 0.11585, 0.178706, 0.288127, 0.473472, 0.783165", \ + "0.048073, 0.096076, 0.127181, 0.185193, 0.29167, 0.475612, 0.784628", \ + "0.071081, 0.123842, 0.15204, 0.204572, 0.302988, 0.483062, 0.789842" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.137114, 0.20547, 0.235794, 0.279756, 0.347354, 0.45575, 0.634762", \ + "0.159261, 0.227853, 0.258108, 0.302162, 0.369943, 0.478746, 0.657762", \ + "0.177295, 0.246753, 0.277389, 0.321679, 0.389672, 0.498514, 0.677262", \ + "0.207829, 0.279073, 0.310516, 0.355037, 0.423963, 0.533224, 0.712349", \ + "0.250571, 0.325834, 0.358227, 0.40345, 0.472565, 0.582012, 0.762366", \ + "0.316143, 0.399791, 0.43486, 0.481654, 0.552727, 0.661562, 0.840638", \ + "0.414153, 0.508401, 0.547205, 0.598734, 0.674235, 0.785667, 0.967891" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0319253, 0.0847134, 0.114904, 0.164853, 0.248948, 0.393595, 0.639531", \ + "0.032584, 0.08479, 0.114905, 0.164854, 0.249069, 0.393596, 0.639598", \ + "0.0344003, 0.0864547, 0.116228, 0.16538, 0.249461, 0.394026, 0.640666", \ + "0.0386917, 0.0896879, 0.119261, 0.167962, 0.251699, 0.395662, 0.640667", \ + "0.047139, 0.0965052, 0.125308, 0.172762, 0.255204, 0.398377, 0.642563", \ + "0.060803, 0.110074, 0.137093, 0.183051, 0.262661, 0.402095, 0.644936", \ + "0.081263, 0.133374, 0.159692, 0.203015, 0.280605, 0.415471, 0.653713" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0479569, 0.0954108, 0.123201, 0.169066, 0.245114, 0.37217, 0.583185", \ + "0.0801999, 0.129442, 0.157327, 0.203061, 0.279174, 0.406034, 0.618327", \ + "0.0996249, 0.15135, 0.179196, 0.224871, 0.300992, 0.427783, 0.639107", \ + "0.128931, 0.185662, 0.213276, 0.25853, 0.334724, 0.4613, 0.672336", \ + "0.164574, 0.228654, 0.256495, 0.301489, 0.377218, 0.502808, 0.713363", \ + "0.207441, 0.284478, 0.315873, 0.361575, 0.435101, 0.561419, 0.771279", \ + "0.252746, 0.346066, 0.383342, 0.434062, 0.510402, 0.637859, 0.847363" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120702, 0.0681743, 0.107814, 0.174487, 0.285957, 0.472169, 0.78232", \ + "0.0157396, 0.069025, 0.108275, 0.174495, 0.286019, 0.472974, 0.783516", \ + "0.0191374, 0.0705083, 0.109144, 0.174904, 0.286242, 0.472975, 0.783517", \ + "0.0248169, 0.0746233, 0.111351, 0.175879, 0.286772, 0.472976, 0.783518", \ + "0.0343391, 0.0820467, 0.116466, 0.178839, 0.288216, 0.47335, 0.783519", \ + "0.050258, 0.099048, 0.129756, 0.186914, 0.292849, 0.47602, 0.784844", \ + "0.075646, 0.129801, 0.158552, 0.209722, 0.307605, 0.485761, 0.791713" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.121258, 0.189684, 0.220007, 0.263721, 0.331729, 0.440137, 0.619056", \ + "0.14706, 0.215322, 0.245751, 0.289879, 0.357717, 0.466237, 0.645226", \ + "0.169546, 0.238253, 0.268793, 0.313067, 0.381222, 0.489965, 0.669068", \ + "0.206557, 0.276766, 0.307615, 0.352101, 0.420611, 0.530138, 0.709175", \ + "0.255078, 0.329109, 0.359845, 0.404454, 0.472935, 0.583277, 0.761991", \ + "0.32609, 0.407213, 0.440302, 0.488072, 0.556695, 0.665065, 0.843693", \ + "0.431075, 0.523727, 0.561357, 0.611179, 0.68342, 0.794897, 0.975915" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0318362, 0.0847113, 0.114929, 0.164265, 0.248898, 0.393495, 0.639535", \ + "0.0326776, 0.0848311, 0.114995, 0.16457, 0.249053, 0.393496, 0.639685", \ + "0.0350565, 0.0864776, 0.116264, 0.165536, 0.249784, 0.394172, 0.639701", \ + "0.0408336, 0.089703, 0.119328, 0.16818, 0.252187, 0.396083, 0.64075", \ + "0.051106, 0.0964918, 0.12498, 0.1723, 0.255165, 0.398729, 0.643363", \ + "0.066596, 0.111455, 0.136035, 0.180651, 0.260971, 0.401884, 0.645508", \ + "0.090213, 0.137455, 0.162114, 0.202605, 0.277782, 0.414279, 0.653226" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0138819, 0.0145558, 0.0146706, 0.0146741, 0.0144277, 0.0141093, 0.0132624", \ + "0.0141461, 0.0142156, 0.0143749, 0.0144571, 0.0144209, 0.0138818, 0.0130233", \ + "0.0146816, 0.0144025, 0.0144056, 0.0143037, 0.0143004, 0.0136585, 0.0128968", \ + "0.0160822, 0.0149901, 0.0150901, 0.0150808, 0.0144957, 0.0148077, 0.013482", \ + "0.0193243, 0.0171765, 0.0169258, 0.0167637, 0.0167005, 0.0161053, 0.0159205", \ + "0.0262686, 0.0221478, 0.021778, 0.0212829, 0.0210521, 0.0205432, 0.0203347", \ + "0.0404259, 0.0334157, 0.032751, 0.0319505, 0.0309331, 0.0302559, 0.0296309" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.020435, 0.0186691, 0.0186435, 0.0189084, 0.018526, 0.0180407, 0.0174162", \ + "0.0202611, 0.0186545, 0.0188427, 0.0189265, 0.0186884, 0.0184272, 0.0177621", \ + "0.0204592, 0.0184658, 0.0185264, 0.0186817, 0.0186461, 0.0187632, 0.0177119", \ + "0.0209519, 0.018667, 0.0187752, 0.0187814, 0.0184877, 0.0186362, 0.0173764", \ + "0.0226942, 0.0195808, 0.0195325, 0.0197919, 0.0197649, 0.0194373, 0.0182462", \ + "0.0280503, 0.0233267, 0.023205, 0.0230673, 0.0230566, 0.0231735, 0.0233103", \ + "0.0401055, 0.0331431, 0.0323607, 0.032045, 0.0322089, 0.0306979, 0.0302187" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0134399, 0.0142705, 0.0144207, 0.0143987, 0.0142079, 0.0138517, 0.0129898", \ + "0.0136425, 0.0138217, 0.0140809, 0.0142725, 0.014104, 0.0135771, 0.0126796", \ + "0.0141301, 0.0139239, 0.0138949, 0.0140113, 0.0143807, 0.0133817, 0.012584", \ + "0.0155609, 0.0145185, 0.0146883, 0.0147738, 0.0142647, 0.014724, 0.0131402", \ + "0.0186763, 0.0166679, 0.0164797, 0.0162736, 0.0162795, 0.0157238, 0.0153554", \ + "0.0255259, 0.0214533, 0.021006, 0.0205318, 0.0203023, 0.0202738, 0.0198144", \ + "0.0388537, 0.0322758, 0.0314571, 0.03061, 0.0298826, 0.0289368, 0.02872" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0206865, 0.0191099, 0.0190634, 0.0191594, 0.0188056, 0.0183163, 0.0176948", \ + "0.0205432, 0.0188563, 0.0191485, 0.0192566, 0.0190269, 0.0186777, 0.018065", \ + "0.0207951, 0.0187151, 0.0187609, 0.0189888, 0.0193149, 0.0184662, 0.0177006", \ + "0.021559, 0.0190395, 0.0190505, 0.019037, 0.0188029, 0.0189263, 0.0177187", \ + "0.0238546, 0.0202102, 0.0201639, 0.0202003, 0.0202444, 0.0198055, 0.0186937", \ + "0.029572, 0.0242513, 0.0239778, 0.0239095, 0.0243584, 0.0241063, 0.0240322", \ + "0.0416461, 0.0340919, 0.0332193, 0.0327098, 0.0326074, 0.0326704, 0.0321079" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0121143, 0.0130925, 0.0131694, 0.0131787, 0.0129947, 0.0125928, 0.0117222", \ + "0.0123098, 0.0125947, 0.0128066, 0.0127982, 0.0127634, 0.012453, 0.011693", \ + "0.0127743, 0.0127242, 0.0127173, 0.0128142, 0.0129026, 0.0123025, 0.0113593", \ + "0.0142079, 0.0132478, 0.013403, 0.0134259, 0.0130729, 0.0133339, 0.0119815", \ + "0.0172636, 0.0152993, 0.0150464, 0.0149247, 0.0147896, 0.0142884, 0.0138167", \ + "0.0239851, 0.0199334, 0.019632, 0.0190827, 0.0189705, 0.018751, 0.0182556", \ + "0.0374505, 0.0302058, 0.0293876, 0.0286895, 0.0279162, 0.0273297, 0.0269934" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.019188, 0.017585, 0.0177144, 0.0175534, 0.0173134, 0.0166919, 0.0161604", \ + "0.0190769, 0.017337, 0.0176736, 0.0175253, 0.0174472, 0.0173161, 0.016677", \ + "0.0194998, 0.0172358, 0.0172856, 0.0174349, 0.0174247, 0.0175264, 0.0166672", \ + "0.0207383, 0.0177443, 0.0178138, 0.017614, 0.0174044, 0.0176511, 0.0162764", \ + "0.0235458, 0.0192873, 0.019249, 0.019151, 0.0191217, 0.0186498, 0.0173436", \ + "0.0296345, 0.0235375, 0.0232567, 0.0231169, 0.0233698, 0.0231063, 0.022747", \ + "0.0415089, 0.0328264, 0.0319013, 0.0312837, 0.031567, 0.0305056, 0.0309058" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116508, 0.0128198, 0.0127982, 0.0128807, 0.0125664, 0.0122009, 0.011301", \ + "0.0118431, 0.0122235, 0.0124704, 0.0125005, 0.0122578, 0.0121404, 0.0115375", \ + "0.0123625, 0.0123946, 0.0123786, 0.0124365, 0.012649, 0.0118568, 0.0110637", \ + "0.0137264, 0.0130622, 0.0130429, 0.0130153, 0.0128077, 0.0131338, 0.0118208", \ + "0.0171597, 0.0148514, 0.0146965, 0.0145331, 0.0145653, 0.013732, 0.0131152", \ + "0.0239264, 0.0195139, 0.0193097, 0.0189452, 0.018441, 0.0182121, 0.0174371", \ + "0.0376018, 0.0301014, 0.0295688, 0.0286073, 0.0278094, 0.0271626, 0.0260369" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0166939, 0.0151164, 0.0152439, 0.0151145, 0.0151722, 0.014349, 0.0136706", \ + "0.0167048, 0.0148675, 0.0152159, 0.0151062, 0.0150423, 0.0146516, 0.0141717", \ + "0.0174712, 0.0150667, 0.0149934, 0.0151274, 0.0157239, 0.014915, 0.0139528", \ + "0.0191981, 0.01619, 0.0161239, 0.0159915, 0.0155994, 0.0157873, 0.0145481", \ + "0.0227584, 0.0179587, 0.0178727, 0.0178274, 0.0177006, 0.0173628, 0.0161123", \ + "0.0294824, 0.0226709, 0.021981, 0.0215409, 0.0215809, 0.0219146, 0.0211937", \ + "0.0423871, 0.0326009, 0.0316337, 0.0308096, 0.0303213, 0.0297585, 0.0298559" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00281491; + rise_capacitance : 0.0027474; + rise_capacitance_range (0.0027474, 0.0027474); + fall_capacitance : 0.00288241; + fall_capacitance_range (0.00288241, 0.00288241); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000424004, -0.000444215, -0.000448785, -0.000454314, -0.000458769, -0.000464581, -0.000471628" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00262461, 0.00263768, 0.00260961, 0.00261247, 0.00262469, 0.00262238, 0.00262151" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000424004, -0.000444215, -0.000448785, -0.000454314, -0.000458769, -0.000464581, -0.000471628" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00262461, 0.00263768, 0.00260961, 0.00261247, 0.00262469, 0.00262238, 0.00262151" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00277732; + rise_capacitance : 0.0027208; + rise_capacitance_range (0.0027208, 0.0027208); + fall_capacitance : 0.00283385; + fall_capacitance_range (0.00283385, 0.00283385); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000251311, -0.000256278, -0.000255579, -0.000249948, -0.000256315, -0.000257179, -0.00025585" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000251311, 0.000256278, 0.000255579, 0.000249948, 0.000256315, 0.000257179, 0.00025585" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000251311, -0.000256278, -0.000255579, -0.000249948, -0.000256315, -0.000257179, -0.00025585" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000251311, 0.000256278, 0.000255579, 0.000249948, 0.000256315, 0.000257179, 0.00025585" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00229238; + rise_capacitance : 0.00224867; + rise_capacitance_range (0.00224867, 0.00224867); + fall_capacitance : 0.0023361; + fall_capacitance_range (0.0023361, 0.0023361); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000664245, 0.000666393, 0.000668385, 0.000669879, 0.000670977, 0.000672329, 0.000671894" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000378197, -0.000374938, -0.000374071, -0.000374394, -0.00037335, -0.0003751, -0.000373841" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000664245, 0.000666393, 0.000668385, 0.000669879, 0.000670977, 0.000672329, 0.000671894" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000378197, -0.000374938, -0.000374071, -0.000374394, -0.00037335, -0.0003751, -0.000373841" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00232221; + rise_capacitance : 0.00230393; + rise_capacitance_range (0.00230393, 0.00230393); + fall_capacitance : 0.00234048; + fall_capacitance_range (0.00234048, 0.00234048); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000858487, 0.000855611, 0.00085755, 0.000858599, 0.000860567, 0.000860446, 0.000858978" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000265183, -0.000255541, -0.0002526, -0.000253561, -0.000248957, -0.000242348, -0.000244476" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000858487, 0.000855611, 0.00085755, 0.000858599, 0.000860567, 0.000860446, 0.000858978" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000265183, -0.000255541, -0.0002526, -0.000253561, -0.000248957, -0.000242348, -0.000244476" \ + ); + } + } + } + } + cell (sg13g2_sdfbbp_1) { + area : 63.504; + cell_footprint : "sdfrrs"; + cell_leakage_power : 1683.56; + leakage_power () { + value : 1644.27; + when : "!CLK&!D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 1695.1; + when : "!CLK&!D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1508.43; + when : "!CLK&!D&RESET_B&!SCD&!SCE&!SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1722.32; + when : "!CLK&!D&!RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1377.23; + when : "!CLK&!D&!RESET_B&!SCD&!SCE&!SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1670.17; + when : "CLK&!D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 1707.47; + when : "CLK&!D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1717.54; + when : "!CLK&D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 1731.19; + when : "!CLK&D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1730.65; + when : "!CLK&D&RESET_B&SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 1753.44; + when : "CLK&D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 1752.95; + when : "CLK&D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1799.86; + when : "CLK&D&RESET_B&SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 1628.82; + when : "!CLK&!D&RESET_B&!SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 1681.95; + when : "!CLK&!D&RESET_B&!SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1677.93; + when : "!CLK&!D&RESET_B&SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 1691.7; + when : "!CLK&!D&RESET_B&SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1655.15; + when : "CLK&!D&RESET_B&!SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 1744.23; + when : "CLK&!D&RESET_B&!SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1714.98; + when : "CLK&!D&RESET_B&SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 1749.31; + when : "CLK&!D&RESET_B&SCD&SCE&SET_B&Q&!Q_N"; + } + pin (Q) { + direction : output; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + sdf_cond : "SCE == 1'b1"; + timing_sense : non_unate; + timing_type : rising_edge; + when : "SCE"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.202976, 0.241246, 0.268657, 0.314251, 0.390447, 0.517533, 0.729135", \ + "0.232909, 0.271216, 0.298635, 0.344192, 0.42043, 0.547439, 0.759282", \ + "0.251782, 0.290084, 0.317521, 0.363095, 0.439266, 0.566314, 0.777978", \ + "0.281277, 0.319561, 0.346996, 0.392525, 0.468785, 0.595776, 0.807329", \ + "0.318558, 0.356788, 0.384239, 0.429783, 0.506016, 0.633041, 0.844584", \ + "0.369438, 0.407963, 0.435433, 0.480954, 0.557287, 0.684311, 0.895894", \ + "0.437213, 0.475469, 0.502937, 0.548501, 0.62471, 0.751679, 0.96342" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0138316, 0.0670597, 0.107156, 0.173715, 0.285492, 0.471932, 0.78232", \ + "0.0138326, 0.0670694, 0.107157, 0.173741, 0.285565, 0.471933, 0.782389", \ + "0.0138336, 0.0670704, 0.107158, 0.173754, 0.285566, 0.471934, 0.7824", \ + "0.0138536, 0.0670714, 0.107159, 0.173781, 0.285567, 0.471935, 0.782401", \ + "0.0138546, 0.0670724, 0.10716, 0.173782, 0.285568, 0.471936, 0.782402", \ + "0.0138556, 0.0670734, 0.107161, 0.1738, 0.285569, 0.471937, 0.782403", \ + "0.0138566, 0.0670744, 0.107162, 0.173801, 0.28557, 0.471938, 0.782404" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.170288, 0.205085, 0.228216, 0.26648, 0.330414, 0.436912, 0.61442", \ + "0.199637, 0.234404, 0.257547, 0.295817, 0.359797, 0.466251, 0.643639", \ + "0.218713, 0.253406, 0.276588, 0.314796, 0.378779, 0.485196, 0.662746", \ + "0.248768, 0.283518, 0.306716, 0.344885, 0.40883, 0.515305, 0.692679", \ + "0.288225, 0.322976, 0.346154, 0.384384, 0.44836, 0.554814, 0.732185", \ + "0.343891, 0.378611, 0.401739, 0.439982, 0.503965, 0.610421, 0.787804", \ + "0.417828, 0.452454, 0.475598, 0.513813, 0.577787, 0.684246, 0.861681" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0116437, 0.0546722, 0.0862978, 0.139297, 0.228245, 0.376611, 0.624031", \ + "0.0116507, 0.0546732, 0.0863437, 0.139298, 0.228246, 0.376612, 0.624135", \ + "0.0116578, 0.0546742, 0.0863547, 0.139331, 0.228247, 0.376613, 0.624441", \ + "0.0116587, 0.0546752, 0.0863557, 0.139332, 0.228248, 0.376614, 0.624442", \ + "0.0116594, 0.0546762, 0.0863567, 0.139333, 0.228249, 0.376615, 0.624443", \ + "0.011681, 0.054689, 0.0863577, 0.139334, 0.22825, 0.376616, 0.624444", \ + "0.011758, 0.054704, 0.0863587, 0.139335, 0.228251, 0.376617, 0.624445" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.202976, 0.241246, 0.268657, 0.314251, 0.390447, 0.517533, 0.729135", \ + "0.232909, 0.271216, 0.298635, 0.344192, 0.42043, 0.547439, 0.759282", \ + "0.251782, 0.290084, 0.317521, 0.363095, 0.439266, 0.566314, 0.777978", \ + "0.281277, 0.319561, 0.346996, 0.392525, 0.468785, 0.595776, 0.807329", \ + "0.318558, 0.356788, 0.384239, 0.429783, 0.506016, 0.633041, 0.844584", \ + "0.369438, 0.407963, 0.435433, 0.480954, 0.557287, 0.684311, 0.895894", \ + "0.437213, 0.475469, 0.502937, 0.548501, 0.62471, 0.751679, 0.96342" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0138316, 0.0670597, 0.107156, 0.173715, 0.285492, 0.471932, 0.78232", \ + "0.0138326, 0.0670694, 0.107157, 0.173741, 0.285565, 0.471933, 0.782389", \ + "0.0138336, 0.0670704, 0.107158, 0.173754, 0.285566, 0.471934, 0.7824", \ + "0.0138536, 0.0670714, 0.107159, 0.173781, 0.285567, 0.471935, 0.782401", \ + "0.0138546, 0.0670724, 0.10716, 0.173782, 0.285568, 0.471936, 0.782402", \ + "0.0138556, 0.0670734, 0.107161, 0.1738, 0.285569, 0.471937, 0.782403", \ + "0.0138566, 0.0670744, 0.107162, 0.173801, 0.28557, 0.471938, 0.782404" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.170288, 0.205085, 0.228216, 0.26648, 0.330414, 0.436912, 0.61442", \ + "0.199637, 0.234404, 0.257547, 0.295817, 0.359797, 0.466251, 0.643639", \ + "0.218713, 0.253406, 0.276588, 0.314796, 0.378779, 0.485196, 0.662746", \ + "0.248768, 0.283518, 0.306716, 0.344885, 0.40883, 0.515305, 0.692679", \ + "0.288225, 0.322976, 0.346154, 0.384384, 0.44836, 0.554814, 0.732185", \ + "0.343891, 0.378611, 0.401739, 0.439982, 0.503965, 0.610421, 0.787804", \ + "0.417828, 0.452454, 0.475598, 0.513813, 0.577787, 0.684246, 0.861681" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0116437, 0.0546722, 0.0862978, 0.139297, 0.228245, 0.376611, 0.624031", \ + "0.0116507, 0.0546732, 0.0863437, 0.139298, 0.228246, 0.376612, 0.624135", \ + "0.0116578, 0.0546742, 0.0863547, 0.139331, 0.228247, 0.376613, 0.624441", \ + "0.0116587, 0.0546752, 0.0863557, 0.139332, 0.228248, 0.376614, 0.624442", \ + "0.0116594, 0.0546762, 0.0863567, 0.139333, 0.228249, 0.376615, 0.624443", \ + "0.011681, 0.054689, 0.0863577, 0.139334, 0.22825, 0.376616, 0.624444", \ + "0.011758, 0.054704, 0.0863587, 0.139335, 0.228251, 0.376617, 0.624445" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.141549, 0.176399, 0.199481, 0.237758, 0.301729, 0.408168, 0.585746", \ + "0.174618, 0.209431, 0.23262, 0.270904, 0.334789, 0.441234, 0.618905", \ + "0.196193, 0.230898, 0.254082, 0.292278, 0.356253, 0.462723, 0.640121", \ + "0.228329, 0.262911, 0.286084, 0.324299, 0.388266, 0.494688, 0.672047", \ + "0.268592, 0.302432, 0.325521, 0.364551, 0.428518, 0.534544, 0.711949", \ + "0.320668, 0.355536, 0.378642, 0.416895, 0.480761, 0.587289, 0.764595", \ + "0.388332, 0.42143, 0.44443, 0.482611, 0.546486, 0.65304, 0.830363" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119727, 0.0548099, 0.0864802, 0.139425, 0.228342, 0.376644, 0.624003", \ + "0.0119737, 0.0548109, 0.0864812, 0.139426, 0.228343, 0.376949, 0.624044", \ + "0.0120304, 0.0548119, 0.0865103, 0.139427, 0.228344, 0.37695, 0.624553", \ + "0.0121127, 0.0548579, 0.0865113, 0.139428, 0.228345, 0.376951, 0.624554", \ + "0.0122947, 0.0549038, 0.0865259, 0.139429, 0.228346, 0.376952, 0.624555", \ + "0.01273, 0.055009, 0.086582, 0.139472, 0.228358, 0.376953, 0.624556", \ + "0.013673, 0.05526, 0.08669, 0.139537, 0.228387, 0.376954, 0.624557" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0828921, 0.122995, 0.150617, 0.19625, 0.272528, 0.399466, 0.611131", \ + "0.117183, 0.156755, 0.184337, 0.229876, 0.3061, 0.433129, 0.644961", \ + "0.139964, 0.178788, 0.206276, 0.25179, 0.328089, 0.455165, 0.666752", \ + "0.174086, 0.211744, 0.23915, 0.284712, 0.360792, 0.487771, 0.699338", \ + "0.214934, 0.251171, 0.278474, 0.323528, 0.39876, 0.526398, 0.736926", \ + "0.269326, 0.303183, 0.329021, 0.3737, 0.449836, 0.576258, 0.787687", \ + "0.336208, 0.367386, 0.393105, 0.437523, 0.512647, 0.639053, 0.850505" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118835, 0.0667713, 0.106982, 0.173726, 0.285419, 0.471804, 0.782404", \ + "0.0122804, 0.0668503, 0.106983, 0.173727, 0.285564, 0.471932, 0.782409", \ + "0.0129897, 0.0669039, 0.107024, 0.173728, 0.285565, 0.471933, 0.78241", \ + "0.0142746, 0.0670922, 0.107109, 0.173729, 0.285566, 0.471934, 0.782411", \ + "0.0165907, 0.067569, 0.107286, 0.17378, 0.285567, 0.471935, 0.782412", \ + "0.019503, 0.068267, 0.107566, 0.173922, 0.285568, 0.471936, 0.782413", \ + "0.023214, 0.06923, 0.107932, 0.174051, 0.285576, 0.471937, 0.782619" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "SCE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0208852, 0.0211959, 0.0212602, 0.0212811, 0.0212547, 0.0211523, 0.0208498", \ + "0.0208485, 0.0211748, 0.0213162, 0.0213135, 0.0212484, 0.0210666, 0.0208322", \ + "0.0211485, 0.0214488, 0.0215045, 0.0216109, 0.021525, 0.0219561, 0.0210591", \ + "0.0218404, 0.022165, 0.0222481, 0.0222843, 0.0222116, 0.0222073, 0.0219671", \ + "0.0235961, 0.0239043, 0.0239904, 0.0240722, 0.0240975, 0.0239501, 0.0237702", \ + "0.0273662, 0.027675, 0.0277913, 0.0278143, 0.0279051, 0.0278882, 0.0277976", \ + "0.0353505, 0.0356607, 0.0356854, 0.0357147, 0.0358219, 0.0357396, 0.0356276" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204886, 0.0208685, 0.0208886, 0.0208653, 0.0207086, 0.020446, 0.0199499", \ + "0.0203763, 0.0207578, 0.0208502, 0.0209126, 0.0208425, 0.0203249, 0.0198424", \ + "0.0206492, 0.0210599, 0.0211052, 0.0211004, 0.0211428, 0.0207274, 0.0202196", \ + "0.0212878, 0.0217028, 0.0217742, 0.0217897, 0.0215906, 0.0215006, 0.0209284", \ + "0.0230689, 0.0234269, 0.0235323, 0.0235482, 0.0236116, 0.0234759, 0.0226481", \ + "0.0269992, 0.0273295, 0.0273866, 0.0274592, 0.0274174, 0.0274571, 0.027439", \ + "0.0354438, 0.0357758, 0.0357965, 0.0357216, 0.0357103, 0.0357415, 0.0357234" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0208852, 0.0211959, 0.0212602, 0.0212811, 0.0212547, 0.0211523, 0.0208498", \ + "0.0208485, 0.0211748, 0.0213162, 0.0213135, 0.0212484, 0.0210666, 0.0208322", \ + "0.0211485, 0.0214488, 0.0215045, 0.0216109, 0.021525, 0.0219561, 0.0210591", \ + "0.0218404, 0.022165, 0.0222481, 0.0222843, 0.0222116, 0.0222073, 0.0219671", \ + "0.0235961, 0.0239043, 0.0239904, 0.0240722, 0.0240975, 0.0239501, 0.0237702", \ + "0.0273662, 0.027675, 0.0277913, 0.0278143, 0.0279051, 0.0278882, 0.0277976", \ + "0.0353505, 0.0356607, 0.0356854, 0.0357147, 0.0358219, 0.0357396, 0.0356276" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204886, 0.0208685, 0.0208886, 0.0208653, 0.0207086, 0.020446, 0.0199499", \ + "0.0203763, 0.0207578, 0.0208502, 0.0209126, 0.0208425, 0.0203249, 0.0198424", \ + "0.0206492, 0.0210599, 0.0211052, 0.0211004, 0.0211428, 0.0207274, 0.0202196", \ + "0.0212878, 0.0217028, 0.0217742, 0.0217897, 0.0215906, 0.0215006, 0.0209284", \ + "0.0230689, 0.0234269, 0.0235323, 0.0235482, 0.0236116, 0.0234759, 0.0226481", \ + "0.0269992, 0.0273295, 0.0273866, 0.0274592, 0.0274174, 0.0274571, 0.027439", \ + "0.0354438, 0.0357758, 0.0357965, 0.0357216, 0.0357103, 0.0357415, 0.0357234" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0438055, 0.0640434, 0.0776538, 0.100113, 0.137456, 0.19955, 0.303213", \ + "0.0435253, 0.063766, 0.0776167, 0.0999329, 0.137217, 0.199445, 0.303081", \ + "0.0436669, 0.0639013, 0.0775026, 0.100043, 0.137642, 0.199581, 0.303188", \ + "0.0443144, 0.0644507, 0.0783166, 0.100671, 0.137827, 0.200641, 0.303619", \ + "0.046284, 0.0663701, 0.080233, 0.102733, 0.140489, 0.202432, 0.306012", \ + "0.0503661, 0.0701536, 0.083896, 0.106385, 0.144236, 0.207091, 0.311407", \ + "0.05983, 0.0792035, 0.0927843, 0.11522, 0.15288, 0.215369, 0.320226" \ + ); + } + } + internal_power () { + related_pin : "SET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0385429, 0.0591339, 0.0728272, 0.0953655, 0.132831, 0.195195, 0.299216", \ + "0.0380974, 0.0583804, 0.0722261, 0.0946684, 0.132213, 0.194648, 0.298622", \ + "0.0387764, 0.0588296, 0.0724899, 0.0950998, 0.132548, 0.195647, 0.299152", \ + "0.0407605, 0.0603847, 0.0742015, 0.0966977, 0.134271, 0.197336, 0.301045", \ + "0.0461488, 0.0652044, 0.0789538, 0.101405, 0.138969, 0.201554, 0.305816", \ + "0.0568967, 0.0748889, 0.088376, 0.110704, 0.148464, 0.211181, 0.315378", \ + "0.0783871, 0.0950061, 0.108185, 0.130431, 0.167989, 0.230475, 0.335134" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (Q_N) { + direction : output; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + sdf_cond : "SCE == 1'b1"; + timing_sense : non_unate; + timing_type : rising_edge; + when : "SCE"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.140121, 0.193655, 0.222502, 0.268751, 0.345598, 0.473399, 0.686583", \ + "0.169395, 0.223028, 0.251879, 0.298149, 0.375023, 0.502947, 0.716029", \ + "0.188523, 0.242018, 0.270841, 0.317124, 0.393954, 0.521789, 0.734911", \ + "0.218517, 0.272117, 0.300967, 0.347202, 0.424064, 0.551876, 0.764757", \ + "0.257934, 0.31159, 0.340445, 0.386707, 0.463573, 0.59141, 0.804372", \ + "0.313445, 0.367233, 0.396066, 0.442366, 0.519258, 0.647054, 0.860009", \ + "0.387082, 0.441176, 0.470085, 0.516385, 0.593298, 0.721121, 0.934286" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0181187, 0.0729429, 0.111138, 0.176446, 0.288077, 0.475193, 0.787644", \ + "0.0181197, 0.0729439, 0.111139, 0.176447, 0.288326, 0.475523, 0.78767", \ + "0.0181207, 0.0729506, 0.11114, 0.176448, 0.288327, 0.475524, 0.788191", \ + "0.0181373, 0.0729664, 0.111141, 0.176449, 0.288328, 0.475525, 0.788192", \ + "0.0182645, 0.073011, 0.11116, 0.17645, 0.288329, 0.475526, 0.788193", \ + "0.018564, 0.07315, 0.111226, 0.17649, 0.28833, 0.475527, 0.788194", \ + "0.019048, 0.073323, 0.11136, 0.176555, 0.288331, 0.475528, 0.788195" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.169868, 0.225536, 0.251938, 0.29206, 0.357044, 0.464482, 0.643312", \ + "0.199801, 0.255506, 0.281885, 0.321976, 0.386975, 0.494388, 0.673352", \ + "0.218671, 0.274367, 0.300784, 0.340817, 0.405891, 0.513264, 0.692149", \ + "0.24815, 0.303829, 0.330148, 0.370351, 0.435246, 0.542718, 0.721493", \ + "0.285446, 0.341107, 0.367476, 0.407526, 0.472598, 0.580009, 0.758816", \ + "0.336319, 0.39229, 0.418668, 0.458739, 0.523743, 0.631223, 0.810012", \ + "0.404095, 0.459747, 0.486131, 0.526229, 0.591223, 0.698709, 0.877492" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.020711, 0.0672332, 0.096114, 0.146111, 0.233043, 0.381335, 0.630183", \ + "0.020712, 0.0672342, 0.0961249, 0.146112, 0.233093, 0.381442, 0.630184", \ + "0.0207235, 0.0672352, 0.0961271, 0.146113, 0.233094, 0.381443, 0.630371", \ + "0.0207245, 0.0672362, 0.0961281, 0.146114, 0.233095, 0.381444, 0.630372", \ + "0.0207255, 0.0672441, 0.0961302, 0.146115, 0.233096, 0.381445, 0.630373", \ + "0.0207265, 0.067247, 0.096138, 0.146116, 0.233097, 0.381446, 0.630374", \ + "0.0207275, 0.067248, 0.096155, 0.146117, 0.233098, 0.381447, 0.630375" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.140121, 0.193655, 0.222502, 0.268751, 0.345598, 0.473399, 0.686583", \ + "0.169395, 0.223028, 0.251879, 0.298149, 0.375023, 0.502947, 0.716029", \ + "0.188523, 0.242018, 0.270841, 0.317124, 0.393954, 0.521789, 0.734911", \ + "0.218517, 0.272117, 0.300967, 0.347202, 0.424064, 0.551876, 0.764757", \ + "0.257934, 0.31159, 0.340445, 0.386707, 0.463573, 0.59141, 0.804372", \ + "0.313445, 0.367233, 0.396066, 0.442366, 0.519258, 0.647054, 0.860009", \ + "0.387082, 0.441176, 0.470085, 0.516385, 0.593298, 0.721121, 0.934286" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0181187, 0.0729429, 0.111138, 0.176446, 0.288077, 0.475193, 0.787644", \ + "0.0181197, 0.0729439, 0.111139, 0.176447, 0.288326, 0.475523, 0.78767", \ + "0.0181207, 0.0729506, 0.11114, 0.176448, 0.288327, 0.475524, 0.788191", \ + "0.0181373, 0.0729664, 0.111141, 0.176449, 0.288328, 0.475525, 0.788192", \ + "0.0182645, 0.073011, 0.11116, 0.17645, 0.288329, 0.475526, 0.788193", \ + "0.018564, 0.07315, 0.111226, 0.17649, 0.28833, 0.475527, 0.788194", \ + "0.019048, 0.073323, 0.11136, 0.176555, 0.288331, 0.475528, 0.788195" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.169868, 0.225536, 0.251938, 0.29206, 0.357044, 0.464482, 0.643312", \ + "0.199801, 0.255506, 0.281885, 0.321976, 0.386975, 0.494388, 0.673352", \ + "0.218671, 0.274367, 0.300784, 0.340817, 0.405891, 0.513264, 0.692149", \ + "0.24815, 0.303829, 0.330148, 0.370351, 0.435246, 0.542718, 0.721493", \ + "0.285446, 0.341107, 0.367476, 0.407526, 0.472598, 0.580009, 0.758816", \ + "0.336319, 0.39229, 0.418668, 0.458739, 0.523743, 0.631223, 0.810012", \ + "0.404095, 0.459747, 0.486131, 0.526229, 0.591223, 0.698709, 0.877492" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.020711, 0.0672332, 0.096114, 0.146111, 0.233043, 0.381335, 0.630183", \ + "0.020712, 0.0672342, 0.0961249, 0.146112, 0.233093, 0.381442, 0.630184", \ + "0.0207235, 0.0672352, 0.0961271, 0.146113, 0.233094, 0.381443, 0.630371", \ + "0.0207245, 0.0672362, 0.0961281, 0.146114, 0.233095, 0.381444, 0.630372", \ + "0.0207255, 0.0672441, 0.0961302, 0.146115, 0.233096, 0.381445, 0.630373", \ + "0.0207265, 0.067247, 0.096138, 0.146116, 0.233097, 0.381446, 0.630374", \ + "0.0207275, 0.067248, 0.096155, 0.146117, 0.233098, 0.381447, 0.630375" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.110501, 0.166765, 0.197057, 0.245058, 0.32279, 0.450695, 0.663832", \ + "0.143481, 0.199784, 0.230144, 0.278153, 0.355813, 0.483741, 0.696678", \ + "0.164911, 0.221283, 0.251656, 0.299676, 0.377335, 0.505255, 0.718198", \ + "0.196729, 0.253432, 0.283813, 0.33183, 0.409488, 0.537363, 0.750287", \ + "0.235383, 0.293207, 0.323189, 0.372159, 0.449668, 0.577839, 0.79069", \ + "0.287664, 0.347275, 0.377843, 0.425777, 0.503359, 0.631258, 0.844165", \ + "0.351027, 0.414792, 0.445621, 0.493511, 0.571004, 0.698884, 0.911978" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0187404, 0.0763632, 0.115376, 0.180233, 0.290292, 0.475672, 0.787169", \ + "0.0189104, 0.0763998, 0.11538, 0.180234, 0.290505, 0.475673, 0.78717", \ + "0.0191788, 0.076477, 0.115381, 0.180248, 0.290506, 0.475674, 0.787202", \ + "0.0197393, 0.0767608, 0.115519, 0.180249, 0.290507, 0.475675, 0.787203", \ + "0.0209472, 0.07735, 0.1158, 0.180257, 0.290508, 0.475676, 0.787204", \ + "0.023523, 0.078641, 0.116512, 0.180517, 0.290509, 0.475677, 0.787205", \ + "0.027659, 0.08143, 0.118028, 0.181133, 0.29051, 0.475678, 0.787234" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0558305, 0.102338, 0.127485, 0.166925, 0.231347, 0.338569, 0.517317", \ + "0.0885405, 0.136923, 0.162176, 0.201502, 0.265961, 0.373311, 0.55209", \ + "0.109054, 0.160396, 0.185702, 0.225014, 0.289544, 0.396749, 0.575541", \ + "0.139324, 0.196059, 0.221655, 0.260922, 0.325377, 0.432704, 0.611346", \ + "0.174401, 0.240205, 0.26621, 0.305416, 0.369675, 0.476935, 0.655631", \ + "0.220449, 0.296519, 0.322839, 0.36188, 0.425909, 0.532975, 0.711646", \ + "0.279452, 0.365245, 0.391419, 0.430462, 0.493592, 0.600578, 0.779174" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0140381, 0.0604517, 0.0909285, 0.142248, 0.230045, 0.37847, 0.627934", \ + "0.0166431, 0.0614099, 0.0912053, 0.142249, 0.230046, 0.378655, 0.627935", \ + "0.0195837, 0.063235, 0.0921399, 0.142487, 0.230047, 0.378656, 0.627936", \ + "0.0242783, 0.0667948, 0.0940278, 0.143142, 0.230158, 0.378799, 0.627937", \ + "0.0316616, 0.0732155, 0.0975194, 0.144337, 0.230527, 0.378947, 0.627938", \ + "0.041595, 0.081329, 0.101577, 0.145706, 0.231018, 0.37924, 0.628027", \ + "0.05536, 0.089906, 0.105606, 0.147163, 0.231439, 0.379452, 0.628695" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "SCE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204972, 0.0209097, 0.0209804, 0.0209962, 0.0209173, 0.0207652, 0.0205288", \ + "0.0203787, 0.020805, 0.020925, 0.0209141, 0.0208831, 0.0207484, 0.0204596", \ + "0.0206582, 0.0211306, 0.0211659, 0.0212471, 0.0211506, 0.0216388, 0.0208867", \ + "0.0212917, 0.0217302, 0.0218197, 0.0218512, 0.0217253, 0.0219129, 0.0213279", \ + "0.0230749, 0.0234806, 0.0236013, 0.0236447, 0.023683, 0.0234011, 0.0233582", \ + "0.027003, 0.0273735, 0.0274951, 0.0274932, 0.0275987, 0.0275293, 0.0274589", \ + "0.0354584, 0.0358285, 0.0358522, 0.0358708, 0.0359487, 0.0359077, 0.0359129" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0208889, 0.0211362, 0.0211932, 0.0211606, 0.0210087, 0.0207508, 0.0202243", \ + "0.0208525, 0.0211141, 0.0212638, 0.0211237, 0.0211601, 0.0207119, 0.020175", \ + "0.0211546, 0.0213796, 0.0214396, 0.0214407, 0.021839, 0.0210706, 0.0204508", \ + "0.0218361, 0.0221422, 0.0222568, 0.0222505, 0.0220059, 0.0219794, 0.021219", \ + "0.0235996, 0.0238397, 0.0239962, 0.0240021, 0.0240465, 0.023813, 0.0230285", \ + "0.027368, 0.0276182, 0.027724, 0.0277591, 0.0277697, 0.0278417, 0.0276948", \ + "0.0353399, 0.035591, 0.0356338, 0.0355634, 0.0355341, 0.035586, 0.0355982" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204972, 0.0209097, 0.0209804, 0.0209962, 0.0209173, 0.0207652, 0.0205288", \ + "0.0203787, 0.020805, 0.020925, 0.0209141, 0.0208831, 0.0207484, 0.0204596", \ + "0.0206582, 0.0211306, 0.0211659, 0.0212471, 0.0211506, 0.0216388, 0.0208867", \ + "0.0212917, 0.0217302, 0.0218197, 0.0218512, 0.0217253, 0.0219129, 0.0213279", \ + "0.0230749, 0.0234806, 0.0236013, 0.0236447, 0.023683, 0.0234011, 0.0233582", \ + "0.027003, 0.0273735, 0.0274951, 0.0274932, 0.0275987, 0.0275293, 0.0274589", \ + "0.0354584, 0.0358285, 0.0358522, 0.0358708, 0.0359487, 0.0359077, 0.0359129" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0208889, 0.0211362, 0.0211932, 0.0211606, 0.0210087, 0.0207508, 0.0202243", \ + "0.0208525, 0.0211141, 0.0212638, 0.0211237, 0.0211601, 0.0207119, 0.020175", \ + "0.0211546, 0.0213796, 0.0214396, 0.0214407, 0.021839, 0.0210706, 0.0204508", \ + "0.0218361, 0.0221422, 0.0222568, 0.0222505, 0.0220059, 0.0219794, 0.021219", \ + "0.0235996, 0.0238397, 0.0239962, 0.0240021, 0.0240465, 0.023813, 0.0230285", \ + "0.027368, 0.0276182, 0.027724, 0.0277591, 0.0277697, 0.0278417, 0.0276948", \ + "0.0353399, 0.035591, 0.0356338, 0.0355634, 0.0355341, 0.035586, 0.0355982" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0437924, 0.0641633, 0.0779038, 0.100325, 0.137872, 0.20035, 0.304405", \ + "0.0435429, 0.0638826, 0.0778397, 0.10014, 0.137741, 0.200079, 0.304082", \ + "0.0436788, 0.0639695, 0.0776785, 0.100314, 0.137849, 0.201448, 0.304206", \ + "0.044316, 0.064576, 0.0783298, 0.100768, 0.138301, 0.20109, 0.305761", \ + "0.0463629, 0.0664831, 0.0802977, 0.102786, 0.140562, 0.202794, 0.307291", \ + "0.0503965, 0.0702833, 0.0840816, 0.10655, 0.144287, 0.207067, 0.311424", \ + "0.0598526, 0.0793744, 0.0930497, 0.115538, 0.153262, 0.216044, 0.320542" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "SET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0385547, 0.0590045, 0.0726164, 0.0950339, 0.132334, 0.194379, 0.297946", \ + "0.0380535, 0.0582398, 0.0719879, 0.0947968, 0.131632, 0.193814, 0.297346", \ + "0.0387592, 0.0588515, 0.072461, 0.0947799, 0.132571, 0.194475, 0.29772", \ + "0.0407441, 0.0604058, 0.074119, 0.0966287, 0.133649, 0.196529, 0.300181", \ + "0.0460763, 0.0650852, 0.0787069, 0.101264, 0.139017, 0.201131, 0.304435", \ + "0.0569048, 0.0748404, 0.0883772, 0.110805, 0.148472, 0.211344, 0.315569", \ + "0.0783498, 0.0949263, 0.108139, 0.130232, 0.167621, 0.230141, 0.334927" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : input; + max_transition : 2.5074; + capacitance : 0.00325162; + rise_capacitance : 0.0032843; + rise_capacitance_range (0.0032843, 0.0032843); + fall_capacitance : 0.00321077; + fall_capacitance_range (0.00321077, 0.00321077); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0645447, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0827026, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(RESET_B * SCD * SCE * SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.014089, 0.0140178, 0.0144649, 0.0158209, 0.0192264, 0.0268229, 0.0430174" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0136869, 0.0137249, 0.0142808, 0.0156634, 0.0191694, 0.0268647, 0.041815" \ + ); + } + } + internal_power () { + when : "(RESET_B * SCD * SCE * SET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0246797, 0.0246206, 0.0251641, 0.0265734, 0.0301419, 0.0379064, 0.0533926" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0190419, 0.0189028, 0.0193895, 0.0207417, 0.0241003, 0.0316352, 0.0477775" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0177054, 0.0177678, 0.01844, 0.0200283, 0.0236261, 0.0316041, 0.0467468" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SCD * SCE * SET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0263809, 0.0264864, 0.0271299, 0.0287073, 0.0323541, 0.0403498, 0.0555142" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SCD * SCE * SET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0141573, 0.0140514, 0.0145434, 0.0159072, 0.0193204, 0.0268632, 0.0431439" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0140214, 0.0140389, 0.014593, 0.0159621, 0.0194554, 0.0270356, 0.0419849" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !SCE * SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0144432, 0.0143677, 0.0148229, 0.0161793, 0.0195872, 0.0271807, 0.0433756" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.013689, 0.0137169, 0.0142567, 0.015664, 0.0191702, 0.0268669, 0.0418158" \ + ); + } + } + internal_power () { + when : "(!RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0146844, 0.0146033, 0.0150987, 0.0164594, 0.0198498, 0.027375, 0.0436754" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0136618, 0.0136867, 0.0142476, 0.0156152, 0.0191087, 0.0266888, 0.0416399" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !SCE * SET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0141405, 0.0140481, 0.0145482, 0.015906, 0.0193174, 0.0268633, 0.0431434" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0140103, 0.0140268, 0.0145868, 0.0159545, 0.0194486, 0.0270291, 0.0419806" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0146844, 0.0146033, 0.0150987, 0.0164594, 0.0198498, 0.027375, 0.0436754" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0177054, 0.0177678, 0.01844, 0.0200283, 0.0236261, 0.0316041, 0.0467468" \ + ); + } + } + } + pin (D) { + direction : input; + nextstate_type : data; + max_transition : 2.5074; + capacitance : 0.00210065; + rise_capacitance : 0.00213192; + rise_capacitance_range (0.00213192, 0.00213192); + fall_capacitance : 0.00206939; + fall_capacitance_range (0.00206939, 0.00206939); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0660201, -0.0202131, 0.0138579, 0.0450441", \ + "-0.184445, -0.134962, -0.103929, -0.0681273", \ + "-0.268464, -0.22125, -0.191584, -0.156906", \ + "-0.352658, -0.313984, -0.286611, -0.256784" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0660201, 0.0272076, 0.0858677, 0.147582", \ + "-0.184445, -0.094219, -0.0331243, 0.0335857", \ + "-0.258177, -0.174046, -0.113331, -0.0495581", \ + "-0.333769, -0.256255, -0.196213, -0.135771" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0831365, 0.0301964, -0.00357081, -0.036949", \ + "0.206907, 0.147695, 0.111797, 0.0791233", \ + "0.294182, 0.234362, 0.202377, 0.168206", \ + "0.390435, 0.330478, 0.300736, 0.26859" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.102698, 0.00274232, -0.0575781, -0.109805", \ + "0.221882, 0.124777, 0.0593484, 0.00490029", \ + "0.299325, 0.205515, 0.140315, 0.0834575", \ + "0.379641, 0.289243, 0.227287, 0.171189" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * !SCE * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0138227, 0.0136735, 0.0138682, 0.0143244, 0.015775, 0.0190196, 0.0263475" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0140373, 0.0139332, 0.0141051, 0.0146382, 0.0159854, 0.019305, 0.0261593" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * !SCE * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00641359, 0.00623067, 0.0063327, 0.0067608, 0.00798786, 0.0109386, 0.0175026" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00572965, 0.00562683, 0.00579645, 0.00625498, 0.00751615, 0.0104944, 0.0166856" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00641359, 0.00623067, 0.0063327, 0.0067608, 0.00798786, 0.0109386, 0.0175026" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00572965, 0.00562683, 0.00579645, 0.00625498, 0.00751615, 0.0104944, 0.0166856" \ + ); + } + } + } + pin (RESET_B) { + direction : input; + max_transition : 2.5074; + capacitance : 0.00187214; + rise_capacitance : 0.00187214; + rise_capacitance_range (0.00187214, 0.00187214); + fall_capacitance : 0.00187214; + fall_capacitance_range (0.00187214, 0.00187214); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0440134, -0.0421825, -0.0987266, -0.155677", \ + "0.15699, 0.066208, 0.0069002, -0.0528287", \ + "0.232459, 0.139955, 0.0809509, 0.0184836", \ + "0.317579, 0.220518, 0.156663, 0.0944492" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0293423, 0.0521658, 0.106442, 0.163772", \ + "-0.13952, -0.0534757, 0.00358945, 0.0638247", \ + "-0.209313, -0.12422, -0.0674591, -0.00718383", \ + "-0.285199, -0.198526, -0.139714, -0.0796915" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0930786, 0.689697, 1.68457, 3.34351" \ + ); + } + } + } + pin (SCD) { + direction : input; + nextstate_type : scan_in; + max_transition : 2.5074; + capacitance : 0.00214492; + rise_capacitance : 0.00215474; + rise_capacitance_range (0.00215474, 0.00215474); + fall_capacitance : 0.0021351; + fall_capacitance_range (0.0021351, 0.0021351); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0831365, -0.0376839, -0.00414452, 0.0288539", \ + "-0.204411, -0.157881, -0.124909, -0.0901193", \ + "-0.296753, -0.252719, -0.218568, -0.185156", \ + "-0.39853, -0.360717, -0.33181, -0.298105" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0880269, 0.00224933, 0.0627217, 0.123297", \ + "-0.191932, -0.104405, -0.043614, 0.0198407", \ + "-0.265892, -0.181913, -0.124125, -0.0608579", \ + "-0.341864, -0.264502, -0.207512, -0.147577" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.100253, 0.0476672, 0.0144316, -0.0180604", \ + "0.224378, 0.168066, 0.135398, 0.101115", \ + "0.319899, 0.263208, 0.232059, 0.196456", \ + "0.428212, 0.374462, 0.34311, 0.312863" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.124705, 0.0252047, -0.0370039, -0.0882179", \ + "0.231865, 0.132416, 0.0724605, 0.0158963", \ + "0.307041, 0.21076, 0.151108, 0.0919324", \ + "0.387736, 0.29749, 0.235762, 0.180044" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * SCE * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0155944, 0.0154788, 0.0156178, 0.0158948, 0.0170021, 0.0199011, 0.0264843" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0195881, 0.0193992, 0.0194546, 0.0197723, 0.020883, 0.0237963, 0.030323" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * SCE * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00785005, 0.00771247, 0.00774625, 0.00797743, 0.00887952, 0.011408, 0.0175094" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00856638, 0.0084678, 0.00852963, 0.00876582, 0.00972989, 0.0122899, 0.0182293" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00785005, 0.00771247, 0.00774625, 0.00797743, 0.00887952, 0.011408, 0.0175094" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00856638, 0.0084678, 0.00852963, 0.00876582, 0.00972989, 0.0122899, 0.0182293" \ + ); + } + } + } + pin (SCE) { + direction : input; + nextstate_type : scan_enable; + max_transition : 2.5074; + capacitance : 0.00379495; + rise_capacitance : 0.00418212; + rise_capacitance_range (0.00418212, 0.00418212); + fall_capacitance : 0.00340779; + fall_capacitance_range (0.00340779, 0.00340779); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0660201, -0.0227089, 0.0112861, 0.0450441", \ + "-0.189436, -0.140055, -0.109174, -0.0736253", \ + "-0.278751, -0.234362, -0.202377, -0.168206", \ + "-0.379641, -0.338725, -0.309211, -0.280396" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0660201, 0.0247118, 0.0858677, 0.147582", \ + "-0.161982, -0.0713009, -0.00952261, 0.0555777", \ + "-0.222172, -0.137332, -0.0782526, -0.0128337", \ + "-0.2825, -0.201275, -0.142539, -0.0796915" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0880269, 0.035188, 0.00414452, -0.0288539", \ + "0.221882, 0.162973, 0.127531, 0.0956173", \ + "0.322471, 0.260586, 0.226663, 0.193631", \ + "0.436307, 0.377211, 0.34311, 0.312863" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.102698, 0.00274232, -0.0575781, -0.109805", \ + "0.19942, 0.101858, 0.0383691, -0.0143427", \ + "0.26332, 0.166179, 0.105236, 0.0467332", \ + "0.328372, 0.234263, 0.170788, 0.11511" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESET_B * !SCD * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0167375, 0.0167741, 0.0170891, 0.0178874, 0.0197587, 0.0238147, 0.032398" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0182203, 0.0182982, 0.0186003, 0.0193582, 0.021187, 0.0249994, 0.0331543" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESET_B * !SCD * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.022878, 0.0224957, 0.0227646, 0.0235752, 0.0254478, 0.0295303, 0.0380152" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0247221, 0.0292058, 0.0304593, 0.0314332, 0.0332171, 0.0371308, 0.0453143" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESET_B * SCD * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0158362, 0.0157916, 0.0163808, 0.017775, 0.021193, 0.0286435, 0.0445293" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.010048, 0.0208256, 0.030434, 0.0336181, 0.0369082, 0.0437986, 0.0585303" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESET_B * SCD * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00780132, 0.00775065, 0.00819342, 0.00953383, 0.0128012, 0.0197511, 0.0349653" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00846285, 0.00848369, 0.00887575, 0.0100404, 0.013007, 0.0195526, 0.0337615" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0167375, 0.0167741, 0.0170891, 0.0178874, 0.0197587, 0.0238147, 0.032398" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0182203, 0.0182982, 0.0186003, 0.0193582, 0.021187, 0.0249994, 0.0331543" \ + ); + } + } + } + pin (SET_B) { + direction : input; + max_transition : 2.5074; + capacitance : 0.00563784; + rise_capacitance : 0.00563784; + rise_capacitance_range (0.00563784, 0.00563784); + fall_capacitance : 0.00563784; + fall_capacitance_range (0.00563784, 0.00563784); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.012226, -0.0970907, -0.165593, -0.23393", \ + "0.127041, 0.0152788, -0.0560377, -0.124303", \ + "0.386766, 0.192403, 0.0836493, -0.00129102", \ + "0.87614, 0.577888, 0.396784, 0.244978" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0244519, 0.124545, 0.188739, 0.252818", \ + "-0.0346951, 0.061115, 0.12422, 0.190279", \ + "-0.0858677, 0.00952261, 0.0728558, 0.136889", \ + "-0.144884, -0.0500797, 0.0100088, 0.0737885" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : non_seq_hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0537942, -0.0451714, -0.045293, -0.0467003", \ + "-0.151999, -0.109498, -0.0960622, -0.0928683", \ + "-0.229887, -0.176669, -0.153807, -0.142781", \ + "-0.314881, -0.256255, -0.232937, -0.221365" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : non_seq_setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0684653, 0.0801129, 0.161023, 0.29495", \ + "0.16947, 0.124777, 0.124909, 0.156095", \ + "0.253033, 0.197648, 0.175394, 0.179506", \ + "0.349959, 0.286494, 0.261187, 0.250881" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0619507, 0.689697, 1.68457, 3.34351" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clear_preset_var1 : "H"; + clear_preset_var2 : "L"; + clocked_on : "CLK"; + next_state : "(SCE*SCD)+(SCE'*D)"; + preset : "SET_B'"; + } + test_cell () { + pin (Q) { + direction : output; + function : "IQ"; + signal_type : test_scan_out; + } + pin (Q_N) { + direction : output; + function : "IQN"; + signal_type : test_scan_out_inverted; + } + pin (CLK) { + direction : input; + } + pin (D) { + direction : input; + } + pin (RESET_B) { + direction : input; + } + pin (SCD) { + direction : input; + signal_type : test_scan_in; + } + pin (SCE) { + direction : input; + signal_type : test_scan_enable; + } + pin (SET_B) { + direction : input; + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clear_preset_var1 : H; + clear_preset_var2 : L; + clocked_on : "CLK"; + next_state : "D"; + preset : "SET_B'"; + } + } + } + cell (sg13g2_sighold) { + area : 9.072; + cell_footprint : "keepstate"; + dont_touch : true; + dont_use : true; + cell_leakage_power : 363.863; + leakage_power () { + value : 46.5917; + when : "SH"; + } + leakage_power () { + value : 681.134; + when : "!SH"; + } + pin (SH) { + direction : "inout"; + driver_type : bus_hold; + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_slgcp_1) { + area : 30.8448; + cell_footprint : "sgclk"; + clock_gating_integrated_cell : "latch_posedge_precontrol"; + dont_use : true; + dont_touch : true; + cell_leakage_power : 878.324; + leakage_power () { + value : 823.21; + when : "!CLK&GATE&SCE&!GCLK"; + } + leakage_power () { + value : 941.935; + when : "CLK&GATE&SCE&GCLK"; + } + leakage_power () { + value : 882.961; + when : "!GATE&SCE&!GCLK"; + } + leakage_power () { + value : 818.682; + when : "!CLK&GATE&!SCE&!GCLK"; + } + leakage_power () { + value : 930.61; + when : "CLK&GATE&!SCE&GCLK"; + } + leakage_power () { + value : 872.547; + when : "!GATE&!SCE&!GCLK"; + } + statetable ("CLK GATE SCE", "int_GATE") { + table : "L L L : - : L,\ + L L H : - : H,\ + L H L : - : H,\ + L H H : - : H,\ + H - - : - : N"; + } + pin (int_GATE) { + direction : "internal"; + internal_node : "int_GATE"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : "output"; + state_function : "CLK * int_GATE"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0532728, 0.0986116, 0.126446, 0.17215, 0.248267, 0.375426, 0.58667", \ + "0.0751074, 0.121593, 0.149563, 0.195296, 0.27152, 0.398382, 0.610193", \ + "0.0890761, 0.136918, 0.164915, 0.210734, 0.287016, 0.413931, 0.625283", \ + "0.111122, 0.161713, 0.189918, 0.235692, 0.312057, 0.4389, 0.65028", \ + "0.13748, 0.194275, 0.222991, 0.268866, 0.345232, 0.472158, 0.683601", \ + "0.174987, 0.242323, 0.272954, 0.320221, 0.397512, 0.525269, 0.736906", \ + "0.22786, 0.310229, 0.345476, 0.395895, 0.476609, 0.607987, 0.822388" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130921, 0.0681052, 0.107917, 0.174437, 0.285944, 0.472148, 0.782362", \ + "0.0149869, 0.0688964, 0.108381, 0.174555, 0.2861, 0.47257, 0.783138", \ + "0.0169187, 0.0697434, 0.108927, 0.17501, 0.286283, 0.472571, 0.783139", \ + "0.0207711, 0.0716698, 0.110098, 0.175688, 0.286825, 0.472572, 0.78314", \ + "0.0276847, 0.0765583, 0.113315, 0.177405, 0.28773, 0.473309, 0.783141", \ + "0.037861, 0.087811, 0.122181, 0.183894, 0.292204, 0.476155, 0.784697", \ + "0.052907, 0.10858, 0.140856, 0.199362, 0.305326, 0.488116, 0.793532" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0433312, 0.0814957, 0.104872, 0.143216, 0.20723, 0.313587, 0.490753", \ + "0.070821, 0.110409, 0.133909, 0.172348, 0.236328, 0.342849, 0.520609", \ + "0.0866747, 0.127884, 0.151485, 0.189929, 0.25399, 0.360485, 0.537784", \ + "0.110248, 0.154258, 0.17789, 0.21632, 0.280387, 0.386945, 0.564261", \ + "0.135938, 0.18456, 0.208689, 0.247191, 0.311236, 0.417693, 0.594994", \ + "0.170335, 0.22735, 0.252811, 0.292188, 0.356849, 0.463865, 0.641391", \ + "0.213321, 0.282779, 0.311413, 0.352888, 0.419247, 0.528612, 0.708689" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.010507, 0.0545707, 0.0863617, 0.139242, 0.228196, 0.376306, 0.623282", \ + "0.0133504, 0.0555987, 0.0869324, 0.139532, 0.228245, 0.376307, 0.62422", \ + "0.0160732, 0.0567152, 0.0876978, 0.140093, 0.228603, 0.376494, 0.625093", \ + "0.0203481, 0.0589563, 0.0890427, 0.140905, 0.229192, 0.376978, 0.625094", \ + "0.0262129, 0.0636122, 0.0922515, 0.143002, 0.230487, 0.378045, 0.625095", \ + "0.035608, 0.072703, 0.099246, 0.148162, 0.234454, 0.380765, 0.626296", \ + "0.050342, 0.09013, 0.11424, 0.160067, 0.24443, 0.390271, 0.634454" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118575, 0.0124005, 0.0124108, 0.0123644, 0.0122206, 0.0123155, 0.0120637", \ + "0.0116245, 0.0120036, 0.0120731, 0.0121921, 0.0119226, 0.0119284, 0.011754", \ + "0.0117881, 0.0120675, 0.0121198, 0.012085, 0.0120895, 0.0119185, 0.0118027", \ + "0.0124561, 0.0125631, 0.012611, 0.0126834, 0.0125795, 0.0127123, 0.012613", \ + "0.0147643, 0.0144417, 0.0144208, 0.0145174, 0.0145681, 0.0145477, 0.0142144", \ + "0.0204877, 0.0194537, 0.0193873, 0.0194334, 0.0194468, 0.0195067, 0.0194004", \ + "0.0320697, 0.0302992, 0.030169, 0.0297954, 0.0297863, 0.0296831, 0.0297921" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00743376, 0.00805316, 0.00808616, 0.00806656, 0.00798926, 0.00775506, 0.00731766", \ + "0.00756411, 0.00796271, 0.00801301, 0.00820461, 0.00805541, 0.00776201, 0.00760641", \ + "0.00801564, 0.00833174, 0.00842054, 0.00833204, 0.00847934, 0.00825414, 0.00807594", \ + "0.0093094, 0.0093436, 0.0094076, 0.0095034, 0.0093937, 0.0092782, 0.0090084", \ + "0.0120864, 0.0117256, 0.0117554, 0.0118313, 0.0118887, 0.0118732, 0.0111834", \ + "0.0175491, 0.0165921, 0.016516, 0.0165881, 0.0165406, 0.0165039, 0.0166425", \ + "0.029715, 0.0276489, 0.0274054, 0.027158, 0.0270058, 0.0270327, 0.027014" \ + ); + } + } + } + pin (CLK) { + clock_gate_clock_pin : true; + direction : "input"; + clock : true; + max_transition : 2.5074; + capacitance : 0.00543398; + rise_capacitance : 0.00553498; + rise_capacitance_range (0.00553498, 0.00553498); + fall_capacitance : 0.00533297; + fall_capacitance_range (0.00533297, 0.00533297); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.150146, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0697327, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00831815, 0.00828404, 0.00870388, 0.0100359, 0.0130774, 0.0195911, 0.033276" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00872764, 0.00878189, 0.00934376, 0.0106004, 0.0136756, 0.0203425, 0.0335647" \ + ); + } + } + } + pin (GATE) { + clock_gate_enable_pin : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00213415; + rise_capacitance : 0.00258135; + rise_capacitance_range (0.00258135, 0.00258135); + fall_capacitance : 0.00168694; + fall_capacitance_range (0.00168694, 0.00168694); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0270272, 0.00156045, 0.00871436, 0.00645094", \ + "-0.119356, -0.086771, -0.0769055, -0.0818805", \ + "-0.170736, -0.139437, -0.13222, -0.137132", \ + "-0.209481, -0.176896, -0.173613, -0.180001" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0410784, 0.026431, 0.0627217, 0.0869956", \ + "-0.145808, -0.082296, -0.0432937, -0.0137364", \ + "-0.209313, -0.155082, -0.118728, -0.0891074", \ + "-0.278979, -0.231457, -0.199038, -0.170893" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0442481, 0.0409967, 0.0838696, 0.158537", \ + "0.151144, 0.127902, 0.129508, 0.161479", \ + "0.214457, 0.189928, 0.188886, 0.20493", \ + "0.268939, 0.257688, 0.252712, 0.271278" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0692481, -0.00410256, -0.0395757, -0.055988", \ + "0.180478, 0.111125, 0.0703684, 0.039252", \ + "0.250461, 0.187817, 0.14841, 0.117357", \ + "0.328469, 0.271109, 0.235762, 0.207231" \ + ); + } + } + internal_power () { + when : "!CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0235985, 0.0239973, 0.0243718, 0.0253225, 0.0273954, 0.0325108, 0.0434219" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0233998, 0.036163, 0.0378099, 0.0390011, 0.041156, 0.0460064, 0.0565893" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0235985, 0.0239973, 0.0243718, 0.0253225, 0.0273954, 0.0325108, 0.0434219" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0233998, 0.036163, 0.0378099, 0.0390011, 0.041156, 0.0460064, 0.0565893" \ + ); + } + } + } + pin (SCE) { + clock_gate_test_pin : "true"; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00258722; + rise_capacitance : 0.00252347; + rise_capacitance_range (0.00252347, 0.00252347); + fall_capacitance : 0.00265096; + fall_capacitance_range (0.00265096, 0.00265096); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0297589, -0.00369285, 0.00614259, 0.0042657", \ + "-0.132257, -0.102194, -0.0896465, -0.094235", \ + "-0.193882, -0.165105, -0.159203, -0.159731", \ + "-0.253668, -0.223604, -0.221637, -0.227638" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0447894, 0.0218383, 0.0575781, 0.0829913", \ + "-0.128392, -0.0697589, -0.02731, -0.000610861", \ + "-0.183595, -0.130246, -0.0944428, -0.0636829", \ + "-0.235172, -0.188532, -0.156663, -0.131377" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.002, 0, 0, 0", \ + "0.49976, 0.002, 0, 0", \ + "1.2464, 0.74864, 0.002, 0", \ + "2.4908, 1.99304, 1.2464, 0.002" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.070514, -0.00200571, -0.0370039, -0.0546821", \ + "0.164564, 0.0920439, 0.0517623, 0.0233775", \ + "0.222172, 0.160359, 0.121426, 0.0891074", \ + "0.285962, 0.229432, 0.190563, 0.164763" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.013049, 0.0130035, 0.0132446, 0.0141073, 0.0164475, 0.0213985, 0.0322035" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0242686, 0.0357358, 0.0370172, 0.0378252, 0.0396367, 0.044254, 0.0540413" \ + ); + } + } + } + } + cell (sg13g2_tiehi) { + area : 7.2576; + cell_footprint : "tie1"; + cell_leakage_power : 230.883; + pin (L_HI) { + direction : "output"; + function : "1"; + driver_type : open_drain; + } + } + cell (sg13g2_tielo) { + area : 7.2576; + cell_footprint : "tie0"; + cell_leakage_power : 246.503; + pin (L_LO) { + direction : "output"; + function : "0"; + driver_type : open_source; + } + } + cell (sg13g2_xnor2_1) { + area : 14.5152; + cell_footprint : "xnor2_1"; + cell_leakage_power : 440.217; + leakage_power () { + value : 443.834; + when : "!A&B"; + } + leakage_power () { + value : 585.623; + when : "A&B"; + } + leakage_power () { + value : 471.059; + when : "A&!B"; + } + leakage_power () { + value : 260.353; + when : "!A&!B"; + } + pin (Y) { + direction : "output"; + function : "!(A^B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0519015, 0.0962213, 0.123812, 0.169491, 0.245386, 0.372411, 0.583449", \ + "0.074441, 0.120071, 0.1479, 0.193516, 0.269681, 0.396577, 0.607723", \ + "0.0885516, 0.135452, 0.163298, 0.20895, 0.28525, 0.411945, 0.623213", \ + "0.111072, 0.160518, 0.188388, 0.234269, 0.310384, 0.437174, 0.648368", \ + "0.138285, 0.193516, 0.221897, 0.267852, 0.34372, 0.470724, 0.682077", \ + "0.176877, 0.242867, 0.27285, 0.319819, 0.397226, 0.524332, 0.736186", \ + "0.227384, 0.30834, 0.343572, 0.393591, 0.47431, 0.604941, 0.818882" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0141869, 0.0693628, 0.10914, 0.175844, 0.287243, 0.473363, 0.783468", \ + "0.0162935, 0.0701165, 0.109693, 0.175925, 0.287418, 0.473405, 0.783826", \ + "0.0184502, 0.071001, 0.110192, 0.176311, 0.287654, 0.47344, 0.783827", \ + "0.0225336, 0.0729625, 0.111371, 0.176968, 0.288136, 0.473789, 0.783828", \ + "0.029717, 0.0779251, 0.114709, 0.178778, 0.289045, 0.474554, 0.78409", \ + "0.040258, 0.08972, 0.1236, 0.18505, 0.293455, 0.477318, 0.785962", \ + "0.056327, 0.110271, 0.143063, 0.201412, 0.307713, 0.489451, 0.79547" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0501708, 0.116815, 0.161005, 0.233665, 0.355335, 0.557581, 0.895066", \ + "0.0773961, 0.144276, 0.188536, 0.261341, 0.38306, 0.585358, 0.922699", \ + "0.0934064, 0.160718, 0.204993, 0.277949, 0.399582, 0.602125, 0.939251", \ + "0.117063, 0.185186, 0.229151, 0.301896, 0.423578, 0.626029, 0.96325", \ + "0.143732, 0.214744, 0.258738, 0.331312, 0.452717, 0.655185, 0.992199", \ + "0.179199, 0.256111, 0.299933, 0.372686, 0.493905, 0.696204, 1.03369", \ + "0.221939, 0.309504, 0.35471, 0.427455, 0.549723, 0.75282, 1.0904" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0161594, 0.100839, 0.160648, 0.25958, 0.425205, 0.7013, 1.16145", \ + "0.018362, 0.101164, 0.160727, 0.259651, 0.425766, 0.701301, 1.16146", \ + "0.0206712, 0.101536, 0.161, 0.259669, 0.425767, 0.701997, 1.16147", \ + "0.0247276, 0.102503, 0.161549, 0.260115, 0.425768, 0.701998, 1.16147", \ + "0.0300238, 0.10492, 0.162853, 0.260899, 0.426344, 0.701999, 1.16148", \ + "0.039024, 0.110297, 0.166387, 0.263331, 0.427837, 0.702684, 1.16197", \ + "0.053502, 0.123001, 0.175494, 0.269555, 0.433369, 0.707367, 1.16496" \ + ); + } + } + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0367467, 0.119955, 0.176579, 0.269989, 0.4266, 0.686775, 1.12063", \ + "0.0552518, 0.146974, 0.204101, 0.297712, 0.454064, 0.714997, 1.14822", \ + "0.0648864, 0.168719, 0.228646, 0.323564, 0.48009, 0.740777, 1.17485", \ + "0.0791287, 0.204883, 0.271699, 0.372876, 0.533244, 0.794226, 1.22855", \ + "0.0950333, 0.253202, 0.333545, 0.449911, 0.625365, 0.896719, 1.33402", \ + "0.117111, 0.320237, 0.422397, 0.565818, 0.769765, 1.07231, 1.53381", \ + "0.141539, 0.407704, 0.539212, 0.723737, 0.981143, 1.34144, 1.86731" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0260419, 0.140845, 0.220922, 0.353451, 0.575003, 0.944176, 1.55952", \ + "0.0357379, 0.144711, 0.222659, 0.353723, 0.575147, 0.944686, 1.5596", \ + "0.0454142, 0.155009, 0.230198, 0.35771, 0.576204, 0.945321, 1.55961", \ + "0.0650083, 0.178487, 0.252721, 0.375634, 0.586374, 0.947307, 1.56032", \ + "0.0917442, 0.225084, 0.301782, 0.424342, 0.62775, 0.975095, 1.57182", \ + "0.13405, 0.299539, 0.388946, 0.519095, 0.724376, 1.06254, 1.63585", \ + "0.202947, 0.416554, 0.52601, 0.681301, 0.908184, 1.25736, 1.82138" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0350728, 0.101033, 0.145658, 0.219232, 0.342237, 0.547144, 0.888432", \ + "0.0550095, 0.1314, 0.177209, 0.251204, 0.374384, 0.579399, 0.921021", \ + "0.0668531, 0.15532, 0.204624, 0.281221, 0.405301, 0.610425, 0.951875", \ + "0.0849238, 0.196403, 0.252949, 0.33731, 0.467759, 0.676083, 1.01827", \ + "0.105243, 0.251476, 0.32267, 0.422611, 0.570236, 0.792853, 1.14339", \ + "0.136598, 0.330488, 0.421668, 0.549421, 0.728313, 0.985478, 1.36801", \ + "0.181968, 0.442472, 0.56376, 0.727476, 0.958523, 1.27786, 1.72857" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0201974, 0.107589, 0.168433, 0.268599, 0.43652, 0.716339, 1.18278", \ + "0.028155, 0.113062, 0.171473, 0.269859, 0.436585, 0.71634, 1.18279", \ + "0.0367575, 0.123876, 0.180668, 0.27602, 0.439274, 0.720063, 1.1828", \ + "0.0547253, 0.147444, 0.204594, 0.297416, 0.455326, 0.725087, 1.18527", \ + "0.0870968, 0.191556, 0.25265, 0.347705, 0.502558, 0.762467, 1.20675", \ + "0.136553, 0.267458, 0.337099, 0.440309, 0.603122, 0.86011, 1.28996", \ + "0.214437, 0.396574, 0.478551, 0.600142, 0.782909, 1.05716, 1.49142" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0483281, 0.0920956, 0.119502, 0.164948, 0.240946, 0.367765, 0.578737", \ + "0.0731426, 0.118328, 0.146104, 0.191472, 0.267592, 0.394347, 0.605762", \ + "0.0883915, 0.134685, 0.162306, 0.207794, 0.283879, 0.410486, 0.621717", \ + "0.113445, 0.162479, 0.189863, 0.234952, 0.310581, 0.437129, 0.648277", \ + "0.144716, 0.199027, 0.227057, 0.272295, 0.347786, 0.473415, 0.683859", \ + "0.188499, 0.253421, 0.283051, 0.329161, 0.405366, 0.531196, 0.741946", \ + "0.250383, 0.331054, 0.364701, 0.413617, 0.493184, 0.621246, 0.834966" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0142853, 0.0696159, 0.109331, 0.175739, 0.287443, 0.473451, 0.7834", \ + "0.0173722, 0.0703193, 0.109842, 0.176099, 0.287485, 0.473452, 0.783635", \ + "0.0201677, 0.0712852, 0.110338, 0.176448, 0.287792, 0.473537, 0.783636", \ + "0.0247976, 0.073421, 0.11136, 0.176971, 0.288243, 0.473928, 0.783685", \ + "0.0324541, 0.0779242, 0.114412, 0.178579, 0.289108, 0.474685, 0.784439", \ + "0.044239, 0.090149, 0.123514, 0.184831, 0.293136, 0.477275, 0.785727", \ + "0.062627, 0.112988, 0.143894, 0.200819, 0.306351, 0.488522, 0.794339" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0505881, 0.11364, 0.157521, 0.230382, 0.35304, 0.557417, 0.898495", \ + "0.0742469, 0.139203, 0.183125, 0.256069, 0.37853, 0.582896, 0.924032", \ + "0.0881709, 0.154453, 0.198325, 0.271083, 0.393421, 0.598078, 0.939531", \ + "0.10841, 0.176179, 0.220157, 0.292844, 0.414653, 0.618764, 0.959551", \ + "0.130161, 0.201201, 0.245606, 0.31804, 0.439638, 0.64329, 0.983326", \ + "0.157109, 0.235347, 0.279767, 0.35278, 0.474618, 0.678607, 1.01849", \ + "0.185674, 0.277059, 0.3236, 0.397752, 0.522876, 0.72821, 1.06931" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0209437, 0.107542, 0.168366, 0.26863, 0.436536, 0.716401, 1.18237", \ + "0.0213947, 0.107543, 0.168367, 0.268631, 0.437141, 0.716407, 1.18265", \ + "0.0225324, 0.107544, 0.168368, 0.268632, 0.437142, 0.716996, 1.18338", \ + "0.0258305, 0.107545, 0.168369, 0.268633, 0.437143, 0.716997, 1.18339", \ + "0.0313018, 0.108322, 0.16837, 0.268634, 0.437144, 0.716998, 1.1834", \ + "0.040595, 0.114568, 0.171422, 0.269377, 0.437145, 0.716999, 1.18341", \ + "0.056437, 0.13004, 0.182745, 0.27776, 0.442871, 0.720907, 1.18342" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0323834, 0.116317, 0.173174, 0.266587, 0.423092, 0.682974, 1.11719", \ + "0.0534695, 0.150557, 0.207784, 0.301279, 0.457573, 0.718482, 1.15152", \ + "0.0651705, 0.179019, 0.240359, 0.335422, 0.491595, 0.751718, 1.18589", \ + "0.0829337, 0.224485, 0.296062, 0.40111, 0.562541, 0.822814, 1.25589", \ + "0.103835, 0.283865, 0.373682, 0.500896, 0.684334, 0.960093, 1.39669", \ + "0.132384, 0.362979, 0.479885, 0.640304, 0.866928, 1.18881, 1.66297", \ + "0.170951, 0.467828, 0.618007, 0.827905, 1.12057, 1.52232, 2.09033" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0263221, 0.140804, 0.221023, 0.353328, 0.574951, 0.944463, 1.55946", \ + "0.0411746, 0.147503, 0.223659, 0.354593, 0.574952, 0.944597, 1.55947", \ + "0.0525904, 0.163749, 0.236147, 0.360442, 0.576674, 0.94666, 1.55954", \ + "0.0720734, 0.197449, 0.270192, 0.388421, 0.593164, 0.948972, 1.55955", \ + "0.097717, 0.256625, 0.338075, 0.459497, 0.657011, 0.992976, 1.57826", \ + "0.142338, 0.346663, 0.445699, 0.587067, 0.796192, 1.12647, 1.67862", \ + "0.214802, 0.481918, 0.611019, 0.789451, 1.03637, 1.39644, 1.95264" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0294845, 0.0957507, 0.139875, 0.21246, 0.333926, 0.536407, 0.873539", \ + "0.0463073, 0.125559, 0.171168, 0.244578, 0.366344, 0.568839, 0.906076", \ + "0.055454, 0.148726, 0.19829, 0.274524, 0.397424, 0.600275, 0.937644", \ + "0.0685813, 0.188164, 0.245699, 0.330264, 0.459942, 0.666281, 1.00453", \ + "0.080895, 0.240486, 0.313349, 0.41446, 0.562018, 0.783493, 1.13057", \ + "0.0975873, 0.31422, 0.408394, 0.538733, 0.719238, 0.975856, 1.35627", \ + "0.116756, 0.412969, 0.540822, 0.710115, 0.944057, 1.26613, 1.71559" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149838, 0.100891, 0.160746, 0.259491, 0.425235, 0.701288, 1.16143", \ + "0.0231007, 0.106689, 0.164057, 0.260622, 0.427185, 0.701289, 1.16144", \ + "0.0318953, 0.117703, 0.173617, 0.267373, 0.428402, 0.704342, 1.16145", \ + "0.0500197, 0.141561, 0.197913, 0.289414, 0.44471, 0.710556, 1.16402", \ + "0.0830758, 0.186647, 0.245975, 0.340482, 0.492445, 0.748968, 1.18645", \ + "0.135085, 0.263962, 0.332267, 0.435222, 0.594854, 0.848927, 1.27205", \ + "0.215889, 0.397167, 0.477022, 0.5963, 0.775365, 1.04737, 1.47932" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00977881, 0.0102019, 0.0101346, 0.0100644, 0.00984304, 0.00962135, 0.00913084", \ + "0.00961124, 0.00997886, 0.0100265, 0.0100277, 0.00975846, 0.00948179, 0.00909894", \ + "0.00977532, 0.010153, 0.0101149, 0.0100875, 0.0102532, 0.00964875, 0.00922207", \ + "0.0105737, 0.0107252, 0.0107671, 0.0108247, 0.0105606, 0.0107144, 0.00983158", \ + "0.0129687, 0.0128327, 0.012789, 0.0128678, 0.0127944, 0.0125568, 0.0122806", \ + "0.0186883, 0.0179771, 0.0178118, 0.0178291, 0.017843, 0.0177523, 0.0176261", \ + "0.0303789, 0.0288893, 0.0287768, 0.0283896, 0.0284219, 0.0281186, 0.0280519" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00851107, 0.0090687, 0.00909136, 0.00905399, 0.00894867, 0.00871331, 0.00836413", \ + "0.00851649, 0.00895772, 0.00903246, 0.00899336, 0.00899364, 0.00872488, 0.00837943", \ + "0.00892912, 0.00924106, 0.00929553, 0.00950623, 0.00946173, 0.00914712, 0.00869199", \ + "0.0100883, 0.0101918, 0.0102695, 0.0102102, 0.010318, 0.00999038, 0.00966813", \ + "0.0127528, 0.0126164, 0.0126872, 0.0127475, 0.0127681, 0.0125246, 0.0123445", \ + "0.0183316, 0.0177613, 0.0177317, 0.0177293, 0.0177587, 0.0176961, 0.0171319", \ + "0.0299305, 0.0284754, 0.0282875, 0.0281573, 0.028055, 0.028133, 0.0281716" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00962592, 0.0097119, 0.00951807, 0.00933113, 0.00910678, 0.00881805, 0.00828907", \ + "0.00954233, 0.00966387, 0.00961753, 0.00956961, 0.00919923, 0.00890162, 0.00848526", \ + "0.0099288, 0.0100428, 0.0099404, 0.00980089, 0.00986129, 0.00924988, 0.00876659", \ + "0.0110666, 0.0111191, 0.0110684, 0.0109359, 0.0105964, 0.0105722, 0.0100263", \ + "0.0138674, 0.0134652, 0.0134413, 0.0133896, 0.0132852, 0.0127695, 0.0121734", \ + "0.0198821, 0.0189817, 0.0188916, 0.0187135, 0.0185864, 0.0182762, 0.0179801", \ + "0.0322376, 0.0305015, 0.0303207, 0.0298464, 0.0296282, 0.0291792, 0.0289411" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00910979, 0.00823454, 0.00796652, 0.00768761, 0.00743769, 0.00708801, 0.00662265", \ + "0.00853514, 0.00827324, 0.00812275, 0.00790737, 0.00758666, 0.00713873, 0.00669678", \ + "0.00880191, 0.00872256, 0.00854137, 0.00845407, 0.00805034, 0.00773763, 0.00724214", \ + "0.0097638, 0.009728, 0.00961384, 0.00932753, 0.00919062, 0.00867732, 0.00809812", \ + "0.0122662, 0.0121265, 0.0121568, 0.0120025, 0.0116398, 0.0112467, 0.0111134", \ + "0.0177666, 0.0172477, 0.0172076, 0.0170954, 0.0169561, 0.0166998, 0.0156847", \ + "0.0295547, 0.0282959, 0.0280245, 0.0279448, 0.0278058, 0.0277305, 0.0276427" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00610499; + rise_capacitance : 0.00614652; + rise_capacitance_range (0.00614652, 0.00614652); + fall_capacitance : 0.00606347; + fall_capacitance_range (0.00606347, 0.00606347); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00536575; + rise_capacitance : 0.00531483; + rise_capacitance_range (0.00531483, 0.00531483); + fall_capacitance : 0.00541666; + fall_capacitance_range (0.00541666, 0.00541666); + } + } + cell (sg13g2_xor2_1) { + area : 14.5152; + cell_footprint : "xor2_1"; + cell_leakage_power : 407.769; + leakage_power () { + value : 431.272; + when : "!A&!B"; + } + leakage_power () { + value : 475.676; + when : "A&B"; + } + leakage_power () { + value : 390.914; + when : "A&!B"; + } + leakage_power () { + value : 333.215; + when : "!A&B"; + } + pin (X) { + direction : "output"; + function : "(A^B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0504888, 0.135055, 0.191981, 0.285789, 0.44262, 0.703805, 1.13933", \ + "0.0789654, 0.16286, 0.219952, 0.313902, 0.471035, 0.732206, 1.16791", \ + "0.0967987, 0.180524, 0.237391, 0.331439, 0.488333, 0.749903, 1.18475", \ + "0.125237, 0.209243, 0.265754, 0.35963, 0.516392, 0.777648, 1.213", \ + "0.161317, 0.247613, 0.303542, 0.396724, 0.553205, 0.814328, 1.2498", \ + "0.212159, 0.304301, 0.359839, 0.45323, 0.609607, 0.870154, 1.30522", \ + "0.284997, 0.38862, 0.44458, 0.53594, 0.691328, 0.952339, 1.38681" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0199509, 0.13472, 0.214796, 0.347504, 0.569943, 0.940161, 1.55722", \ + "0.0219098, 0.134721, 0.214893, 0.34766, 0.569944, 0.940162, 1.5579", \ + "0.0241822, 0.134757, 0.214894, 0.347661, 0.569945, 0.940163, 1.55791", \ + "0.0280603, 0.135164, 0.215128, 0.347662, 0.569946, 0.940164, 1.55792", \ + "0.0341701, 0.136584, 0.215871, 0.348029, 0.569947, 0.940165, 1.55793", \ + "0.043612, 0.140594, 0.217927, 0.349435, 0.57087, 0.940709, 1.55794", \ + "0.058607, 0.151755, 0.224453, 0.353233, 0.573586, 0.943023, 1.55816" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0586165, 0.0999904, 0.123871, 0.162428, 0.226902, 0.333975, 0.512502", \ + "0.0794049, 0.122315, 0.146326, 0.185101, 0.249557, 0.356776, 0.535363", \ + "0.0913391, 0.135971, 0.160271, 0.199286, 0.263802, 0.371016, 0.549616", \ + "0.109447, 0.156857, 0.181449, 0.220522, 0.285158, 0.392455, 0.57115", \ + "0.128958, 0.181616, 0.2074, 0.246779, 0.311318, 0.418854, 0.59744", \ + "0.154231, 0.215937, 0.243585, 0.284685, 0.350766, 0.458922, 0.637539", \ + "0.179138, 0.253786, 0.285802, 0.331473, 0.400175, 0.512421, 0.69577" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145129, 0.0588314, 0.0901235, 0.142799, 0.232129, 0.381292, 0.629895", \ + "0.0163282, 0.0597825, 0.0907841, 0.143224, 0.232155, 0.381416, 0.630271", \ + "0.0184514, 0.0611547, 0.0918543, 0.143927, 0.232602, 0.381506, 0.634368", \ + "0.0223553, 0.0637969, 0.093639, 0.14512, 0.233449, 0.382046, 0.634369", \ + "0.028167, 0.0692809, 0.0981359, 0.147856, 0.235164, 0.38309, 0.63437", \ + "0.037636, 0.079597, 0.106815, 0.155512, 0.240879, 0.386687, 0.634371", \ + "0.052488, 0.098843, 0.124957, 0.171816, 0.255596, 0.401055, 0.645584" \ + ); + } + } + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0402906, 0.123808, 0.18087, 0.274942, 0.432538, 0.694718, 1.132", \ + "0.0594891, 0.151144, 0.208663, 0.302979, 0.460611, 0.72324, 1.16037", \ + "0.0689779, 0.17285, 0.233104, 0.328723, 0.486547, 0.749364, 1.18704", \ + "0.0814763, 0.20836, 0.275482, 0.377419, 0.539154, 0.802404, 1.23987", \ + "0.0935463, 0.255612, 0.337265, 0.454143, 0.630762, 0.904265, 1.34518", \ + "0.112735, 0.320418, 0.424194, 0.567893, 0.774115, 1.07895, 1.54408", \ + "0.135412, 0.40628, 0.539296, 0.725187, 0.984645, 1.34618, 1.87651" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0246109, 0.140947, 0.221697, 0.35522, 0.578322, 0.950841, 1.57182", \ + "0.0326392, 0.14433, 0.223049, 0.357084, 0.578549, 0.951463, 1.57183", \ + "0.0413677, 0.153933, 0.230241, 0.359282, 0.579416, 0.952095, 1.57184", \ + "0.0601315, 0.176774, 0.25214, 0.376229, 0.589452, 0.95394, 1.57312", \ + "0.0896793, 0.223432, 0.300974, 0.424593, 0.629835, 0.980613, 1.58254", \ + "0.134883, 0.299071, 0.388202, 0.517715, 0.725869, 1.06701, 1.64572", \ + "0.206329, 0.416771, 0.526357, 0.681356, 0.909032, 1.26148, 1.82972" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0326793, 0.0979227, 0.141922, 0.214305, 0.335317, 0.536976, 0.872638", \ + "0.053197, 0.128984, 0.174128, 0.246947, 0.36807, 0.569629, 0.905371", \ + "0.0655256, 0.153302, 0.201925, 0.277396, 0.399471, 0.601116, 0.936926", \ + "0.0848947, 0.194918, 0.250932, 0.33415, 0.462506, 0.667679, 1.00401", \ + "0.107899, 0.251111, 0.321676, 0.420366, 0.565782, 0.785749, 1.13066", \ + "0.141901, 0.331474, 0.421565, 0.548117, 0.725549, 0.979488, 1.35729", \ + "0.189432, 0.445261, 0.565337, 0.727721, 0.956063, 1.27382, 1.71883" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.020637, 0.105971, 0.165534, 0.264304, 0.429147, 0.704123, 1.16245", \ + "0.0301369, 0.111969, 0.169038, 0.265251, 0.429963, 0.704124, 1.16248", \ + "0.039629, 0.123326, 0.178996, 0.272068, 0.432372, 0.704591, 1.16249", \ + "0.0581463, 0.147785, 0.203334, 0.294365, 0.448907, 0.713352, 1.16442", \ + "0.0889795, 0.19259, 0.252488, 0.345467, 0.496593, 0.752141, 1.18817", \ + "0.1364, 0.268622, 0.337109, 0.43982, 0.598641, 0.851866, 1.2735", \ + "0.212777, 0.395647, 0.47807, 0.598579, 0.778627, 1.04974, 1.47687" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0517572, 0.133008, 0.189058, 0.282355, 0.439156, 0.701145, 1.13845", \ + "0.0755864, 0.157885, 0.214336, 0.307905, 0.464929, 0.726689, 1.16406", \ + "0.0907679, 0.173381, 0.22978, 0.323001, 0.47983, 0.741655, 1.17856", \ + "0.115125, 0.198576, 0.254789, 0.34804, 0.504973, 0.766473, 1.20323", \ + "0.144554, 0.23146, 0.287347, 0.380726, 0.537271, 0.798014, 1.23434", \ + "0.183959, 0.277863, 0.333555, 0.427635, 0.583681, 0.844348, 1.28004", \ + "0.236327, 0.344023, 0.40091, 0.494568, 0.652605, 0.914213, 1.35005" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0247058, 0.140959, 0.221604, 0.355172, 0.578402, 0.951155, 1.57126", \ + "0.0247068, 0.14096, 0.221605, 0.355173, 0.578629, 0.951156, 1.57156", \ + "0.0257821, 0.140961, 0.221606, 0.355174, 0.57863, 0.951157, 1.57187", \ + "0.0290828, 0.140962, 0.221607, 0.355175, 0.578631, 0.951158, 1.57188", \ + "0.0351744, 0.140963, 0.221608, 0.355176, 0.578632, 0.951159, 1.57189", \ + "0.045047, 0.144669, 0.221865, 0.355177, 0.578633, 0.95116, 1.5719", \ + "0.061695, 0.159448, 0.231284, 0.359147, 0.580531, 0.951203, 1.57191" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0543894, 0.0953406, 0.118952, 0.157399, 0.221692, 0.328786, 0.507374", \ + "0.0788179, 0.121464, 0.145427, 0.184151, 0.248534, 0.355576, 0.534125", \ + "0.0934886, 0.137327, 0.161615, 0.20059, 0.265141, 0.372241, 0.550857", \ + "0.115118, 0.161369, 0.185619, 0.22453, 0.288566, 0.395691, 0.574477", \ + "0.139074, 0.190635, 0.215503, 0.253491, 0.317834, 0.425251, 0.603818", \ + "0.17174, 0.231801, 0.258493, 0.298684, 0.363287, 0.4706, 0.64864", \ + "0.211774, 0.285707, 0.31532, 0.358198, 0.426324, 0.537537, 0.717538" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145604, 0.0589392, 0.0901673, 0.14282, 0.232122, 0.381485, 0.629926", \ + "0.0172913, 0.0603695, 0.0911951, 0.1435, 0.232335, 0.38163, 0.630282", \ + "0.019974, 0.0616002, 0.0922816, 0.144404, 0.233111, 0.381759, 0.630771", \ + "0.0245077, 0.0639937, 0.0937754, 0.145537, 0.234015, 0.382749, 0.630772", \ + "0.0310156, 0.0693714, 0.0974069, 0.14777, 0.235207, 0.383669, 0.631851", \ + "0.041765, 0.079904, 0.106366, 0.154558, 0.240332, 0.386836, 0.633906", \ + "0.058965, 0.100369, 0.124952, 0.169542, 0.253434, 0.39926, 0.644482" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.034336, 0.118577, 0.175399, 0.269196, 0.425925, 0.687271, 1.12193", \ + "0.051061, 0.14514, 0.202746, 0.296835, 0.453984, 0.715805, 1.15039", \ + "0.0583896, 0.166105, 0.226701, 0.322323, 0.479598, 0.741043, 1.17701", \ + "0.066892, 0.200514, 0.268358, 0.370674, 0.532245, 0.794483, 1.23008", \ + "0.072847, 0.244946, 0.328461, 0.446338, 0.623062, 0.896017, 1.33544", \ + "0.0815359, 0.30544, 0.41141, 0.55836, 0.765871, 1.0703, 1.5343", \ + "0.0853168, 0.381784, 0.518938, 0.708715, 0.971354, 1.33683, 1.86606" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194306, 0.13459, 0.214949, 0.347556, 0.569938, 0.940092, 1.55767", \ + "0.0277706, 0.138261, 0.21642, 0.348356, 0.569939, 0.940574, 1.55768", \ + "0.0361782, 0.148221, 0.223769, 0.351789, 0.570681, 0.941534, 1.55769", \ + "0.0552137, 0.171604, 0.245961, 0.369192, 0.580821, 0.943402, 1.55981", \ + "0.0871699, 0.217396, 0.295477, 0.417942, 0.621954, 0.970349, 1.56871", \ + "0.135175, 0.294206, 0.3827, 0.513708, 0.719735, 1.05769, 1.63269", \ + "0.211938, 0.41605, 0.524922, 0.679024, 0.906137, 1.25458, 1.81842" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0292676, 0.0949438, 0.138913, 0.211315, 0.332375, 0.534086, 0.86966", \ + "0.0514363, 0.132936, 0.178611, 0.251252, 0.372351, 0.57389, 0.909422", \ + "0.0645989, 0.162245, 0.213029, 0.289598, 0.411622, 0.612913, 0.948522", \ + "0.0861054, 0.209369, 0.270853, 0.358792, 0.490457, 0.696102, 1.03188", \ + "0.112818, 0.271833, 0.350646, 0.459781, 0.615559, 0.843068, 1.19131", \ + "0.151417, 0.358477, 0.460013, 0.602343, 0.799077, 1.07583, 1.47201", \ + "0.208296, 0.483683, 0.613992, 0.796047, 1.05402, 1.40774, 1.89938" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0211412, 0.105908, 0.165473, 0.264097, 0.429147, 0.704148, 1.16247", \ + "0.0354759, 0.116037, 0.171236, 0.266151, 0.430643, 0.704149, 1.16248", \ + "0.0467788, 0.133339, 0.186876, 0.276911, 0.434102, 0.705349, 1.16249", \ + "0.0659955, 0.167108, 0.222611, 0.31089, 0.460499, 0.718991, 1.16629", \ + "0.0967581, 0.222004, 0.286977, 0.382207, 0.531632, 0.778101, 1.20206", \ + "0.146674, 0.310373, 0.389757, 0.502337, 0.670436, 0.923766, 1.33369", \ + "0.228824, 0.448944, 0.549634, 0.692237, 0.89501, 1.18878, 1.62119" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00843688, 0.00893016, 0.00894167, 0.00890386, 0.00878339, 0.00852522, 0.0082299", \ + "0.00834271, 0.00871772, 0.00876342, 0.00898841, 0.00863113, 0.00841447, 0.00822601", \ + "0.00870901, 0.00889082, 0.00900743, 0.00897011, 0.00933589, 0.0086472, 0.00839472", \ + "0.00983687, 0.00983908, 0.00984225, 0.00984312, 0.0100934, 0.00963536, 0.00930215", \ + "0.0123718, 0.012183, 0.0121481, 0.0121491, 0.0119816, 0.012164, 0.0116475", \ + "0.0180572, 0.0173976, 0.0173033, 0.0173131, 0.0172762, 0.0170376, 0.0167665", \ + "0.0297434, 0.0283363, 0.0281131, 0.0279623, 0.0277628, 0.027735, 0.0275741" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0105302, 0.0109022, 0.0108795, 0.0107758, 0.0106494, 0.0103961, 0.00998283", \ + "0.0104031, 0.0107773, 0.0107922, 0.0109148, 0.0106186, 0.0103771, 0.00998391", \ + "0.0105443, 0.010952, 0.0109881, 0.0108829, 0.0110052, 0.0105401, 0.0109477", \ + "0.0113621, 0.0115678, 0.0116, 0.0116293, 0.0114214, 0.0112044, 0.0108487", \ + "0.0137046, 0.0136423, 0.0137161, 0.0137275, 0.0136928, 0.0136287, 0.0129022", \ + "0.0189299, 0.0183711, 0.0183233, 0.0184154, 0.0183265, 0.0181819, 0.0182219", \ + "0.0300517, 0.0287521, 0.0286003, 0.0284705, 0.0281587, 0.0282659, 0.0283172" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00898915, 0.00848169, 0.00820651, 0.00792597, 0.00761666, 0.00724334, 0.00730542", \ + "0.00829837, 0.00827906, 0.00812812, 0.00800703, 0.007552, 0.00717532, 0.0072591", \ + "0.00850831, 0.0084421, 0.0084, 0.0081473, 0.00825644, 0.00748075, 0.00756345", \ + "0.00944527, 0.00941461, 0.0093066, 0.0091612, 0.00901151, 0.00873052, 0.00851915", \ + "0.0119512, 0.0117922, 0.011738, 0.0116519, 0.0113587, 0.0113559, 0.010996", \ + "0.0175658, 0.0170993, 0.0169869, 0.016867, 0.0167901, 0.0164064, 0.0160244", \ + "0.0295051, 0.0283402, 0.0280433, 0.0278922, 0.0277432, 0.0276318, 0.0271995" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00967103, 0.00962891, 0.00947361, 0.00926003, 0.00904677, 0.0087876, 0.00825293", \ + "0.00971776, 0.00983279, 0.00970328, 0.00970785, 0.00937305, 0.0090733, 0.00855595", \ + "0.0101811, 0.0103348, 0.0102513, 0.0100071, 0.0100133, 0.00941328, 0.00909992", \ + "0.0113412, 0.011307, 0.0112731, 0.0112392, 0.0106971, 0.0104626, 0.0103288", \ + "0.0139751, 0.0138235, 0.0137306, 0.0136877, 0.0135954, 0.0134763, 0.0124256", \ + "0.0196974, 0.0188133, 0.0187227, 0.0187209, 0.0185137, 0.0182841, 0.0179628", \ + "0.0314514, 0.0296671, 0.0295744, 0.0292353, 0.0289306, 0.0288601, 0.0284119" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00629174; + rise_capacitance : 0.00631748; + rise_capacitance_range (0.00631748, 0.00631748); + fall_capacitance : 0.006266; + fall_capacitance_range (0.006266, 0.006266); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00552434; + rise_capacitance : 0.00559143; + rise_capacitance_range (0.00559143, 0.00559143); + fall_capacitance : 0.00545724; + fall_capacitance_range (0.00545724, 0.00545724); + } + } +} diff --git a/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_fast_1p65V_m40C.lib b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_fast_1p65V_m40C.lib new file mode 100644 index 0000000000..0794393843 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_fast_1p65V_m40C.lib @@ -0,0 +1,28275 @@ +/************************************************************************ + + Copyright 2024 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library (sg13g2_stdcell_fast_1p65V_m40C) { + comment : "IHP Microelectronics GmbH, 2024"; + date : "$Date: Thu Mar 14 14:33:53 2024 $"; + revision : "$Revision: 0.1.0 $"; + delay_model : table_lookup; + capacitive_load_unit (1,pf); + current_unit : "1uA"; + leakage_power_unit : "1pW"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1V"; + default_inout_pin_cap : 0.006; + default_input_pin_cap : 0.006; + default_leakage_power_density : 0; + default_max_capacitance : 0.3; + default_max_fanout : 8; + default_wire_load_area : 0.29; + default_wire_load_capacitance : 0.0003; + default_wire_load_mode : "top"; + default_wire_load_resistance : 0.0057; + default_fanout_load : 1; + default_cell_leakage_power : 0; + default_output_pin_cap : 0; + default_max_transition : 2.5074; + in_place_swap_mode : match_footprint; + input_threshold_pct_fall : 50; + input_threshold_pct_rise : 50; + nom_process : 1; + nom_temperature : -40; + nom_voltage : 1.65; + output_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + slew_derate_from_library : 1; + slew_lower_threshold_pct_fall : 20; + slew_lower_threshold_pct_rise : 20; + slew_upper_threshold_pct_fall : 80; + slew_upper_threshold_pct_rise : 80; + operating_conditions (sg13g2_stdcell_fast_1p65V_m40C) { + process : 1; + temperature : -40; + voltage : 1.65; + } + wire_load (Zero) { + area : 0.01; + capacitance : 0.0001; + resistance : 0.0001; + slope : 1; + fanout_length (1, 0.01); + fanout_length (5, 0.01); + fanout_length (20, 0.01); + } + wire_load ("0_1k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0035; + slope : 7.387; + fanout_length (1, 6.43); + fanout_length (5, 38.56); + fanout_length (20, 146.8); + } + wire_load ("0_5k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0042; + slope : 10.99; + fanout_length (1, 7.89); + fanout_length (5, 47.32); + fanout_length (20, 169.4); + } + wire_load ("1k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0053; + slope : 10.9; + fanout_length (1, 9.93); + fanout_length (5, 59.59); + fanout_length (20, 215.6); + } + wire_load ("2k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0063; + slope : 16.87; + fanout_length (1, 11.68); + fanout_length (5, 70.11); + fanout_length (20, 239.5); + } + wire_load ("5k") { + area : 0.36; + capacitance : 0.0003; + resistance : 0.0072; + slope : 14.58; + fanout_length (1, 13.44); + fanout_length (5, 80.62); + fanout_length (20, 287.1); + } + wire_load ("10k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.008; + slope : 18.94; + fanout_length (1, 14.9); + fanout_length (5, 89.39); + fanout_length (20, 381.6); + } + wire_load ("30k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.0094; + slope : 24.61; + fanout_length (1, 17.53); + fanout_length (5, 105.2); + fanout_length (20, 515.5); + } + wire_load ("50k") { + area : 0.37; + capacitance : 0.0005; + resistance : 0.0152; + slope : 31.81; + fanout_length (1, 28.33); + fanout_length (5, 170); + fanout_length (20, 607.6); + } + wire_load ("100k") { + area : 0.39; + capacitance : 0.0009; + resistance : 0.0265; + slope : 40.22; + fanout_length (1, 49.37); + fanout_length (5, 296.2); + fanout_length (20, 812.1); + } + wire_load ("200k") { + area : 0.41; + capacitance : 0.0016; + resistance : 0.0456; + slope : 52.68; + fanout_length (1, 84.71); + fanout_length (5, 508.3); + fanout_length (20, 1051.7); + } + wire_load ("500k") { + area : 0.45; + capacitance : 0.0027; + resistance : 0.076; + slope : 67.44; + fanout_length (1, 141.4); + fanout_length (5, 848.3); + fanout_length (20, 1527.1); + } + wire_load_selection ("4_metls_routing") { + wire_load_from_area (0, 635, 0_1k); + wire_load_from_area (635, 3175, 0_5k); + wire_load_from_area (3175, 6350, 1k); + wire_load_from_area (6350, 12701, 2k); + wire_load_from_area (12701, 31752, 5k); + wire_load_from_area (31752, 63504, 10k); + wire_load_from_area (63504, 190512, 30k); + wire_load_from_area (190512, 317520, 50k); + wire_load_from_area (317520, 635040, 100k); + wire_load_from_area (635040, 1.27008e+06, 200k); + wire_load_from_area (1.27008e+06, 3.1752e+06, 500k); + } + default_wire_load : "1k"; + default_operating_conditions : sg13g2_stdcell_fast_1p65V_m40C; + default_wire_load_selection : "4_metls_routing"; + lu_table_template (CONSTRAINT_4x4) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + } + power_lut_template (POWER_7x7ds1) { + variable_1 : input_transition_time; + variable_2 : total_output_net_capacitance; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + } + lu_table_template (TIMING_DELAY_7x7ds1) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + } + lu_table_template (mpw_CONSTRAINT_4x4) { + variable_1 : constrained_pin_transition; + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + } + power_lut_template (passive_POWER_7x1ds1) { + variable_1 : input_transition_time; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + } + cell (sg13g2_a21o_1) { + area : 12.7008; + cell_footprint : "AO21"; + cell_leakage_power : 1428.47; + leakage_power () { + value : 1253.12; + when : "!A1&!A2&!B1"; + } + leakage_power () { + value : 1640.03; + when : "!A1&A2&!B1"; + } + leakage_power () { + value : 1094.65; + when : "A1&!A2&!B1"; + } + leakage_power () { + value : 1386.81; + when : "A1&A2&!B1"; + } + leakage_power () { + value : 1141.78; + when : "!A1&!A2&B1"; + } + leakage_power () { + value : 1522.38; + when : "!A1&A2&B1"; + } + leakage_power () { + value : 1522.37; + when : "A1&!A2&B1"; + } + leakage_power () { + value : 1866.63; + when : "A1&A2&B1"; + } + pin (X) { + direction : "output"; + function : "((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0419068, 0.0764667, 0.0973034, 0.131391, 0.187906, 0.282013, 0.438823", \ + "0.0620044, 0.0971673, 0.118112, 0.152117, 0.208865, 0.303107, 0.459851", \ + "0.0754286, 0.111593, 0.13228, 0.166335, 0.223061, 0.317261, 0.474108", \ + "0.0968293, 0.134806, 0.155629, 0.18956, 0.246086, 0.340182, 0.497166", \ + "0.126357, 0.168793, 0.190071, 0.224116, 0.281135, 0.375631, 0.532221", \ + "0.169952, 0.218793, 0.241735, 0.277217, 0.33523, 0.431233, 0.589796", \ + "0.23687, 0.295258, 0.321941, 0.359986, 0.421038, 0.520796, 0.683704" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0110262, 0.0523839, 0.082398, 0.132724, 0.217265, 0.358521, 0.59404", \ + "0.0133453, 0.0533632, 0.0830787, 0.133101, 0.217518, 0.359065, 0.594893", \ + "0.0153357, 0.0543012, 0.0835717, 0.133468, 0.217879, 0.359066, 0.594894", \ + "0.0189967, 0.0563249, 0.0849551, 0.134292, 0.218468, 0.359412, 0.594895", \ + "0.0249565, 0.0617797, 0.0889492, 0.13725, 0.220658, 0.361007, 0.595661", \ + "0.034396, 0.072211, 0.097908, 0.144959, 0.227443, 0.367333, 0.600388", \ + "0.049572, 0.090063, 0.115226, 0.15952, 0.241135, 0.382186, 0.616406" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0433487, 0.0753688, 0.0935322, 0.122827, 0.171489, 0.25248, 0.387345", \ + "0.0558518, 0.0893677, 0.107732, 0.137195, 0.185962, 0.266929, 0.401923", \ + "0.0631742, 0.0978495, 0.116502, 0.146078, 0.194877, 0.2759, 0.410784", \ + "0.0726162, 0.110487, 0.129952, 0.15977, 0.208789, 0.289784, 0.424895", \ + "0.0864519, 0.129276, 0.15014, 0.181825, 0.231859, 0.313993, 0.449003", \ + "0.10175, 0.151453, 0.175147, 0.210332, 0.263422, 0.349423, 0.48793", \ + "0.104688, 0.163346, 0.191265, 0.230633, 0.29024, 0.382828, 0.530713" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101786, 0.0440893, 0.0678746, 0.108118, 0.17608, 0.290103, 0.480408", \ + "0.0121727, 0.0455608, 0.0688872, 0.108715, 0.176425, 0.290276, 0.480923", \ + "0.014021, 0.0469504, 0.0699429, 0.109309, 0.17687, 0.290483, 0.480924", \ + "0.0176162, 0.0504976, 0.0729672, 0.111111, 0.177785, 0.291126, 0.480925", \ + "0.0237408, 0.0576336, 0.0794486, 0.11718, 0.182277, 0.293679, 0.482144", \ + "0.0333862, 0.069413, 0.091845, 0.129966, 0.195078, 0.305259, 0.4909", \ + "0.048482, 0.088666, 0.111696, 0.150251, 0.21723, 0.330902, 0.518705" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0426924, 0.0772656, 0.0981025, 0.132162, 0.188661, 0.282828, 0.439606", \ + "0.0576207, 0.0929653, 0.113859, 0.147895, 0.204562, 0.298795, 0.455547", \ + "0.0681982, 0.104756, 0.125403, 0.159449, 0.21609, 0.310194, 0.466968", \ + "0.0845008, 0.123055, 0.144063, 0.178187, 0.234785, 0.329006, 0.485863", \ + "0.105329, 0.148432, 0.170047, 0.205042, 0.262444, 0.357023, 0.513996", \ + "0.132068, 0.181835, 0.205733, 0.242193, 0.301611, 0.3986, 0.557499", \ + "0.167126, 0.225597, 0.253163, 0.293196, 0.355912, 0.458482, 0.624321" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.010998, 0.0523761, 0.0823892, 0.132697, 0.217267, 0.358502, 0.594153", \ + "0.0126012, 0.0531667, 0.0829138, 0.132953, 0.21741, 0.359883, 0.595775", \ + "0.014247, 0.054328, 0.083489, 0.133252, 0.21765, 0.359884, 0.595776", \ + "0.017504, 0.0565544, 0.0851991, 0.134432, 0.218359, 0.359885, 0.595777", \ + "0.0228718, 0.0620439, 0.0897727, 0.138228, 0.221276, 0.361282, 0.595778", \ + "0.0312468, 0.072189, 0.099154, 0.146726, 0.229863, 0.369154, 0.601558", \ + "0.044423, 0.088096, 0.115313, 0.162535, 0.245532, 0.387295, 0.621101" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0479709, 0.0805514, 0.0988711, 0.128369, 0.177012, 0.258041, 0.393046", \ + "0.0619138, 0.0956871, 0.114206, 0.143693, 0.192554, 0.273594, 0.408585", \ + "0.0705015, 0.105438, 0.12422, 0.153861, 0.202779, 0.283851, 0.418935", \ + "0.0830391, 0.120582, 0.140086, 0.169709, 0.218735, 0.300048, 0.43499", \ + "0.103626, 0.145548, 0.166116, 0.197457, 0.247258, 0.32893, 0.464252", \ + "0.13517, 0.183344, 0.206267, 0.239952, 0.292988, 0.377618, 0.515302", \ + "0.170462, 0.226842, 0.253549, 0.291448, 0.34916, 0.440235, 0.585069" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109318, 0.0446305, 0.0683435, 0.108452, 0.176335, 0.290225, 0.480373", \ + "0.01269, 0.045849, 0.0691494, 0.108876, 0.176608, 0.290317, 0.480539", \ + "0.0143769, 0.0471627, 0.0701479, 0.10952, 0.176999, 0.290698, 0.48054", \ + "0.0176083, 0.0501601, 0.0727091, 0.111056, 0.177809, 0.29115, 0.480828", \ + "0.0231886, 0.056304, 0.0781303, 0.11594, 0.18147, 0.293268, 0.481973", \ + "0.031758, 0.066888, 0.088971, 0.126311, 0.191812, 0.302312, 0.488728", \ + "0.045281, 0.083243, 0.106406, 0.144885, 0.211349, 0.324452, 0.511744" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0282271, 0.0603727, 0.0808662, 0.11462, 0.1711, 0.26526, 0.421902", \ + "0.043382, 0.0769926, 0.0975522, 0.131327, 0.187809, 0.281738, 0.438512", \ + "0.0521262, 0.0873393, 0.107839, 0.141548, 0.198035, 0.292016, 0.448665", \ + "0.0637253, 0.102218, 0.122855, 0.156761, 0.213207, 0.30709, 0.463774", \ + "0.0736363, 0.117355, 0.139071, 0.173401, 0.230645, 0.32508, 0.481585", \ + "0.0773244, 0.12874, 0.152971, 0.188865, 0.248341, 0.344551, 0.503052", \ + "0.0773254, 0.128741, 0.154847, 0.195169, 0.25685, 0.357936, 0.521407" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00914749, 0.0504464, 0.0809981, 0.131586, 0.216246, 0.35769, 0.593124", \ + "0.0126763, 0.0513149, 0.0812989, 0.131695, 0.216331, 0.357691, 0.593436", \ + "0.0153214, 0.0524764, 0.0819072, 0.131948, 0.216544, 0.357692, 0.593437", \ + "0.0193998, 0.0556348, 0.0838836, 0.133012, 0.217197, 0.358, 0.593438", \ + "0.0254176, 0.0625474, 0.0892196, 0.136953, 0.220029, 0.359997, 0.594201", \ + "0.0343945, 0.0750221, 0.100436, 0.146133, 0.228115, 0.36745, 0.600087", \ + "0.049406, 0.095, 0.121108, 0.165206, 0.244376, 0.384056, 0.617695" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0437816, 0.0764877, 0.0947021, 0.124082, 0.172809, 0.253815, 0.388723", \ + "0.0644145, 0.0979037, 0.116398, 0.145984, 0.194801, 0.275883, 0.410863", \ + "0.0775614, 0.111919, 0.130465, 0.160085, 0.209137, 0.290323, 0.425432", \ + "0.0972592, 0.133477, 0.15232, 0.181815, 0.230675, 0.311855, 0.447015", \ + "0.130939, 0.171363, 0.190691, 0.220831, 0.270093, 0.351558, 0.486659", \ + "0.186276, 0.233059, 0.254389, 0.286555, 0.336996, 0.420352, 0.556452", \ + "0.268117, 0.324205, 0.349321, 0.384883, 0.439448, 0.527187, 0.669483" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109219, 0.0446829, 0.0682873, 0.108275, 0.176316, 0.290245, 0.480326", \ + "0.0132795, 0.046071, 0.0693975, 0.109146, 0.176798, 0.290448, 0.480941", \ + "0.0153207, 0.047095, 0.0701249, 0.109713, 0.1774, 0.290874, 0.480942", \ + "0.0189274, 0.0493944, 0.0718039, 0.110637, 0.177945, 0.291523, 0.481185", \ + "0.0249941, 0.0552321, 0.076302, 0.113995, 0.180058, 0.292724, 0.482048", \ + "0.034536, 0.065662, 0.0859, 0.123071, 0.188185, 0.299407, 0.486707", \ + "0.049992, 0.084727, 0.104824, 0.140294, 0.204981, 0.317426, 0.50471" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0266551, 0.0579095, 0.0783855, 0.112146, 0.168571, 0.262842, 0.419243", \ + "0.0404484, 0.072565, 0.0930567, 0.126823, 0.183363, 0.277407, 0.434032", \ + "0.0480431, 0.0815284, 0.101944, 0.135509, 0.191955, 0.285957, 0.442776", \ + "0.0576415, 0.0940733, 0.114689, 0.148508, 0.204814, 0.298861, 0.455367", \ + "0.0645455, 0.106123, 0.127351, 0.161587, 0.21889, 0.312925, 0.469381", \ + "0.0645465, 0.113273, 0.136841, 0.172663, 0.231983, 0.32862, 0.487412", \ + "0.0645475, 0.113274, 0.136842, 0.173194, 0.234829, 0.336186, 0.500844" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00792346, 0.050292, 0.0808825, 0.131492, 0.216209, 0.35774, 0.593251", \ + "0.0109383, 0.050905, 0.0812107, 0.131681, 0.216345, 0.357741, 0.593252", \ + "0.0132798, 0.0517932, 0.0816447, 0.131965, 0.216563, 0.357742, 0.593253", \ + "0.017163, 0.0544668, 0.0834112, 0.133081, 0.217281, 0.35804, 0.593254", \ + "0.0235644, 0.0607615, 0.0882313, 0.136932, 0.220359, 0.360321, 0.594414", \ + "0.0338946, 0.0727214, 0.0987361, 0.14563, 0.22863, 0.368307, 0.600863", \ + "0.050721, 0.093263, 0.119305, 0.164127, 0.245012, 0.385457, 0.619559" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0385329, 0.0704944, 0.0886839, 0.117975, 0.166673, 0.24761, 0.382636", \ + "0.0572393, 0.0902962, 0.108672, 0.138185, 0.187137, 0.268105, 0.403018", \ + "0.0691373, 0.102946, 0.121391, 0.151073, 0.200031, 0.281233, 0.416283", \ + "0.0868772, 0.122964, 0.14153, 0.171242, 0.220076, 0.301138, 0.436503", \ + "0.117897, 0.157789, 0.177274, 0.207677, 0.256916, 0.33806, 0.472764", \ + "0.16981, 0.215697, 0.23747, 0.269611, 0.321291, 0.403583, 0.539688", \ + "0.247514, 0.301841, 0.327317, 0.363465, 0.418063, 0.506111, 0.649266" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0102588, 0.0441249, 0.0679868, 0.10799, 0.176128, 0.290299, 0.480366", \ + "0.0127494, 0.0457736, 0.0691213, 0.108963, 0.176665, 0.290493, 0.480367", \ + "0.0147735, 0.0467104, 0.0699334, 0.109532, 0.177248, 0.290771, 0.48047", \ + "0.018547, 0.0495055, 0.0716643, 0.110621, 0.177868, 0.291407, 0.481143", \ + "0.0248976, 0.0555847, 0.0767863, 0.11462, 0.180614, 0.29295, 0.482045", \ + "0.034941, 0.066332, 0.087306, 0.124086, 0.189493, 0.300614, 0.487366", \ + "0.051208, 0.085581, 0.106151, 0.142577, 0.207546, 0.319791, 0.506972" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0282271, 0.0603727, 0.0808662, 0.11462, 0.1711, 0.26526, 0.421902", \ + "0.043382, 0.0769926, 0.0975522, 0.131327, 0.187809, 0.281738, 0.438512", \ + "0.0521262, 0.0873393, 0.107839, 0.141548, 0.198035, 0.292016, 0.448665", \ + "0.0637253, 0.102218, 0.122855, 0.156761, 0.213207, 0.30709, 0.463774", \ + "0.0736363, 0.117355, 0.139071, 0.173401, 0.230645, 0.32508, 0.481585", \ + "0.0773244, 0.12874, 0.152971, 0.188865, 0.248341, 0.344551, 0.503052", \ + "0.0773254, 0.128741, 0.154847, 0.195169, 0.25685, 0.357936, 0.521407" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00914749, 0.0504464, 0.0809981, 0.131586, 0.216246, 0.35769, 0.593124", \ + "0.0126763, 0.0513149, 0.0812989, 0.131695, 0.216331, 0.357691, 0.593436", \ + "0.0153214, 0.0524764, 0.0819072, 0.131948, 0.216544, 0.357692, 0.593437", \ + "0.0193998, 0.0556348, 0.0838836, 0.133012, 0.217197, 0.358, 0.593438", \ + "0.0254176, 0.0625474, 0.0892196, 0.136953, 0.220029, 0.359997, 0.594201", \ + "0.0343945, 0.0750221, 0.100436, 0.146133, 0.228115, 0.36745, 0.600087", \ + "0.049406, 0.095, 0.121108, 0.165206, 0.244376, 0.384056, 0.617695" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0437816, 0.0764877, 0.0947021, 0.124082, 0.172809, 0.253815, 0.388723", \ + "0.0644145, 0.0979037, 0.116398, 0.145984, 0.194801, 0.275883, 0.410863", \ + "0.0775614, 0.111919, 0.130465, 0.160085, 0.209137, 0.290323, 0.425432", \ + "0.0972592, 0.133477, 0.15232, 0.181815, 0.230675, 0.311855, 0.447015", \ + "0.130939, 0.171363, 0.190691, 0.220831, 0.270093, 0.351558, 0.486659", \ + "0.186276, 0.233059, 0.254389, 0.286555, 0.336996, 0.420352, 0.556452", \ + "0.268117, 0.324205, 0.349321, 0.384883, 0.439448, 0.527187, 0.669483" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109219, 0.0446829, 0.0682873, 0.108275, 0.176316, 0.290245, 0.480326", \ + "0.0132795, 0.046071, 0.0693975, 0.109146, 0.176798, 0.290448, 0.480941", \ + "0.0153207, 0.047095, 0.0701249, 0.109713, 0.1774, 0.290874, 0.480942", \ + "0.0189274, 0.0493944, 0.0718039, 0.110637, 0.177945, 0.291523, 0.481185", \ + "0.0249941, 0.0552321, 0.076302, 0.113995, 0.180058, 0.292724, 0.482048", \ + "0.034536, 0.065662, 0.0859, 0.123071, 0.188185, 0.299407, 0.486707", \ + "0.049992, 0.084727, 0.104824, 0.140294, 0.204981, 0.317426, 0.50471" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0141536, 0.0144248, 0.0144921, 0.0145189, 0.0143178, 0.013993, 0.0133372", \ + "0.0157767, 0.0153016, 0.0152796, 0.0154593, 0.015017, 0.0147901, 0.0144878", \ + "0.0183633, 0.0174408, 0.0173685, 0.0172075, 0.0172537, 0.0167596, 0.0174318", \ + "0.02435, 0.0225914, 0.0223992, 0.0222115, 0.0219081, 0.0214004, 0.0222681", \ + "0.0372689, 0.0342475, 0.033733, 0.0332892, 0.0329999, 0.0326424, 0.0316731", \ + "0.0630233, 0.0581494, 0.0571125, 0.0564369, 0.0554745, 0.0550318, 0.0545127", \ + "0.114465, 0.106613, 0.105293, 0.103577, 0.101995, 0.10092, 0.0998775" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0165051, 0.0170785, 0.0171591, 0.0171307, 0.0169578, 0.0166344, 0.0160501", \ + "0.0172078, 0.017237, 0.0171888, 0.0172463, 0.0174998, 0.0169085, 0.0164023", \ + "0.0194255, 0.0189134, 0.0190147, 0.0189219, 0.0187992, 0.018596, 0.0178621", \ + "0.0247911, 0.0234919, 0.023642, 0.0235719, 0.0235844, 0.0230806, 0.0229742", \ + "0.0370422, 0.0343355, 0.0339853, 0.0339864, 0.033786, 0.033943, 0.0331155", \ + "0.0622726, 0.0573866, 0.0568433, 0.0566222, 0.0558481, 0.0556356, 0.0556657", \ + "0.112614, 0.105164, 0.103717, 0.1025, 0.101556, 0.100519, 0.100465" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.017155, 0.0174784, 0.0175303, 0.0175532, 0.0173708, 0.016992, 0.016388", \ + "0.0180811, 0.0178538, 0.0178697, 0.0179143, 0.0176224, 0.0176344, 0.0174301", \ + "0.0203152, 0.0197027, 0.0196452, 0.0194663, 0.019527, 0.018743, 0.0191956", \ + "0.0259751, 0.0245406, 0.0244127, 0.0242759, 0.0239885, 0.0234645, 0.0244897", \ + "0.0387295, 0.0361317, 0.0357438, 0.0354167, 0.0352052, 0.0348087, 0.0342248", \ + "0.0648683, 0.0607186, 0.059695, 0.0589565, 0.0582798, 0.0578709, 0.0572916", \ + "0.116803, 0.110001, 0.108769, 0.107719, 0.106081, 0.105316, 0.104518" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0166832, 0.0170375, 0.0171322, 0.0171514, 0.0169619, 0.0166291, 0.0159761", \ + "0.0174646, 0.017295, 0.0172835, 0.0173062, 0.0173937, 0.0167888, 0.0162471", \ + "0.0196509, 0.0190687, 0.0191542, 0.0190763, 0.0189174, 0.0186833, 0.0182536", \ + "0.0251621, 0.0237301, 0.0237765, 0.0236678, 0.0236572, 0.0232379, 0.0233078", \ + "0.0377065, 0.0349678, 0.0345908, 0.0345946, 0.0344745, 0.0343644, 0.033898", \ + "0.0635991, 0.0590659, 0.0584573, 0.0578015, 0.0574054, 0.0571318, 0.0572618", \ + "0.115337, 0.10813, 0.106848, 0.105751, 0.104881, 0.103765, 0.10329" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157752, 0.0163729, 0.0164126, 0.0163429, 0.0160985, 0.0157888, 0.0151558", \ + "0.0176424, 0.0175065, 0.0174577, 0.0175662, 0.0173003, 0.0168208, 0.0163013", \ + "0.0205267, 0.0198201, 0.0198303, 0.0196582, 0.019662, 0.0190481, 0.0190201", \ + "0.0270406, 0.0253468, 0.025242, 0.0251798, 0.0250212, 0.02458, 0.0245189", \ + "0.0407613, 0.0375995, 0.0371827, 0.0367866, 0.0366633, 0.0364544, 0.0354521", \ + "0.0679437, 0.0629541, 0.0620388, 0.0610154, 0.060679, 0.0601423, 0.0596337", \ + "0.122087, 0.114054, 0.112577, 0.111087, 0.109382, 0.108534, 0.107371" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012117, 0.0125403, 0.0125407, 0.0125162, 0.0124192, 0.0120348, 0.0113439", \ + "0.0142331, 0.0138041, 0.0137116, 0.0137826, 0.0138456, 0.0136179, 0.012832", \ + "0.0170835, 0.0162101, 0.0162186, 0.0160623, 0.0160266, 0.0161287, 0.0151477", \ + "0.0232262, 0.0213967, 0.0213298, 0.0212902, 0.0211938, 0.0206165, 0.0213051", \ + "0.0361347, 0.032837, 0.0323703, 0.0321009, 0.0319277, 0.0318499, 0.0312345", \ + "0.0625028, 0.0570276, 0.0561915, 0.0554352, 0.0549349, 0.0543616, 0.0540737", \ + "0.11549, 0.107588, 0.105977, 0.10434, 0.10289, 0.101008, 0.100203" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0127546, 0.0134113, 0.0134253, 0.013335, 0.0131142, 0.0128464, 0.0120778", \ + "0.0144538, 0.0144717, 0.0144413, 0.0145344, 0.014329, 0.013818, 0.0131275", \ + "0.0172444, 0.0168042, 0.0168151, 0.016569, 0.0166204, 0.0159476, 0.0176143", \ + "0.0235319, 0.0222694, 0.0222068, 0.0221062, 0.0218513, 0.0212334, 0.0222214", \ + "0.0369919, 0.0343514, 0.0340422, 0.0337203, 0.0335858, 0.033225, 0.0321537", \ + "0.0641253, 0.0593555, 0.0587059, 0.0578708, 0.0575474, 0.057094, 0.0566218", \ + "0.118143, 0.11042, 0.109172, 0.107787, 0.106365, 0.105388, 0.104516" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011695, 0.0122044, 0.0123045, 0.0122386, 0.0121014, 0.0117802, 0.0111652", \ + "0.0139923, 0.0137965, 0.0136911, 0.0137716, 0.013751, 0.013524, 0.0126537", \ + "0.0169861, 0.0162143, 0.0162671, 0.0162042, 0.0161132, 0.0161682, 0.0153592", \ + "0.0232496, 0.02165, 0.0214874, 0.0215743, 0.0215243, 0.0207009, 0.0213338", \ + "0.036351, 0.0331959, 0.0327006, 0.0326197, 0.0324342, 0.0321608, 0.0309296", \ + "0.0631745, 0.0575929, 0.0569209, 0.0560029, 0.0555856, 0.0548594, 0.0543319", \ + "0.116576, 0.10811, 0.106399, 0.105166, 0.103826, 0.10202, 0.101418" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0127546, 0.0134113, 0.0134253, 0.013335, 0.0131142, 0.0128464, 0.0120778", \ + "0.0144538, 0.0144717, 0.0144413, 0.0145344, 0.014329, 0.013818, 0.0131275", \ + "0.0172444, 0.0168042, 0.0168151, 0.016569, 0.0166204, 0.0159476, 0.0176143", \ + "0.0235319, 0.0222694, 0.0222068, 0.0221062, 0.0218513, 0.0212334, 0.0222214", \ + "0.0369919, 0.0343514, 0.0340422, 0.0337203, 0.0335858, 0.033225, 0.0321537", \ + "0.0641253, 0.0593555, 0.0587059, 0.0578708, 0.0575474, 0.057094, 0.0566218", \ + "0.118143, 0.11042, 0.109172, 0.107787, 0.106365, 0.105388, 0.104516" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011695, 0.0122044, 0.0123045, 0.0122386, 0.0121014, 0.0117802, 0.0111652", \ + "0.0139923, 0.0137965, 0.0136911, 0.0137716, 0.013751, 0.013524, 0.0126537", \ + "0.0169861, 0.0162143, 0.0162671, 0.0162042, 0.0161132, 0.0161682, 0.0153592", \ + "0.0232496, 0.02165, 0.0214874, 0.0215743, 0.0215243, 0.0207009, 0.0213338", \ + "0.036351, 0.0331959, 0.0327006, 0.0326197, 0.0324342, 0.0321608, 0.0309296", \ + "0.0631745, 0.0575929, 0.0569209, 0.0560029, 0.0555856, 0.0548594, 0.0543319", \ + "0.116576, 0.10811, 0.106399, 0.105166, 0.103826, 0.10202, 0.101418" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00314949; + rise_capacitance : 0.00311418; + rise_capacitance_range (0.00311418, 0.00311418); + fall_capacitance : 0.00318479; + fall_capacitance_range (0.00318479, 0.00318479); + internal_power () { + when : "(A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00322098; + rise_capacitance : 0.00324224; + rise_capacitance_range (0.00324224, 0.00324224); + fall_capacitance : 0.00319971; + fall_capacitance_range (0.00319971, 0.00319971); + internal_power () { + when : "(A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00275756; + rise_capacitance : 0.00286383; + rise_capacitance_range (0.00286383, 0.00286383); + fall_capacitance : 0.00265128; + fall_capacitance_range (0.00265128, 0.00265128); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000757689, -0.000785549, -0.000788328, -0.000793589, -0.000795722, -0.00078072, -0.000797578" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000757689, 0.000785549, 0.000788328, 0.000793589, 0.000795722, 0.00078072, 0.000797578" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000757689, -0.000785549, -0.000788328, -0.000793589, -0.000795722, -0.00078072, -0.000797578" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000757689, 0.000785549, 0.000788328, 0.000793589, 0.000795722, 0.00078072, 0.000797578" \ + ); + } + } + } + } + cell (sg13g2_a21o_2) { + area : 14.5152; + cell_footprint : "AO21"; + cell_leakage_power : 1989.28; + leakage_power () { + value : 1987.45; + when : "!A1&!A2&!B1"; + } + leakage_power () { + value : 2488.15; + when : "!A1&A2&!B1"; + } + leakage_power () { + value : 1828.85; + when : "A1&!A2&!B1"; + } + leakage_power () { + value : 1820.76; + when : "A1&A2&!B1"; + } + leakage_power () { + value : 1463.02; + when : "!A1&!A2&B1"; + } + leakage_power () { + value : 1956.33; + when : "!A1&A2&B1"; + } + leakage_power () { + value : 1956.33; + when : "A1&!A2&B1"; + } + leakage_power () { + value : 2413.31; + when : "A1&A2&B1"; + } + pin (X) { + direction : "output"; + function : "((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0452095, 0.0831164, 0.104206, 0.138392, 0.194943, 0.28928, 0.446135", \ + "0.0670756, 0.105691, 0.126823, 0.16091, 0.217599, 0.311954, 0.468787", \ + "0.0811947, 0.120924, 0.141943, 0.175987, 0.232723, 0.326944, 0.484118", \ + "0.102926, 0.14471, 0.165815, 0.199688, 0.255959, 0.350154, 0.5069", \ + "0.131551, 0.177898, 0.199458, 0.233348, 0.289887, 0.383941, 0.541002", \ + "0.170514, 0.223271, 0.247151, 0.28224, 0.339821, 0.43457, 0.59175", \ + "0.225036, 0.286149, 0.313756, 0.352407, 0.411363, 0.510881, 0.672685" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0113672, 0.053803, 0.0839247, 0.133766, 0.218196, 0.35972, 0.595659", \ + "0.0142011, 0.0550249, 0.0842938, 0.13404, 0.218483, 0.361763, 0.596203", \ + "0.0168201, 0.0563918, 0.0851189, 0.134565, 0.218829, 0.361764, 0.596204", \ + "0.0212968, 0.0592255, 0.086995, 0.135564, 0.219397, 0.361765, 0.596205", \ + "0.0291449, 0.0658538, 0.0918752, 0.138909, 0.221647, 0.362013, 0.597152", \ + "0.041646, 0.078878, 0.10325, 0.147636, 0.228634, 0.367856, 0.60127", \ + "0.061621, 0.100134, 0.124366, 0.166408, 0.244293, 0.382422, 0.615998" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0546293, 0.0918226, 0.110588, 0.140267, 0.188881, 0.269352, 0.403277", \ + "0.0698297, 0.10783, 0.126924, 0.15669, 0.205263, 0.285731, 0.419709", \ + "0.0800363, 0.119201, 0.138485, 0.168445, 0.217212, 0.297746, 0.431628", \ + "0.0951275, 0.136989, 0.156889, 0.187125, 0.235833, 0.316468, 0.450326", \ + "0.120086, 0.165889, 0.187324, 0.219451, 0.268944, 0.349855, 0.48348", \ + "0.155473, 0.207338, 0.231412, 0.266579, 0.318807, 0.403445, 0.53884", \ + "0.193377, 0.252959, 0.280787, 0.320266, 0.37885, 0.469215, 0.614009" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0131321, 0.0481279, 0.0710746, 0.110101, 0.177005, 0.289644, 0.478189", \ + "0.0150153, 0.0494249, 0.0721279, 0.1108, 0.177305, 0.28973, 0.47819", \ + "0.0171094, 0.0511142, 0.0733826, 0.111788, 0.177869, 0.29005, 0.478383", \ + "0.0213614, 0.0549191, 0.0764047, 0.113754, 0.179073, 0.290737, 0.478656", \ + "0.0287321, 0.0624127, 0.0835409, 0.120261, 0.183375, 0.293015, 0.479937", \ + "0.040539, 0.075471, 0.097054, 0.133546, 0.196139, 0.304391, 0.487735", \ + "0.059271, 0.096909, 0.119108, 0.156173, 0.219987, 0.329358, 0.514053" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0458267, 0.0837456, 0.104775, 0.139077, 0.195626, 0.289876, 0.446889", \ + "0.0618108, 0.100623, 0.121671, 0.155826, 0.212538, 0.3069, 0.463745", \ + "0.0728469, 0.112605, 0.133787, 0.167951, 0.22457, 0.318804, 0.47576", \ + "0.0893107, 0.131377, 0.152786, 0.186793, 0.243402, 0.337555, 0.494462", \ + "0.108959, 0.155686, 0.177424, 0.212264, 0.269427, 0.363785, 0.520771", \ + "0.13123, 0.183957, 0.208393, 0.244774, 0.303516, 0.399972, 0.55846", \ + "0.153388, 0.214508, 0.242489, 0.282772, 0.344237, 0.445056, 0.609333" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0113441, 0.0537962, 0.0836241, 0.133783, 0.218247, 0.359718, 0.595774", \ + "0.0131018, 0.0548081, 0.0841144, 0.133885, 0.218383, 0.360959, 0.59647", \ + "0.0149911, 0.0559924, 0.0849241, 0.134301, 0.21866, 0.36096, 0.596471", \ + "0.0189211, 0.0588597, 0.0869342, 0.135654, 0.219345, 0.360961, 0.596472", \ + "0.0257636, 0.0653429, 0.0919645, 0.139626, 0.222111, 0.36226, 0.596949", \ + "0.0366803, 0.076627, 0.102988, 0.148871, 0.230531, 0.369455, 0.602532", \ + "0.053968, 0.09581, 0.121639, 0.166609, 0.246979, 0.386972, 0.620684" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0595923, 0.0975213, 0.116482, 0.146282, 0.194862, 0.275481, 0.409412", \ + "0.0760564, 0.114687, 0.133828, 0.163724, 0.212454, 0.292978, 0.426987", \ + "0.0872729, 0.126947, 0.146541, 0.176478, 0.225276, 0.305873, 0.439816", \ + "0.105149, 0.146959, 0.166856, 0.197318, 0.24612, 0.326758, 0.460734", \ + "0.136185, 0.181793, 0.202983, 0.234668, 0.283991, 0.364534, 0.498593", \ + "0.185604, 0.236856, 0.260302, 0.29424, 0.346574, 0.429666, 0.564835", \ + "0.254294, 0.312987, 0.339781, 0.377612, 0.434544, 0.523933, 0.666054" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0140185, 0.0488303, 0.0716296, 0.110615, 0.177293, 0.289893, 0.478372", \ + "0.0157138, 0.0499263, 0.0725542, 0.111116, 0.177597, 0.290086, 0.478434", \ + "0.0176672, 0.0513805, 0.0738447, 0.112103, 0.178125, 0.290314, 0.47844", \ + "0.0213658, 0.054605, 0.0763629, 0.113825, 0.179229, 0.290992, 0.478911", \ + "0.0279275, 0.0614214, 0.0825414, 0.119335, 0.18287, 0.292962, 0.479996", \ + "0.038573, 0.073023, 0.094351, 0.130282, 0.193225, 0.301492, 0.485594", \ + "0.055426, 0.091962, 0.113668, 0.150484, 0.213792, 0.323228, 0.506668" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0305204, 0.0652238, 0.0858048, 0.11967, 0.17647, 0.270332, 0.427365", \ + "0.0480357, 0.084309, 0.104912, 0.138793, 0.195292, 0.289433, 0.446138", \ + "0.0581269, 0.0960335, 0.116594, 0.150287, 0.206841, 0.300891, 0.457638", \ + "0.0708168, 0.112106, 0.132747, 0.166571, 0.222787, 0.316924, 0.473594", \ + "0.0809387, 0.127696, 0.149782, 0.184037, 0.240411, 0.33464, 0.491298", \ + "0.0818453, 0.136319, 0.160973, 0.196558, 0.254647, 0.350249, 0.508463", \ + "0.0818463, 0.13632, 0.160974, 0.196559, 0.254648, 0.353606, 0.515806" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00884246, 0.0507983, 0.0814613, 0.131714, 0.216996, 0.35825, 0.594294", \ + "0.0124966, 0.0519361, 0.0816411, 0.131967, 0.216997, 0.358796, 0.594516", \ + "0.0155167, 0.0534336, 0.0824607, 0.132309, 0.216998, 0.358797, 0.594517", \ + "0.0204117, 0.0570444, 0.084626, 0.133451, 0.217547, 0.358798, 0.594518", \ + "0.0276517, 0.0648305, 0.0910507, 0.13764, 0.220102, 0.360258, 0.59532", \ + "0.0394365, 0.0794841, 0.1038, 0.147637, 0.228033, 0.366929, 0.60041", \ + "0.058536, 0.101883, 0.127132, 0.168868, 0.24528, 0.382726, 0.616174" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0556727, 0.0936383, 0.112561, 0.142301, 0.191048, 0.271524, 0.405489", \ + "0.0806013, 0.118979, 0.138164, 0.168123, 0.216838, 0.297352, 0.431233", \ + "0.0974586, 0.136744, 0.15601, 0.185968, 0.234719, 0.315376, 0.449205", \ + "0.12358, 0.164634, 0.184109, 0.214095, 0.262698, 0.343446, 0.477467", \ + "0.168397, 0.213359, 0.233812, 0.264077, 0.312738, 0.393202, 0.526959", \ + "0.243112, 0.294196, 0.31682, 0.349021, 0.399476, 0.480168, 0.614937", \ + "0.358526, 0.418072, 0.444676, 0.481165, 0.53549, 0.621326, 0.761003" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0140459, 0.0488662, 0.0717079, 0.110538, 0.177297, 0.289994, 0.478514", \ + "0.0166029, 0.0501683, 0.0727106, 0.111266, 0.177626, 0.290202, 0.478515", \ + "0.0192714, 0.0518759, 0.0740574, 0.112291, 0.178386, 0.290536, 0.478743", \ + "0.0237402, 0.0548058, 0.0762897, 0.113676, 0.179303, 0.291303, 0.479203", \ + "0.0311188, 0.0617161, 0.0818706, 0.117703, 0.181698, 0.292511, 0.480318", \ + "0.043104, 0.074644, 0.093832, 0.128395, 0.190074, 0.29886, 0.484077", \ + "0.062776, 0.096936, 0.116107, 0.149538, 0.210171, 0.317876, 0.501745" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0292404, 0.0628157, 0.0833919, 0.117246, 0.173796, 0.267897, 0.424782", \ + "0.0456062, 0.0804216, 0.100862, 0.134708, 0.191129, 0.285233, 0.442047", \ + "0.0547141, 0.0908923, 0.111336, 0.144913, 0.201259, 0.295503, 0.4521", \ + "0.0657225, 0.105278, 0.125672, 0.159023, 0.215195, 0.309146, 0.465742", \ + "0.073518, 0.118241, 0.139567, 0.173605, 0.22998, 0.324218, 0.480581", \ + "0.073519, 0.123247, 0.147152, 0.182311, 0.240371, 0.336132, 0.494025", \ + "0.07352, 0.123248, 0.147153, 0.182312, 0.240372, 0.336133, 0.496011" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00774419, 0.050526, 0.0813107, 0.131824, 0.216655, 0.358244, 0.594182", \ + "0.011028, 0.0514863, 0.0814806, 0.131955, 0.216722, 0.358642, 0.594981", \ + "0.0138416, 0.0525933, 0.082111, 0.132225, 0.216962, 0.358643, 0.594982", \ + "0.0187286, 0.0559826, 0.0841465, 0.133302, 0.217625, 0.358804, 0.594983", \ + "0.0267343, 0.063428, 0.0896425, 0.137182, 0.22035, 0.360597, 0.595462", \ + "0.0398151, 0.0774918, 0.102016, 0.146738, 0.22814, 0.367634, 0.60101", \ + "0.060273, 0.100358, 0.125158, 0.167224, 0.244546, 0.383612, 0.617554" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0497605, 0.0868998, 0.105646, 0.135328, 0.183889, 0.264402, 0.398269", \ + "0.0733435, 0.111002, 0.130093, 0.159889, 0.208523, 0.289042, 0.422977", \ + "0.0891132, 0.127594, 0.146918, 0.1767, 0.225452, 0.306128, 0.440112", \ + "0.113623, 0.153902, 0.173418, 0.203257, 0.251844, 0.332174, 0.46616", \ + "0.156336, 0.200295, 0.221017, 0.250936, 0.299663, 0.379885, 0.513162", \ + "0.228107, 0.277598, 0.300338, 0.332786, 0.383285, 0.46477, 0.599595", \ + "0.339797, 0.397075, 0.423317, 0.46041, 0.515399, 0.60129, 0.741056" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0131363, 0.0481224, 0.0710205, 0.110104, 0.177092, 0.289719, 0.478374", \ + "0.0160862, 0.0496846, 0.0724063, 0.110932, 0.177487, 0.289842, 0.47839", \ + "0.0189315, 0.0512544, 0.0736769, 0.111995, 0.178192, 0.290357, 0.478441", \ + "0.0235935, 0.054489, 0.076127, 0.11347, 0.179157, 0.29116, 0.479046", \ + "0.0314122, 0.0617133, 0.0823216, 0.117972, 0.181962, 0.292574, 0.480052", \ + "0.043999, 0.074689, 0.094774, 0.128909, 0.191222, 0.299615, 0.484709", \ + "0.064502, 0.097217, 0.116587, 0.151017, 0.211957, 0.319929, 0.503658" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0305204, 0.0652238, 0.0858048, 0.11967, 0.17647, 0.270332, 0.427365", \ + "0.0480357, 0.084309, 0.104912, 0.138793, 0.195292, 0.289433, 0.446138", \ + "0.0581269, 0.0960335, 0.116594, 0.150287, 0.206841, 0.300891, 0.457638", \ + "0.0708168, 0.112106, 0.132747, 0.166571, 0.222787, 0.316924, 0.473594", \ + "0.0809387, 0.127696, 0.149782, 0.184037, 0.240411, 0.33464, 0.491298", \ + "0.0818453, 0.136319, 0.160973, 0.196558, 0.254647, 0.350249, 0.508463", \ + "0.0818463, 0.13632, 0.160974, 0.196559, 0.254648, 0.353606, 0.515806" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00884246, 0.0507983, 0.0814613, 0.131714, 0.216996, 0.35825, 0.594294", \ + "0.0124966, 0.0519361, 0.0816411, 0.131967, 0.216997, 0.358796, 0.594516", \ + "0.0155167, 0.0534336, 0.0824607, 0.132309, 0.216998, 0.358797, 0.594517", \ + "0.0204117, 0.0570444, 0.084626, 0.133451, 0.217547, 0.358798, 0.594518", \ + "0.0276517, 0.0648305, 0.0910507, 0.13764, 0.220102, 0.360258, 0.59532", \ + "0.0394365, 0.0794841, 0.1038, 0.147637, 0.228033, 0.366929, 0.60041", \ + "0.058536, 0.101883, 0.127132, 0.168868, 0.24528, 0.382726, 0.616174" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0556727, 0.0936383, 0.112561, 0.142301, 0.191048, 0.271524, 0.405489", \ + "0.0806013, 0.118979, 0.138164, 0.168123, 0.216838, 0.297352, 0.431233", \ + "0.0974586, 0.136744, 0.15601, 0.185968, 0.234719, 0.315376, 0.449205", \ + "0.12358, 0.164634, 0.184109, 0.214095, 0.262698, 0.343446, 0.477467", \ + "0.168397, 0.213359, 0.233812, 0.264077, 0.312738, 0.393202, 0.526959", \ + "0.243112, 0.294196, 0.31682, 0.349021, 0.399476, 0.480168, 0.614937", \ + "0.358526, 0.418072, 0.444676, 0.481165, 0.53549, 0.621326, 0.761003" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0140459, 0.0488662, 0.0717079, 0.110538, 0.177297, 0.289994, 0.478514", \ + "0.0166029, 0.0501683, 0.0727106, 0.111266, 0.177626, 0.290202, 0.478515", \ + "0.0192714, 0.0518759, 0.0740574, 0.112291, 0.178386, 0.290536, 0.478743", \ + "0.0237402, 0.0548058, 0.0762897, 0.113676, 0.179303, 0.291303, 0.479203", \ + "0.0311188, 0.0617161, 0.0818706, 0.117703, 0.181698, 0.292511, 0.480318", \ + "0.043104, 0.074644, 0.093832, 0.128395, 0.190074, 0.29886, 0.484077", \ + "0.062776, 0.096936, 0.116107, 0.149538, 0.210171, 0.317876, 0.501745" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0237754, 0.023291, 0.0237354, 0.0235177, 0.0230074, 0.022384, 0.0210373", \ + "0.026684, 0.024154, 0.0240622, 0.0244413, 0.0234319, 0.0239658, 0.0219402", \ + "0.0304364, 0.0267687, 0.0264865, 0.0257797, 0.0259741, 0.02481, 0.0238692", \ + "0.038744, 0.0324806, 0.0319094, 0.0314242, 0.0305556, 0.0295719, 0.0300692", \ + "0.0558246, 0.0458658, 0.0447774, 0.0437514, 0.0427267, 0.0417801, 0.0398596", \ + "0.0891975, 0.0741136, 0.071795, 0.0696556, 0.0678731, 0.0666355, 0.0646775", \ + "0.153095, 0.131213, 0.127609, 0.124402, 0.120398, 0.117898, 0.115133" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.027171, 0.0257462, 0.0257555, 0.025787, 0.0254389, 0.0249057, 0.0236283", \ + "0.028518, 0.02556, 0.025473, 0.0256117, 0.0255093, 0.0250214, 0.0231705", \ + "0.0316824, 0.0275799, 0.0275108, 0.0271854, 0.027108, 0.0268673, 0.0261619", \ + "0.0387583, 0.032672, 0.0322592, 0.0321908, 0.0318528, 0.0309385, 0.0307475", \ + "0.0547549, 0.0449242, 0.0439375, 0.0440373, 0.0433868, 0.0430268, 0.0405958", \ + "0.0867897, 0.0719948, 0.0702463, 0.0689134, 0.0673895, 0.0667345, 0.0658081", \ + "0.148692, 0.128275, 0.124481, 0.121339, 0.119079, 0.115501, 0.114872" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0270537, 0.0266156, 0.0267815, 0.0268911, 0.0263202, 0.0256708, 0.0245508", \ + "0.0287873, 0.027024, 0.0269255, 0.0272681, 0.0264612, 0.0265867, 0.0252595", \ + "0.0317816, 0.0288549, 0.0288508, 0.0286141, 0.0285816, 0.0276001, 0.0268772", \ + "0.0393318, 0.0343287, 0.0339418, 0.0335482, 0.0329723, 0.0317266, 0.0337597", \ + "0.0557462, 0.047431, 0.0463224, 0.0456181, 0.0449696, 0.0440713, 0.0420181", \ + "0.0883291, 0.0753491, 0.0734969, 0.0716842, 0.0702301, 0.0692355, 0.0682478", \ + "0.151722, 0.132242, 0.128965, 0.12631, 0.122719, 0.121154, 0.11872" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0278123, 0.0257776, 0.0257575, 0.0258177, 0.0254696, 0.024876, 0.0236344", \ + "0.029343, 0.0258647, 0.0257447, 0.0259403, 0.0259849, 0.0267226, 0.0235233", \ + "0.0322718, 0.0278222, 0.0278819, 0.0274071, 0.0273198, 0.0277264, 0.0266863", \ + "0.0394399, 0.0330207, 0.0326604, 0.0325857, 0.0322303, 0.0311838, 0.0327244", \ + "0.055705, 0.0459937, 0.0450982, 0.0449781, 0.0440957, 0.043295, 0.0420602", \ + "0.0880835, 0.0736693, 0.0720178, 0.0702146, 0.0694028, 0.068522, 0.0675068", \ + "0.150505, 0.130508, 0.126835, 0.124593, 0.12221, 0.119589, 0.118729" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0246729, 0.0257739, 0.0259816, 0.0256726, 0.0256359, 0.024589, 0.0236084", \ + "0.0277291, 0.0264922, 0.0264597, 0.0269977, 0.026135, 0.0257053, 0.0244516", \ + "0.0317271, 0.0289265, 0.0289619, 0.028605, 0.02883, 0.0275686, 0.0286238", \ + "0.0404965, 0.0348708, 0.0345772, 0.0344558, 0.0338999, 0.0332322, 0.0345546", \ + "0.0580951, 0.0485645, 0.0479563, 0.0471207, 0.046597, 0.0460434, 0.0442453", \ + "0.092081, 0.0775537, 0.0755442, 0.0733435, 0.0722759, 0.0712464, 0.0706236", \ + "0.156988, 0.135979, 0.132265, 0.129062, 0.125767, 0.124125, 0.121788" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.02341, 0.0214182, 0.0214117, 0.0212518, 0.0211436, 0.020502, 0.0194966", \ + "0.0265863, 0.0223914, 0.0223044, 0.0225171, 0.0221188, 0.0234103, 0.0200578", \ + "0.0305598, 0.0251992, 0.0249882, 0.0245087, 0.0244285, 0.0236293, 0.0225294", \ + "0.0388483, 0.0310668, 0.0307031, 0.0303263, 0.0298601, 0.0290665, 0.0303821", \ + "0.0554877, 0.044251, 0.0432813, 0.0422586, 0.0417924, 0.04141, 0.0393363", \ + "0.088242, 0.0722702, 0.0703369, 0.0689809, 0.0671878, 0.0657771, 0.0652488", \ + "0.152645, 0.131055, 0.126927, 0.123834, 0.120905, 0.118075, 0.11631" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0210137, 0.0222794, 0.0224826, 0.0223435, 0.0218781, 0.0210633, 0.0197298", \ + "0.0237373, 0.0230664, 0.0229087, 0.0230802, 0.0224766, 0.0219477, 0.0211603", \ + "0.0275531, 0.0252881, 0.0253305, 0.0249671, 0.0247886, 0.023481, 0.0227789", \ + "0.036068, 0.0311582, 0.0309193, 0.0305446, 0.0301612, 0.0290891, 0.0305391", \ + "0.0532336, 0.0446686, 0.0438253, 0.0430949, 0.0426397, 0.0422923, 0.0394959", \ + "0.0871152, 0.0727236, 0.0711199, 0.0692675, 0.0683722, 0.0672694, 0.066351", \ + "0.151897, 0.130817, 0.127416, 0.124642, 0.121488, 0.119597, 0.117156" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0225025, 0.0212422, 0.020981, 0.0209865, 0.0207928, 0.020172, 0.0190856", \ + "0.0259948, 0.022192, 0.0222299, 0.0223788, 0.0225477, 0.0214121, 0.0200753", \ + "0.0301684, 0.0249788, 0.0250674, 0.0245942, 0.0244476, 0.0248296, 0.0239071", \ + "0.0386326, 0.0310239, 0.0307916, 0.0305211, 0.03005, 0.0287169, 0.0301332", \ + "0.0556084, 0.0442235, 0.0436057, 0.0429558, 0.0424556, 0.0414512, 0.0387073", \ + "0.0889329, 0.0724893, 0.0707528, 0.0685074, 0.0677221, 0.066222, 0.0655853", \ + "0.153555, 0.131055, 0.126553, 0.123898, 0.12124, 0.118813, 0.117473" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0210137, 0.0222794, 0.0224826, 0.0223435, 0.0218781, 0.0210633, 0.0197298", \ + "0.0237373, 0.0230664, 0.0229087, 0.0230802, 0.0224766, 0.0219477, 0.0211603", \ + "0.0275531, 0.0252881, 0.0253305, 0.0249671, 0.0247886, 0.023481, 0.0227789", \ + "0.036068, 0.0311582, 0.0309193, 0.0305446, 0.0301612, 0.0290891, 0.0305391", \ + "0.0532336, 0.0446686, 0.0438253, 0.0430949, 0.0426397, 0.0422923, 0.0394959", \ + "0.0871152, 0.0727236, 0.0711199, 0.0692675, 0.0683722, 0.0672694, 0.066351", \ + "0.151897, 0.130817, 0.127416, 0.124642, 0.121488, 0.119597, 0.117156" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0225025, 0.0212422, 0.020981, 0.0209865, 0.0207928, 0.020172, 0.0190856", \ + "0.0259948, 0.022192, 0.0222299, 0.0223788, 0.0225477, 0.0214121, 0.0200753", \ + "0.0301684, 0.0249788, 0.0250674, 0.0245942, 0.0244476, 0.0248296, 0.0239071", \ + "0.0386326, 0.0310239, 0.0307916, 0.0305211, 0.03005, 0.0287169, 0.0301332", \ + "0.0556084, 0.0442235, 0.0436057, 0.0429558, 0.0424556, 0.0414512, 0.0387073", \ + "0.0889329, 0.0724893, 0.0707528, 0.0685074, 0.0677221, 0.066222, 0.0655853", \ + "0.153555, 0.131055, 0.126553, 0.123898, 0.12124, 0.118813, 0.117473" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00336365; + rise_capacitance : 0.00332305; + rise_capacitance_range (0.00332305, 0.00332305); + fall_capacitance : 0.00340425; + fall_capacitance_range (0.00340425, 0.00340425); + internal_power () { + when : "(A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00333654; + rise_capacitance : 0.00335552; + rise_capacitance_range (0.00335552, 0.00335552); + fall_capacitance : 0.00331756; + fall_capacitance_range (0.00331756, 0.00331756); + internal_power () { + when : "(A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00291197; + rise_capacitance : 0.00300472; + rise_capacitance_range (0.00300472, 0.00300472); + fall_capacitance : 0.00281922; + fall_capacitance_range (0.00281922, 0.00281922); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000541586, -0.000559771, -0.000565576, -0.000566264, -0.000576582, -0.00056438, -0.000577075" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000541586, 0.000559771, 0.000565576, 0.000566264, 0.000576582, 0.00056438, 0.000577075" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000541586, -0.000559771, -0.000565576, -0.000566264, -0.000576582, -0.00056438, -0.000577075" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000541586, 0.000559771, 0.000565576, 0.000566264, 0.000576582, 0.00056438, 0.000577075" \ + ); + } + } + } + } + cell (sg13g2_a21oi_1) { + area : 9.072; + cell_footprint : "a21oi"; + cell_leakage_power : 1213.55; + leakage_power () { + value : 591.725; + when : "!A1*!A2*!B1"; + } + leakage_power () { + value : 1093.45; + when : "!A1*!A2*B1"; + } + leakage_power () { + value : 1071.32; + when : "!A1*A2*!B1"; + } + leakage_power () { + value : 1565.66; + when : "!A1*A2*B1"; + } + leakage_power () { + value : 411.993; + when : "A1*!A2*!B1"; + } + leakage_power () { + value : 1565.66; + when : "A1*!A2*B1"; + } + leakage_power () { + value : 1409.54; + when : "A1*A2*!B1"; + } + leakage_power () { + value : 1999.05; + when : "A1*A2*B1"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0233412, 0.0845043, 0.125742, 0.193778, 0.307484, 0.497196, 0.81262", \ + "0.0314488, 0.103346, 0.146022, 0.214548, 0.328496, 0.51805, 0.834152", \ + "0.0352069, 0.116995, 0.162606, 0.233604, 0.348463, 0.537988, 0.853877", \ + "0.0393376, 0.138084, 0.188939, 0.26582, 0.385869, 0.578271, 0.894132", \ + "0.0449797, 0.170795, 0.231902, 0.318684, 0.451237, 0.654502, 0.977391", \ + "0.049425, 0.215738, 0.292637, 0.399829, 0.551513, 0.780426, 1.12645", \ + "0.049426, 0.261545, 0.362622, 0.50165, 0.690822, 0.958071, 1.35159" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0147642, 0.100043, 0.160212, 0.259579, 0.425986, 0.703441, 1.16568", \ + "0.0255396, 0.106733, 0.16406, 0.261092, 0.426453, 0.703442, 1.16571", \ + "0.0363224, 0.117837, 0.173904, 0.268074, 0.429619, 0.704066, 1.16572", \ + "0.0531544, 0.140097, 0.196224, 0.288195, 0.445169, 0.712602, 1.173", \ + "0.0822507, 0.182257, 0.239885, 0.33247, 0.487103, 0.747592, 1.18962", \ + "0.13281, 0.259317, 0.321813, 0.419404, 0.574332, 0.834529, 1.26766", \ + "0.222306, 0.38637, 0.46416, 0.57852, 0.741968, 1.00876, 1.44134" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0221414, 0.0695514, 0.100946, 0.152719, 0.239242, 0.383359, 0.623427", \ + "0.0363992, 0.0990988, 0.133529, 0.186741, 0.273508, 0.417525, 0.657506", \ + "0.0457782, 0.120105, 0.159568, 0.217962, 0.308127, 0.45298, 0.692914", \ + "0.0602311, 0.151689, 0.199249, 0.267496, 0.368532, 0.521987, 0.765253", \ + "0.0793383, 0.194807, 0.253121, 0.33684, 0.457181, 0.633316, 0.896089", \ + "0.107189, 0.25685, 0.330159, 0.432948, 0.582152, 0.794091, 1.10238", \ + "0.14978, 0.3447, 0.439755, 0.572185, 0.755938, 1.02072, 1.39643" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0171372, 0.0782498, 0.12194, 0.194108, 0.315111, 0.516417, 0.852559", \ + "0.0302453, 0.0919283, 0.131724, 0.199459, 0.316758, 0.516929, 0.85256", \ + "0.041498, 0.107992, 0.148597, 0.214606, 0.32704, 0.520922, 0.853014", \ + "0.0607457, 0.136822, 0.180408, 0.248941, 0.359091, 0.545103, 0.865331", \ + "0.0936831, 0.186896, 0.235525, 0.310005, 0.427138, 0.611863, 0.919365", \ + "0.149622, 0.272389, 0.329884, 0.414767, 0.543245, 0.743983, 1.05573", \ + "0.24903, 0.422279, 0.496154, 0.594691, 0.744865, 0.964452, 1.30726" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0277352, 0.0882726, 0.129692, 0.197911, 0.312191, 0.502816, 0.819776", \ + "0.0380389, 0.107786, 0.150336, 0.218974, 0.333312, 0.523671, 0.840824", \ + "0.0440492, 0.12203, 0.16735, 0.238211, 0.353328, 0.543718, 0.86105", \ + "0.052257, 0.144682, 0.194821, 0.271129, 0.391113, 0.583788, 0.901041", \ + "0.0668149, 0.181826, 0.24043, 0.325919, 0.457172, 0.660544, 0.984469", \ + "0.0897333, 0.237637, 0.310344, 0.413252, 0.56157, 0.787956, 1.13408", \ + "0.115856, 0.308892, 0.402584, 0.53261, 0.713665, 0.974506, 1.36348" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.019363, 0.10563, 0.166149, 0.266006, 0.433414, 0.71241, 1.17711", \ + "0.0296728, 0.111976, 0.169805, 0.267519, 0.435069, 0.712411, 1.17712", \ + "0.0397468, 0.122911, 0.179438, 0.274322, 0.437062, 0.716413, 1.17717", \ + "0.0564253, 0.144748, 0.20137, 0.294109, 0.452244, 0.721458, 1.18136", \ + "0.085483, 0.186821, 0.243823, 0.33777, 0.493732, 0.755856, 1.20063", \ + "0.136798, 0.260753, 0.324957, 0.421319, 0.579566, 0.840493, 1.27755", \ + "0.225181, 0.385259, 0.465106, 0.576625, 0.741879, 1.01189, 1.44697" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0232631, 0.0702487, 0.101678, 0.153421, 0.239946, 0.384016, 0.624089", \ + "0.0340166, 0.0910543, 0.12445, 0.177249, 0.264061, 0.408226, 0.648332", \ + "0.040747, 0.107151, 0.14354, 0.199381, 0.288384, 0.433142, 0.673196", \ + "0.0506505, 0.131895, 0.174348, 0.23664, 0.332074, 0.481892, 0.724243", \ + "0.061725, 0.165929, 0.216683, 0.290551, 0.398842, 0.563573, 0.816694", \ + "0.0739759, 0.209819, 0.276117, 0.366293, 0.497188, 0.686566, 0.968174", \ + "0.0860497, 0.264636, 0.351445, 0.470688, 0.635294, 0.865231, 1.1981" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0162673, 0.0781476, 0.121879, 0.1941, 0.31512, 0.516275, 0.852555", \ + "0.0267118, 0.0864201, 0.127663, 0.197273, 0.315981, 0.516966, 0.852556", \ + "0.0374422, 0.0975619, 0.138578, 0.206298, 0.322088, 0.519106, 0.852847", \ + "0.0564233, 0.120576, 0.16168, 0.229102, 0.341789, 0.533271, 0.859748", \ + "0.0879159, 0.164596, 0.206935, 0.274723, 0.387932, 0.576135, 0.892037", \ + "0.141281, 0.242752, 0.290094, 0.363938, 0.478947, 0.667872, 0.981572", \ + "0.234325, 0.376613, 0.441114, 0.525547, 0.650539, 0.847302, 1.1657" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0234387, 0.0848912, 0.126466, 0.194775, 0.309146, 0.499672, 0.817006", \ + "0.0390062, 0.114158, 0.157265, 0.225919, 0.340118, 0.530406, 0.847782", \ + "0.0492257, 0.13622, 0.183917, 0.256111, 0.371339, 0.561243, 0.878193", \ + "0.0636967, 0.169241, 0.224828, 0.306313, 0.429712, 0.623293, 0.940066", \ + "0.0900979, 0.222389, 0.288583, 0.385836, 0.528184, 0.740321, 1.06741", \ + "0.136196, 0.30424, 0.387182, 0.504084, 0.675409, 0.924499, 1.29106", \ + "0.20702, 0.424849, 0.530806, 0.678457, 0.887176, 1.18763, 1.62418" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0201686, 0.105601, 0.166141, 0.266039, 0.433432, 0.712337, 1.17743", \ + "0.0322187, 0.116193, 0.172335, 0.268443, 0.436335, 0.712338, 1.17767", \ + "0.0411037, 0.131993, 0.18751, 0.279719, 0.439215, 0.713308, 1.17768", \ + "0.0563218, 0.159332, 0.217815, 0.310262, 0.464102, 0.727639, 1.18159", \ + "0.0860745, 0.20467, 0.269484, 0.36933, 0.527258, 0.782017, 1.21616", \ + "0.136965, 0.281269, 0.354634, 0.467242, 0.638492, 0.90748, 1.33522", \ + "0.227741, 0.41229, 0.501508, 0.627856, 0.823436, 1.11876, 1.57676" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0125979, 0.0416828, 0.0594882, 0.0886881, 0.137456, 0.218353, 0.353032", \ + "0.0199565, 0.0685542, 0.0916467, 0.125177, 0.176133, 0.257578, 0.392171", \ + "0.0234311, 0.0850688, 0.113458, 0.153031, 0.210294, 0.296219, 0.432305", \ + "0.0276274, 0.108312, 0.14449, 0.194198, 0.263366, 0.362349, 0.509046", \ + "0.0280857, 0.134767, 0.182515, 0.24661, 0.33568, 0.457974, 0.631021", \ + "0.0280867, 0.159813, 0.224868, 0.311595, 0.427357, 0.586976, 0.803755", \ + "0.0280877, 0.170581, 0.259966, 0.379317, 0.538481, 0.747591, 1.03359" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01115, 0.0440122, 0.0680934, 0.108585, 0.176823, 0.290601, 0.480223", \ + "0.0259773, 0.0616823, 0.0841704, 0.120693, 0.183633, 0.293106, 0.481139", \ + "0.0376501, 0.0779823, 0.102188, 0.139667, 0.20082, 0.30505, 0.48603", \ + "0.0575261, 0.107099, 0.133744, 0.174832, 0.238999, 0.341133, 0.513644", \ + "0.0909276, 0.156582, 0.188726, 0.236102, 0.30681, 0.416767, 0.588145", \ + "0.146854, 0.241108, 0.282503, 0.338078, 0.423437, 0.544755, 0.733915", \ + "0.243095, 0.381062, 0.440573, 0.515968, 0.616479, 0.766057, 0.979953" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0182384, 0.0797451, 0.12102, 0.189081, 0.302934, 0.492586, 0.808119", \ + "0.0309593, 0.108588, 0.151796, 0.220203, 0.3338, 0.523185, 0.838767", \ + "0.0393944, 0.129874, 0.177885, 0.250225, 0.365069, 0.554007, 0.869237", \ + "0.0510967, 0.161649, 0.217948, 0.299706, 0.423137, 0.616058, 0.931262", \ + "0.0731004, 0.212737, 0.280237, 0.377962, 0.520464, 0.73248, 1.0585", \ + "0.113805, 0.29268, 0.37706, 0.495025, 0.666361, 0.915473, 1.28094", \ + "0.178357, 0.409212, 0.517431, 0.666411, 0.875852, 1.17654, 1.6124" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160366, 0.100281, 0.160143, 0.259554, 0.425998, 0.703413, 1.16582", \ + "0.0271, 0.111329, 0.16688, 0.262128, 0.427901, 0.703414, 1.16583", \ + "0.0357338, 0.127174, 0.182376, 0.273768, 0.432213, 0.704593, 1.16584", \ + "0.0497268, 0.154239, 0.212596, 0.304731, 0.457396, 0.718988, 1.17894", \ + "0.0782301, 0.198616, 0.264286, 0.363316, 0.520176, 0.774072, 1.20546", \ + "0.128595, 0.27644, 0.348768, 0.460862, 0.632526, 0.899238, 1.32487", \ + "0.21952, 0.406947, 0.496478, 0.622377, 0.817033, 1.11247, 1.56776" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122316, 0.041224, 0.0588546, 0.0878417, 0.136357, 0.217231, 0.351887", \ + "0.0187612, 0.067692, 0.0907451, 0.124201, 0.174984, 0.256405, 0.391128", \ + "0.021232, 0.0838153, 0.112308, 0.151763, 0.209004, 0.294965, 0.431075", \ + "0.0229562, 0.106298, 0.142586, 0.192505, 0.261782, 0.360946, 0.507776", \ + "0.0229572, 0.131183, 0.179629, 0.244151, 0.333812, 0.456275, 0.629672", \ + "0.0229582, 0.153944, 0.220382, 0.308212, 0.424675, 0.58508, 0.802092", \ + "0.0229592, 0.161787, 0.25348, 0.374478, 0.535301, 0.744788, 1.03143" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00784807, 0.0411347, 0.0652473, 0.105795, 0.173961, 0.287773, 0.477383", \ + "0.0192861, 0.0583258, 0.0811346, 0.117825, 0.180898, 0.290265, 0.477859", \ + "0.028552, 0.0742282, 0.0988379, 0.136636, 0.197959, 0.302247, 0.483206", \ + "0.0446528, 0.10185, 0.130281, 0.171647, 0.236181, 0.338446, 0.510931", \ + "0.0726941, 0.150354, 0.183413, 0.232416, 0.303074, 0.413162, 0.585446", \ + "0.120653, 0.232585, 0.275451, 0.332856, 0.417827, 0.541388, 0.730547", \ + "0.209171, 0.368647, 0.431402, 0.509847, 0.610552, 0.762958, 0.976681" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0154783, 0.0619896, 0.092761, 0.14345, 0.228191, 0.369382, 0.604741", \ + "0.0266042, 0.0911502, 0.125351, 0.177408, 0.262322, 0.403341, 0.63856", \ + "0.0333244, 0.110882, 0.150136, 0.2076, 0.295687, 0.437232, 0.6721", \ + "0.0421911, 0.138997, 0.186379, 0.253994, 0.352977, 0.502265, 0.739335", \ + "0.057156, 0.182853, 0.24189, 0.32428, 0.443315, 0.615266, 0.870456", \ + "0.084609, 0.247916, 0.323223, 0.426807, 0.573183, 0.783897, 1.08298", \ + "0.124745, 0.336208, 0.434373, 0.569155, 0.75458, 1.01323, 1.38401" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126483, 0.0748661, 0.120204, 0.195059, 0.320503, 0.52976, 0.878303", \ + "0.0241159, 0.0892122, 0.130231, 0.200227, 0.322, 0.53135, 0.878304", \ + "0.0331386, 0.105751, 0.147502, 0.215381, 0.331691, 0.533508, 0.878506", \ + "0.0462348, 0.13287, 0.179385, 0.249046, 0.363118, 0.55547, 0.888644", \ + "0.0731887, 0.178795, 0.230676, 0.30986, 0.429893, 0.621805, 0.939059", \ + "0.124441, 0.256309, 0.318194, 0.406656, 0.545181, 0.751829, 1.07656", \ + "0.216858, 0.384769, 0.46131, 0.573586, 0.728141, 0.966571, 1.32618" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119921, 0.0410537, 0.058701, 0.0876865, 0.136259, 0.217083, 0.351706", \ + "0.0190147, 0.0675336, 0.0905978, 0.123959, 0.174836, 0.256234, 0.390837", \ + "0.0223394, 0.0838563, 0.112156, 0.151614, 0.208919, 0.294836, 0.430927", \ + "0.0261047, 0.107286, 0.143107, 0.192708, 0.262013, 0.360896, 0.507668", \ + "0.0261057, 0.134856, 0.182114, 0.245979, 0.334836, 0.45671, 0.62981", \ + "0.0261067, 0.164672, 0.229, 0.314563, 0.428834, 0.587306, 0.80312", \ + "0.0261077, 0.189581, 0.277214, 0.393954, 0.549866, 0.755481, 1.0386" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00797358, 0.0411559, 0.0652412, 0.105787, 0.174056, 0.287773, 0.477433", \ + "0.019362, 0.0584722, 0.0812676, 0.117871, 0.180888, 0.290287, 0.477877", \ + "0.0285442, 0.0742337, 0.0988257, 0.136813, 0.198035, 0.302321, 0.483181", \ + "0.044584, 0.10154, 0.12994, 0.171436, 0.23605, 0.338215, 0.510899", \ + "0.0727021, 0.148314, 0.181743, 0.230129, 0.302648, 0.413142, 0.584962", \ + "0.122265, 0.228751, 0.271562, 0.328677, 0.415819, 0.538758, 0.730198", \ + "0.213792, 0.361809, 0.422277, 0.501006, 0.602729, 0.752994, 0.972426" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0234387, 0.0848912, 0.126466, 0.194775, 0.309146, 0.499672, 0.817006", \ + "0.0390062, 0.114158, 0.157265, 0.225919, 0.340118, 0.530406, 0.847782", \ + "0.0492257, 0.13622, 0.183917, 0.256111, 0.371339, 0.561243, 0.878193", \ + "0.0636967, 0.169241, 0.224828, 0.306313, 0.429712, 0.623293, 0.940066", \ + "0.0900979, 0.222389, 0.288583, 0.385836, 0.528184, 0.740321, 1.06741", \ + "0.136196, 0.30424, 0.387182, 0.504084, 0.675409, 0.924499, 1.29106", \ + "0.20702, 0.424849, 0.530806, 0.678457, 0.887176, 1.18763, 1.62418" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0201686, 0.105601, 0.166141, 0.266039, 0.433432, 0.712337, 1.17743", \ + "0.0322187, 0.116193, 0.172335, 0.268443, 0.436335, 0.712338, 1.17767", \ + "0.0411037, 0.131993, 0.18751, 0.279719, 0.439215, 0.713308, 1.17768", \ + "0.0563218, 0.159332, 0.217815, 0.310262, 0.464102, 0.727639, 1.18159", \ + "0.0860745, 0.20467, 0.269484, 0.36933, 0.527258, 0.782017, 1.21616", \ + "0.136965, 0.281269, 0.354634, 0.467242, 0.638492, 0.90748, 1.33522", \ + "0.227741, 0.41229, 0.501508, 0.627856, 0.823436, 1.11876, 1.57676" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119921, 0.0410537, 0.058701, 0.0876865, 0.136259, 0.217083, 0.351706", \ + "0.0190147, 0.0675336, 0.0905978, 0.123959, 0.174836, 0.256234, 0.390837", \ + "0.0223394, 0.0838563, 0.112156, 0.151614, 0.208919, 0.294836, 0.430927", \ + "0.0261047, 0.107286, 0.143107, 0.192708, 0.262013, 0.360896, 0.507668", \ + "0.0261057, 0.134856, 0.182114, 0.245979, 0.334836, 0.45671, 0.62981", \ + "0.0261067, 0.164672, 0.229, 0.314563, 0.428834, 0.587306, 0.80312", \ + "0.0261077, 0.189581, 0.277214, 0.393954, 0.549866, 0.755481, 1.0386" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00797358, 0.0411559, 0.0652412, 0.105787, 0.174056, 0.287773, 0.477433", \ + "0.019362, 0.0584722, 0.0812676, 0.117871, 0.180888, 0.290287, 0.477877", \ + "0.0285442, 0.0742337, 0.0988257, 0.136813, 0.198035, 0.302321, 0.483181", \ + "0.044584, 0.10154, 0.12994, 0.171436, 0.23605, 0.338215, 0.510899", \ + "0.0727021, 0.148314, 0.181743, 0.230129, 0.302648, 0.413142, 0.584962", \ + "0.122265, 0.228751, 0.271562, 0.328677, 0.415819, 0.538758, 0.730198", \ + "0.213792, 0.361809, 0.422277, 0.501006, 0.602729, 0.752994, 0.972426" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00720534, 0.00807767, 0.00811551, 0.00804336, 0.00787337, 0.0075056, 0.00689074", \ + "0.00793866, 0.00781807, 0.00794233, 0.00806071, 0.00776285, 0.00736961, 0.00681095", \ + "0.0102056, 0.00873653, 0.00846882, 0.00855595, 0.00816683, 0.00755072, 0.00686353", \ + "0.0156755, 0.0120288, 0.0111786, 0.0103041, 0.00970876, 0.00851763, 0.00829119", \ + "0.0279214, 0.0212337, 0.019185, 0.0169729, 0.0147266, 0.0128807, 0.0103763", \ + "0.0532592, 0.043427, 0.0393414, 0.0349206, 0.0298639, 0.0251049, 0.0202424", \ + "0.104808, 0.0915983, 0.0852003, 0.0777066, 0.0680904, 0.0581927, 0.0482813" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00678096, 0.00709771, 0.00700692, 0.006892, 0.0066896, 0.00632495, 0.00571308", \ + "0.00802228, 0.00733412, 0.0072278, 0.00691569, 0.00713825, 0.00635372, 0.0055847", \ + "0.0105798, 0.00857954, 0.00808948, 0.00769396, 0.00727662, 0.00676384, 0.00584937", \ + "0.016326, 0.0122217, 0.0110812, 0.0100126, 0.00874342, 0.0081673, 0.00669659", \ + "0.028801, 0.0218487, 0.0194359, 0.0169045, 0.0143861, 0.0118604, 0.00988022", \ + "0.0542953, 0.0442899, 0.0397753, 0.0346318, 0.0292134, 0.0241496, 0.0189718", \ + "0.105821, 0.0927257, 0.0861378, 0.0777092, 0.0673067, 0.0561826, 0.0451661" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0089892, 0.00919534, 0.00915506, 0.00903068, 0.00881153, 0.00841794, 0.00784724", \ + "0.00958116, 0.00925777, 0.00919213, 0.00918834, 0.00900807, 0.00839469, 0.00765943", \ + "0.0117965, 0.0102641, 0.00991866, 0.00967835, 0.009204, 0.00911081, 0.00800795", \ + "0.0173667, 0.0138217, 0.0129004, 0.0118364, 0.0109949, 0.00980217, 0.00896148", \ + "0.0299996, 0.0238305, 0.0214818, 0.0190264, 0.0166086, 0.0144755, 0.011996", \ + "0.0561955, 0.0472326, 0.0432951, 0.0383702, 0.0329183, 0.0274456, 0.0220793", \ + "0.109537, 0.0977054, 0.0917537, 0.0841645, 0.0741096, 0.063173, 0.0517237" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00889492, 0.00913217, 0.00902255, 0.00891404, 0.00870282, 0.00825271, 0.0077046", \ + "0.00952995, 0.00918394, 0.00916123, 0.00890031, 0.00907493, 0.00832447, 0.00762255", \ + "0.0117149, 0.0102367, 0.00987858, 0.00950067, 0.00955778, 0.00859488, 0.00785339", \ + "0.0174122, 0.0136221, 0.0127295, 0.0118207, 0.0106995, 0.0102632, 0.00886417", \ + "0.0301036, 0.0232813, 0.0208248, 0.0184139, 0.0160282, 0.0139672, 0.0119065", \ + "0.0563819, 0.0461005, 0.0417877, 0.036476, 0.031205, 0.0259912, 0.0213614", \ + "0.109565, 0.0961901, 0.0894437, 0.080811, 0.0704974, 0.0593772, 0.0487014" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00458954, 0.00515803, 0.0052184, 0.00515451, 0.0050094, 0.00468519, 0.00407955", \ + "0.00661789, 0.00561017, 0.00554758, 0.00538987, 0.00540864, 0.00459837, 0.00411849", \ + "0.00950501, 0.0072543, 0.00672159, 0.00640549, 0.00565168, 0.0049229, 0.00417726", \ + "0.0156005, 0.011784, 0.0105396, 0.00920582, 0.00815254, 0.00669169, 0.00522839", \ + "0.0282593, 0.0222128, 0.0199352, 0.0174815, 0.0146976, 0.0121029, 0.00960822", \ + "0.0552938, 0.0456966, 0.0419788, 0.037023, 0.0319351, 0.0264152, 0.020878", \ + "0.109168, 0.0956891, 0.0895549, 0.0823293, 0.0733576, 0.0634705, 0.0525165" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00684671, 0.00769932, 0.00776205, 0.0076155, 0.00747343, 0.00716285, 0.00655288", \ + "0.00867657, 0.00808221, 0.00790481, 0.00789133, 0.00785875, 0.00788957, 0.00684461", \ + "0.011527, 0.00932155, 0.00903503, 0.00860935, 0.0081087, 0.00794, 0.00700811", \ + "0.017701, 0.0131247, 0.0120723, 0.0111191, 0.0101506, 0.00881327, 0.00847091", \ + "0.0308676, 0.0234163, 0.0207109, 0.0183051, 0.0158956, 0.0138341, 0.0109537", \ + "0.0575717, 0.0466079, 0.0420211, 0.0369634, 0.0315735, 0.0261994, 0.0217389", \ + "0.111578, 0.0971396, 0.0902825, 0.0814513, 0.0710859, 0.0596344, 0.0492891" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00407281, 0.00477954, 0.00479971, 0.00477037, 0.00461849, 0.00421819, 0.00364101", \ + "0.00650928, 0.00524363, 0.00508542, 0.00506078, 0.00485281, 0.0042051, 0.00355238", \ + "0.00959025, 0.00697413, 0.006368, 0.00586368, 0.00521258, 0.00460016, 0.00378252", \ + "0.0159261, 0.011578, 0.0102878, 0.0089317, 0.00772818, 0.00630001, 0.00610281", \ + "0.0289174, 0.0221043, 0.0198237, 0.017239, 0.0143979, 0.011928, 0.0088473", \ + "0.0563043, 0.0460377, 0.0421005, 0.0370468, 0.0318909, 0.0262399, 0.0205135", \ + "0.110641, 0.0963791, 0.0902034, 0.0826675, 0.073512, 0.063342, 0.0525383" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00339286, 0.00435453, 0.0043799, 0.00420377, 0.00404454, 0.00370185, 0.00316102", \ + "0.00530752, 0.00465363, 0.00451886, 0.00445734, 0.00433333, 0.00436683, 0.00324032", \ + "0.00824479, 0.00593351, 0.00562562, 0.00523389, 0.0046748, 0.00495316, 0.00345954", \ + "0.0145051, 0.00970453, 0.00876948, 0.00776874, 0.00674058, 0.00543047, 0.00500729", \ + "0.0278458, 0.020166, 0.017364, 0.0150774, 0.0125477, 0.0104438, 0.00775029", \ + "0.0547985, 0.0435005, 0.0388665, 0.0337666, 0.0280943, 0.022972, 0.0183624", \ + "0.109056, 0.0942021, 0.0873264, 0.0784492, 0.0680381, 0.0566684, 0.0462768" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0041397, 0.00491692, 0.0048411, 0.00478769, 0.00461857, 0.00422592, 0.0042037", \ + "0.00682139, 0.00541003, 0.00524269, 0.00492563, 0.00539912, 0.0047002, 0.00349333", \ + "0.0102115, 0.00740663, 0.00659745, 0.00614305, 0.00544402, 0.00546639, 0.00436852", \ + "0.0171039, 0.0123612, 0.0110785, 0.00952183, 0.00818303, 0.00652898, 0.00631664", \ + "0.0312927, 0.0237364, 0.0214288, 0.0187558, 0.015628, 0.012514, 0.011086", \ + "0.0607167, 0.0499629, 0.0453071, 0.0400507, 0.0345684, 0.0288093, 0.0224504", \ + "0.119432, 0.104756, 0.0979659, 0.0901095, 0.0800524, 0.0689446, 0.0578363" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0031907, 0.00414159, 0.00406953, 0.00398555, 0.00388746, 0.00352074, 0.00288063", \ + "0.00542057, 0.0045016, 0.00435845, 0.00423095, 0.00415343, 0.00411703, 0.00300325", \ + "0.00871574, 0.00596388, 0.00555745, 0.00512253, 0.00451435, 0.00486343, 0.00345752", \ + "0.0155547, 0.0102395, 0.00910707, 0.00793943, 0.00695079, 0.00552598, 0.00494719", \ + "0.0301475, 0.0216735, 0.0188672, 0.015918, 0.013288, 0.0108322, 0.00811522", \ + "0.0594062, 0.0472649, 0.0422702, 0.0365325, 0.0306502, 0.0246542, 0.0195873", \ + "0.118426, 0.102534, 0.0950813, 0.0856875, 0.0743612, 0.061767, 0.0508019" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00458954, 0.00515803, 0.0052184, 0.00515451, 0.0050094, 0.00468519, 0.00407955", \ + "0.00661789, 0.00561017, 0.00554758, 0.00538987, 0.00540864, 0.00459837, 0.00411849", \ + "0.00950501, 0.0072543, 0.00672159, 0.00640549, 0.00565168, 0.0049229, 0.00417726", \ + "0.0156005, 0.011784, 0.0105396, 0.00920582, 0.00815254, 0.00669169, 0.00522839", \ + "0.0282593, 0.0222128, 0.0199352, 0.0174815, 0.0146976, 0.0121029, 0.00960822", \ + "0.0552938, 0.0456966, 0.0419788, 0.037023, 0.0319351, 0.0264152, 0.020878", \ + "0.109168, 0.0956891, 0.0895549, 0.0823293, 0.0733576, 0.0634705, 0.0525165" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0031907, 0.00414159, 0.00406953, 0.00398555, 0.00388746, 0.00352074, 0.00288063", \ + "0.00542057, 0.0045016, 0.00435845, 0.00423095, 0.00415343, 0.00411703, 0.00300325", \ + "0.00871574, 0.00596388, 0.00555745, 0.00512253, 0.00451435, 0.00486343, 0.00345752", \ + "0.0155547, 0.0102395, 0.00910707, 0.00793943, 0.00695079, 0.00552598, 0.00494719", \ + "0.0301475, 0.0216735, 0.0188672, 0.015918, 0.013288, 0.0108322, 0.00811522", \ + "0.0594062, 0.0472649, 0.0422702, 0.0365325, 0.0306502, 0.0246542, 0.0195873", \ + "0.118426, 0.102534, 0.0950813, 0.0856875, 0.0743612, 0.061767, 0.0508019" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00312138; + rise_capacitance : 0.00313329; + rise_capacitance_range (0.00313329, 0.00313329); + fall_capacitance : 0.00310948; + fall_capacitance_range (0.00310948, 0.00310948); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00124626, -0.00124151, -0.00124206, -0.00124474, -0.00124788, -0.00124656, -0.00125183" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00124626, 0.00124151, 0.00124206, 0.00124474, 0.00124788, 0.00124656, 0.00125183" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00124626, -0.00124151, -0.00124206, -0.00124474, -0.00124788, -0.00124656, -0.00125183" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00124626, 0.00124151, 0.00124206, 0.00124474, 0.00124788, 0.00124656, 0.00125183" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00332203; + rise_capacitance : 0.00334477; + rise_capacitance_range (0.00334477, 0.00334477); + fall_capacitance : 0.00329929; + fall_capacitance_range (0.00329929, 0.00329929); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00299019; + rise_capacitance : 0.00306214; + rise_capacitance_range (0.00306214, 0.00306214); + fall_capacitance : 0.00291824; + fall_capacitance_range (0.00291824, 0.00291824); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_a21oi_2) { + area : 14.5152; + cell_footprint : "a21oi"; + cell_leakage_power : 2427.1; + leakage_power () { + value : 1183.45; + when : "!A1*!A2*!B1"; + } + leakage_power () { + value : 2186.9; + when : "!A1*!A2*B1"; + } + leakage_power () { + value : 2142.64; + when : "!A1*A2*!B1"; + } + leakage_power () { + value : 3131.32; + when : "!A1*A2*B1"; + } + leakage_power () { + value : 823.988; + when : "A1*!A2*!B1"; + } + leakage_power () { + value : 3131.32; + when : "A1*!A2*B1"; + } + leakage_power () { + value : 2819.07; + when : "A1*A2*!B1"; + } + leakage_power () { + value : 3998.11; + when : "A1*A2*B1"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0213285, 0.0848041, 0.126419, 0.195051, 0.309927, 0.501385, 0.820151", \ + "0.0284707, 0.103363, 0.146477, 0.215663, 0.33065, 0.522103, 0.840966", \ + "0.0313417, 0.116973, 0.162877, 0.234502, 0.350438, 0.54187, 0.860423", \ + "0.0347337, 0.137839, 0.18877, 0.266523, 0.387563, 0.581579, 0.900426", \ + "0.0388024, 0.170443, 0.231816, 0.318964, 0.452295, 0.657225, 0.982989", \ + "0.0410409, 0.214993, 0.292423, 0.399111, 0.552644, 0.782088, 1.13072", \ + "0.0410419, 0.260458, 0.362177, 0.501167, 0.691927, 0.959322, 1.35444" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0125042, 0.10059, 0.161459, 0.261911, 0.430321, 0.710954, 1.17873", \ + "0.0231922, 0.107174, 0.165242, 0.263462, 0.431383, 0.710955, 1.17874", \ + "0.0339428, 0.118199, 0.174943, 0.270336, 0.433878, 0.712806, 1.17875", \ + "0.0503179, 0.140303, 0.196611, 0.290241, 0.449121, 0.720013, 1.18575", \ + "0.0783223, 0.183238, 0.240486, 0.334138, 0.490598, 0.754464, 1.20205", \ + "0.127501, 0.259008, 0.3235, 0.420323, 0.578192, 0.840299, 1.27886", \ + "0.216344, 0.386543, 0.466698, 0.580034, 0.747667, 1.0138, 1.45088" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0203437, 0.0693152, 0.100847, 0.152749, 0.239531, 0.384098, 0.624858", \ + "0.0336283, 0.0988795, 0.133454, 0.186834, 0.273887, 0.418328, 0.659021", \ + "0.0423868, 0.119732, 0.159476, 0.217946, 0.30836, 0.453656, 0.694206", \ + "0.0559885, 0.151274, 0.198898, 0.267502, 0.368755, 0.522643, 0.766612", \ + "0.0739431, 0.194457, 0.25237, 0.336275, 0.457366, 0.634027, 0.897386", \ + "0.100279, 0.256362, 0.329533, 0.432507, 0.582179, 0.79503, 1.1037", \ + "0.141002, 0.34364, 0.439013, 0.571013, 0.756232, 1.02077, 1.3978" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.015492, 0.078152, 0.122067, 0.194503, 0.316032, 0.518213, 0.855812", \ + "0.028144, 0.0916919, 0.131826, 0.199838, 0.317699, 0.518709, 0.855813", \ + "0.0389289, 0.107891, 0.14874, 0.214943, 0.327858, 0.522683, 0.857665", \ + "0.0574401, 0.136259, 0.180158, 0.248944, 0.359963, 0.546703, 0.868541", \ + "0.0890772, 0.18654, 0.236173, 0.310319, 0.427728, 0.6133, 0.922505", \ + "0.143606, 0.272508, 0.329935, 0.415333, 0.543063, 0.745316, 1.05815", \ + "0.240646, 0.423009, 0.496867, 0.596042, 0.745189, 0.966685, 1.31001" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0259177, 0.0880089, 0.129362, 0.197553, 0.31166, 0.502082, 0.818709", \ + "0.0355911, 0.107473, 0.150013, 0.218575, 0.332741, 0.523109, 0.840062", \ + "0.0411142, 0.12174, 0.167045, 0.237904, 0.352857, 0.542963, 0.860074", \ + "0.0486642, 0.14432, 0.194506, 0.270774, 0.390703, 0.583196, 0.900076", \ + "0.0619384, 0.181002, 0.240277, 0.325963, 0.456985, 0.660009, 0.983682", \ + "0.0831698, 0.236906, 0.309495, 0.411624, 0.560969, 0.788164, 1.13333", \ + "0.10733, 0.307761, 0.40112, 0.531797, 0.712858, 0.974571, 1.36265" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0170245, 0.10541, 0.165748, 0.26573, 0.433065, 0.712107, 1.17687", \ + "0.0272285, 0.111591, 0.169428, 0.267136, 0.434487, 0.712108, 1.17688", \ + "0.0374077, 0.122454, 0.179089, 0.274042, 0.436677, 0.712617, 1.17697", \ + "0.0532867, 0.144422, 0.201039, 0.293806, 0.45195, 0.721104, 1.18451", \ + "0.0816157, 0.18547, 0.243861, 0.337903, 0.493505, 0.755607, 1.20056", \ + "0.131589, 0.260412, 0.324294, 0.420245, 0.5798, 0.841545, 1.27728", \ + "0.218465, 0.384424, 0.46242, 0.576582, 0.74199, 1.01131, 1.44742" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0217364, 0.0701967, 0.101731, 0.153996, 0.240484, 0.38492, 0.625858", \ + "0.0317911, 0.0910226, 0.124528, 0.177476, 0.264548, 0.409146, 0.649978", \ + "0.0379085, 0.107059, 0.143557, 0.199606, 0.288797, 0.434027, 0.674956", \ + "0.0470554, 0.131976, 0.174415, 0.236837, 0.332583, 0.482788, 0.725824", \ + "0.0571646, 0.165719, 0.216647, 0.290844, 0.399837, 0.563581, 0.818477", \ + "0.068167, 0.209529, 0.276096, 0.366314, 0.497796, 0.688946, 0.969798", \ + "0.078609, 0.264069, 0.351496, 0.471065, 0.635626, 0.864572, 1.20016" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0145724, 0.0780966, 0.121969, 0.194911, 0.315934, 0.518064, 0.855453", \ + "0.0248228, 0.0862658, 0.127777, 0.19767, 0.316911, 0.518714, 0.855756", \ + "0.0354562, 0.0974577, 0.138654, 0.206679, 0.322961, 0.520891, 0.858381", \ + "0.0540714, 0.120577, 0.161552, 0.229461, 0.34268, 0.534932, 0.862672", \ + "0.0847358, 0.16455, 0.207153, 0.275006, 0.389015, 0.576861, 0.895514", \ + "0.136877, 0.243034, 0.290236, 0.363874, 0.479312, 0.670387, 0.984278", \ + "0.227166, 0.376442, 0.441444, 0.526449, 0.651878, 0.851578, 1.16886" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0216381, 0.0846349, 0.126169, 0.194844, 0.308686, 0.498978, 0.81625", \ + "0.0362813, 0.113902, 0.156912, 0.225591, 0.339689, 0.52977, 0.846928", \ + "0.0460351, 0.135914, 0.18344, 0.25572, 0.370834, 0.560633, 0.877078", \ + "0.0596964, 0.168887, 0.224523, 0.305876, 0.429239, 0.622594, 0.938881", \ + "0.0849067, 0.221769, 0.28802, 0.385121, 0.527474, 0.739336, 1.06637", \ + "0.129428, 0.303664, 0.386893, 0.503367, 0.674688, 0.923388, 1.28973", \ + "0.198696, 0.424049, 0.529723, 0.67751, 0.885582, 1.18632, 1.62217" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0179425, 0.105168, 0.165712, 0.266063, 0.433107, 0.712003, 1.177", \ + "0.0293302, 0.115815, 0.171975, 0.268071, 0.436085, 0.712004, 1.17701", \ + "0.0376353, 0.131599, 0.187198, 0.279364, 0.438876, 0.713115, 1.17702", \ + "0.0524184, 0.159142, 0.217464, 0.310108, 0.46369, 0.727372, 1.18976", \ + "0.0806883, 0.203911, 0.269029, 0.368637, 0.526552, 0.781908, 1.21605", \ + "0.130017, 0.280719, 0.354585, 0.466551, 0.638266, 0.907068, 1.33473", \ + "0.217335, 0.411462, 0.500315, 0.627148, 0.822372, 1.11875, 1.57627" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0113291, 0.0414682, 0.0592232, 0.0883468, 0.137035, 0.217727, 0.352031", \ + "0.0174446, 0.068237, 0.0913133, 0.124855, 0.175586, 0.256887, 0.391191", \ + "0.0201812, 0.0846686, 0.113061, 0.152571, 0.209786, 0.295533, 0.431284", \ + "0.0233687, 0.107777, 0.143943, 0.193639, 0.262904, 0.361588, 0.507918", \ + "0.0233697, 0.134122, 0.181846, 0.245931, 0.334895, 0.457022, 0.629813", \ + "0.0233707, 0.159025, 0.224156, 0.310591, 0.426395, 0.585515, 0.801705", \ + "0.0233717, 0.169769, 0.259097, 0.378157, 0.537263, 0.745946, 1.03174" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0103645, 0.0438911, 0.0679419, 0.108376, 0.176515, 0.290138, 0.479571", \ + "0.0250872, 0.0615312, 0.084014, 0.120466, 0.183386, 0.292626, 0.480532", \ + "0.0365088, 0.077888, 0.102008, 0.13947, 0.200542, 0.30468, 0.485341", \ + "0.0559675, 0.10684, 0.133607, 0.174614, 0.23857, 0.340728, 0.513034", \ + "0.0888275, 0.156454, 0.188486, 0.235883, 0.306425, 0.415736, 0.587469", \ + "0.143554, 0.241389, 0.282171, 0.338146, 0.421264, 0.544017, 0.734155", \ + "0.238806, 0.381546, 0.440991, 0.515808, 0.616771, 0.766765, 0.977852" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0162583, 0.0801297, 0.121772, 0.190504, 0.305367, 0.496767, 0.815633", \ + "0.0278117, 0.109001, 0.15256, 0.221653, 0.336371, 0.527704, 0.847026", \ + "0.0356548, 0.130317, 0.178719, 0.251686, 0.367537, 0.558436, 0.876736", \ + "0.0465343, 0.162126, 0.219013, 0.30134, 0.425727, 0.620399, 0.938624", \ + "0.0669594, 0.213676, 0.281437, 0.379849, 0.523504, 0.73703, 1.06612", \ + "0.106608, 0.294324, 0.378669, 0.497414, 0.670499, 0.921184, 1.2895", \ + "0.170135, 0.411774, 0.520332, 0.670216, 0.880972, 1.18313, 1.62204" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0138115, 0.100736, 0.161359, 0.261883, 0.430255, 0.710746, 1.17898", \ + "0.0239376, 0.111859, 0.168128, 0.264501, 0.431316, 0.710878, 1.17899", \ + "0.0323952, 0.127756, 0.183515, 0.276007, 0.43627, 0.712029, 1.179", \ + "0.0450992, 0.154723, 0.213786, 0.306855, 0.461298, 0.726208, 1.19046", \ + "0.0724652, 0.199221, 0.265167, 0.365267, 0.524081, 0.781132, 1.21778", \ + "0.122049, 0.277002, 0.349208, 0.462634, 0.635708, 0.906565, 1.33677", \ + "0.211384, 0.407052, 0.498972, 0.624341, 0.819667, 1.11888, 1.58028" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0109559, 0.0410353, 0.058628, 0.0875215, 0.13589, 0.216542, 0.350789", \ + "0.0161778, 0.06742, 0.0904625, 0.123817, 0.174446, 0.255617, 0.389888", \ + "0.0177273, 0.0834019, 0.111908, 0.151325, 0.208464, 0.294244, 0.429996", \ + "0.0181316, 0.105723, 0.142032, 0.191922, 0.261246, 0.360187, 0.506586", \ + "0.0181326, 0.130157, 0.178775, 0.243326, 0.332876, 0.45512, 0.628351", \ + "0.0181336, 0.152192, 0.219038, 0.306907, 0.423295, 0.583329, 0.800118", \ + "0.0181346, 0.158929, 0.25086, 0.37213, 0.532403, 0.742746, 1.02929" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00680058, 0.0408685, 0.0649378, 0.105397, 0.173556, 0.287175, 0.476577", \ + "0.017469, 0.0580614, 0.0808783, 0.117476, 0.18045, 0.289636, 0.477316", \ + "0.0260833, 0.0739383, 0.0984986, 0.136352, 0.197693, 0.301745, 0.482402", \ + "0.0411761, 0.101741, 0.129942, 0.170998, 0.235575, 0.337913, 0.510004", \ + "0.0676053, 0.150297, 0.183, 0.231077, 0.303134, 0.413364, 0.584871", \ + "0.114182, 0.232113, 0.275268, 0.332665, 0.416689, 0.539873, 0.729943", \ + "0.200988, 0.368337, 0.430823, 0.509557, 0.610138, 0.759195, 0.976233" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.013768, 0.0620576, 0.0929892, 0.14391, 0.229104, 0.371068, 0.607321", \ + "0.02372, 0.0912799, 0.125536, 0.177952, 0.263256, 0.40501, 0.641388", \ + "0.0298108, 0.110793, 0.150343, 0.208056, 0.296511, 0.438794, 0.674891", \ + "0.0377604, 0.138975, 0.186452, 0.254374, 0.353756, 0.503803, 0.742033", \ + "0.0512169, 0.182742, 0.241822, 0.324722, 0.444286, 0.61668, 0.873157", \ + "0.0771216, 0.247854, 0.32385, 0.427933, 0.57448, 0.785114, 1.08571", \ + "0.115927, 0.336767, 0.435363, 0.569969, 0.75699, 1.01454, 1.38703" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0108509, 0.0749039, 0.120598, 0.196013, 0.322262, 0.533042, 0.88363", \ + "0.0216359, 0.0892434, 0.130519, 0.201073, 0.323651, 0.533047, 0.883737", \ + "0.030038, 0.105688, 0.14784, 0.216187, 0.333323, 0.536671, 0.884127", \ + "0.0422862, 0.132791, 0.179066, 0.249755, 0.36459, 0.558622, 0.894188", \ + "0.0678783, 0.178578, 0.230608, 0.309638, 0.431276, 0.623984, 0.944397", \ + "0.117638, 0.255746, 0.318401, 0.407676, 0.544815, 0.753882, 1.08142", \ + "0.208952, 0.384397, 0.463658, 0.574401, 0.732208, 0.969136, 1.33061" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0107085, 0.0408699, 0.0584624, 0.0873784, 0.135748, 0.21639, 0.350687", \ + "0.0165056, 0.0672245, 0.0902519, 0.123636, 0.174288, 0.255478, 0.38979", \ + "0.0189889, 0.0834629, 0.11175, 0.151202, 0.208324, 0.294133, 0.42987", \ + "0.0215308, 0.106744, 0.14256, 0.192266, 0.261369, 0.360066, 0.506554", \ + "0.0215318, 0.134016, 0.181415, 0.244948, 0.333934, 0.455817, 0.62855", \ + "0.0215328, 0.163354, 0.227957, 0.313176, 0.427621, 0.586113, 0.801762", \ + "0.0215338, 0.187317, 0.275165, 0.392051, 0.547702, 0.751952, 1.03606" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00692968, 0.0408931, 0.0649355, 0.105437, 0.173524, 0.287201, 0.476612", \ + "0.0175038, 0.0581838, 0.0809959, 0.117583, 0.180492, 0.28971, 0.476999", \ + "0.0260774, 0.0739364, 0.0984749, 0.136533, 0.197616, 0.301812, 0.48237", \ + "0.0412953, 0.101114, 0.12916, 0.170981, 0.235623, 0.337659, 0.510289", \ + "0.0681456, 0.148064, 0.181066, 0.229759, 0.302481, 0.412115, 0.584705", \ + "0.116677, 0.228406, 0.270062, 0.328429, 0.415659, 0.538967, 0.728987", \ + "0.20686, 0.361008, 0.422349, 0.500608, 0.601972, 0.755808, 0.968455" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0216381, 0.0846349, 0.126169, 0.194844, 0.308686, 0.498978, 0.81625", \ + "0.0362813, 0.113902, 0.156912, 0.225591, 0.339689, 0.52977, 0.846928", \ + "0.0460351, 0.135914, 0.18344, 0.25572, 0.370834, 0.560633, 0.877078", \ + "0.0596964, 0.168887, 0.224523, 0.305876, 0.429239, 0.622594, 0.938881", \ + "0.0849067, 0.221769, 0.28802, 0.385121, 0.527474, 0.739336, 1.06637", \ + "0.129428, 0.303664, 0.386893, 0.503367, 0.674688, 0.923388, 1.28973", \ + "0.198696, 0.424049, 0.529723, 0.67751, 0.885582, 1.18632, 1.62217" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0179425, 0.105168, 0.165712, 0.266063, 0.433107, 0.712003, 1.177", \ + "0.0293302, 0.115815, 0.171975, 0.268071, 0.436085, 0.712004, 1.17701", \ + "0.0376353, 0.131599, 0.187198, 0.279364, 0.438876, 0.713115, 1.17702", \ + "0.0524184, 0.159142, 0.217464, 0.310108, 0.46369, 0.727372, 1.18976", \ + "0.0806883, 0.203911, 0.269029, 0.368637, 0.526552, 0.781908, 1.21605", \ + "0.130017, 0.280719, 0.354585, 0.466551, 0.638266, 0.907068, 1.33473", \ + "0.217335, 0.411462, 0.500315, 0.627148, 0.822372, 1.11875, 1.57627" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0107085, 0.0408699, 0.0584624, 0.0873784, 0.135748, 0.21639, 0.350687", \ + "0.0165056, 0.0672245, 0.0902519, 0.123636, 0.174288, 0.255478, 0.38979", \ + "0.0189889, 0.0834629, 0.11175, 0.151202, 0.208324, 0.294133, 0.42987", \ + "0.0215308, 0.106744, 0.14256, 0.192266, 0.261369, 0.360066, 0.506554", \ + "0.0215318, 0.134016, 0.181415, 0.244948, 0.333934, 0.455817, 0.62855", \ + "0.0215328, 0.163354, 0.227957, 0.313176, 0.427621, 0.586113, 0.801762", \ + "0.0215338, 0.187317, 0.275165, 0.392051, 0.547702, 0.751952, 1.03606" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00692968, 0.0408931, 0.0649355, 0.105437, 0.173524, 0.287201, 0.476612", \ + "0.0175038, 0.0581838, 0.0809959, 0.117583, 0.180492, 0.28971, 0.476999", \ + "0.0260774, 0.0739364, 0.0984749, 0.136533, 0.197616, 0.301812, 0.48237", \ + "0.0412953, 0.101114, 0.12916, 0.170981, 0.235623, 0.337659, 0.510289", \ + "0.0681456, 0.148064, 0.181066, 0.229759, 0.302481, 0.412115, 0.584705", \ + "0.116677, 0.228406, 0.270062, 0.328429, 0.415659, 0.538967, 0.728987", \ + "0.20686, 0.361008, 0.422349, 0.500608, 0.601972, 0.755808, 0.968455" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0141049, 0.016017, 0.0161006, 0.0159441, 0.0155909, 0.0148412, 0.0135759", \ + "0.0158912, 0.0155154, 0.0156883, 0.0160126, 0.01558, 0.0147089, 0.0136232", \ + "0.0205746, 0.0173732, 0.0168404, 0.0166611, 0.016209, 0.0153143, 0.0135112", \ + "0.0317686, 0.0239557, 0.0221536, 0.020502, 0.0196939, 0.0169732, 0.0164436", \ + "0.0564305, 0.0425799, 0.0382493, 0.033802, 0.0293503, 0.0258229, 0.0210843", \ + "0.1073, 0.0866782, 0.0787916, 0.0694656, 0.0598449, 0.0498308, 0.0401245", \ + "0.210684, 0.18289, 0.170496, 0.155236, 0.136302, 0.116123, 0.0961556" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0125804, 0.013249, 0.0131163, 0.0128771, 0.0124681, 0.0116517, 0.0105494", \ + "0.0154266, 0.0137178, 0.0135723, 0.0128919, 0.0129086, 0.0118126, 0.0102212", \ + "0.0205848, 0.0161912, 0.0152248, 0.0144193, 0.0135064, 0.0125705, 0.011411", \ + "0.0322839, 0.0234195, 0.021161, 0.0190809, 0.0163878, 0.0158317, 0.0121142", \ + "0.057112, 0.0428446, 0.0377958, 0.0325073, 0.0278536, 0.0227703, 0.0189798", \ + "0.10839, 0.0876364, 0.0784728, 0.0681061, 0.0570519, 0.0473408, 0.0368394", \ + "0.211675, 0.184514, 0.171148, 0.153941, 0.13348, 0.110932, 0.0892533" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0180599, 0.018594, 0.0184497, 0.0182302, 0.0177826, 0.016957, 0.0157351", \ + "0.0193803, 0.0186501, 0.0185242, 0.0185972, 0.0181169, 0.017037, 0.0156945", \ + "0.0239615, 0.0206552, 0.0200096, 0.019726, 0.0187273, 0.0173443, 0.0161624", \ + "0.0352559, 0.0278238, 0.0259203, 0.0236878, 0.0224109, 0.0197203, 0.0189887", \ + "0.0606711, 0.0475596, 0.0431389, 0.0383591, 0.0334103, 0.0291129, 0.0241526", \ + "0.113199, 0.0945531, 0.0865886, 0.0764653, 0.0659392, 0.055246, 0.0442605", \ + "0.219997, 0.195544, 0.183242, 0.168318, 0.148182, 0.126619, 0.103651" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0169688, 0.0175018, 0.0172896, 0.0172836, 0.0166786, 0.0157935, 0.014548", \ + "0.0183257, 0.0176059, 0.0175559, 0.0170541, 0.0174464, 0.0158939, 0.0144743", \ + "0.0228195, 0.0196826, 0.0190572, 0.0183743, 0.0181603, 0.0163735, 0.0159711", \ + "0.0344095, 0.0266086, 0.0246754, 0.0228216, 0.0203946, 0.0198375, 0.016381", \ + "0.0600305, 0.0457317, 0.0408401, 0.036144, 0.0315154, 0.026396, 0.0231153", \ + "0.112618, 0.091439, 0.0826313, 0.0719159, 0.0614297, 0.0515748, 0.0418741", \ + "0.219395, 0.191269, 0.178022, 0.160749, 0.139823, 0.117107, 0.0965481" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00943944, 0.0106229, 0.0107482, 0.0108139, 0.0103, 0.00966924, 0.00834415", \ + "0.0137851, 0.0115381, 0.0112473, 0.0110706, 0.0111032, 0.00952076, 0.00845242", \ + "0.0196499, 0.0148676, 0.0137722, 0.012774, 0.0118648, 0.010259, 0.00861022", \ + "0.0320141, 0.0239745, 0.0214353, 0.0187915, 0.0170424, 0.0136858, 0.0132439", \ + "0.0575843, 0.0447858, 0.0402479, 0.0353084, 0.0294315, 0.0248844, 0.0197122", \ + "0.111916, 0.0918626, 0.0844965, 0.0744408, 0.0642944, 0.0530994, 0.0423845", \ + "0.219999, 0.191965, 0.179666, 0.165164, 0.147057, 0.12736, 0.105507" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012561, 0.0146559, 0.0144654, 0.0143024, 0.0140085, 0.0133056, 0.0122076", \ + "0.0167225, 0.0152273, 0.01495, 0.0148451, 0.014841, 0.0146756, 0.0128059", \ + "0.0226158, 0.0177683, 0.0171468, 0.0163325, 0.0153185, 0.0148317, 0.012826", \ + "0.0351283, 0.0253612, 0.0232138, 0.0213196, 0.0195628, 0.016684, 0.0160085", \ + "0.0618315, 0.0460788, 0.0406507, 0.0356753, 0.0308447, 0.0266321, 0.0211373", \ + "0.115189, 0.0925824, 0.0833084, 0.073217, 0.0618282, 0.051377, 0.0424951", \ + "0.223257, 0.193611, 0.180079, 0.162234, 0.141627, 0.119401, 0.0976745" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00809098, 0.00953318, 0.00960078, 0.0095415, 0.0091868, 0.00855121, 0.00731902", \ + "0.0133192, 0.0104805, 0.0103891, 0.00979301, 0.00940766, 0.00852235, 0.0076246", \ + "0.0196356, 0.0139239, 0.0127537, 0.0121546, 0.0108346, 0.00909361, 0.00759132", \ + "0.0324162, 0.0230986, 0.02055, 0.017773, 0.0159906, 0.0120832, 0.0119088", \ + "0.0585589, 0.0442427, 0.0395712, 0.0344543, 0.0286987, 0.0237611, 0.0170415", \ + "0.113417, 0.0919541, 0.0838655, 0.0738123, 0.0635759, 0.0522382, 0.0410918", \ + "0.221959, 0.192309, 0.179882, 0.164857, 0.14642, 0.126506, 0.104621" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00569046, 0.00778853, 0.0077514, 0.0074928, 0.00716513, 0.00651647, 0.00516842", \ + "0.0100039, 0.00841867, 0.00812876, 0.00798678, 0.00781504, 0.00765246, 0.00568747", \ + "0.0160011, 0.0109684, 0.0103432, 0.00943343, 0.00855373, 0.00805252, 0.00641254", \ + "0.0286916, 0.0185415, 0.0166488, 0.0145024, 0.012802, 0.0104547, 0.00912821", \ + "0.0555131, 0.0393866, 0.0338574, 0.0288893, 0.0242322, 0.0202146, 0.0151749", \ + "0.109453, 0.0858539, 0.0766736, 0.0664801, 0.0548567, 0.0448131, 0.03568", \ + "0.21793, 0.186793, 0.173272, 0.155622, 0.134475, 0.11162, 0.0920299" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00826633, 0.0097227, 0.00967736, 0.00957838, 0.0092293, 0.0085393, 0.00708278", \ + "0.0140203, 0.0107931, 0.0104637, 0.00990356, 0.0100334, 0.00864098, 0.00830474", \ + "0.0209365, 0.0148305, 0.0132934, 0.0123783, 0.0109068, 0.0111454, 0.00759203", \ + "0.0348345, 0.0247654, 0.0220201, 0.0189114, 0.0162682, 0.0130388, 0.0118678", \ + "0.0634528, 0.0474831, 0.0427426, 0.0372106, 0.0312414, 0.0248997, 0.0204958", \ + "0.122452, 0.0997434, 0.0907302, 0.0801858, 0.0687942, 0.0572446, 0.0447317", \ + "0.240007, 0.209497, 0.196219, 0.180049, 0.160667, 0.137486, 0.115319" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.005296, 0.00720242, 0.00724122, 0.00707543, 0.00672215, 0.00603789, 0.00503665", \ + "0.0103154, 0.00808798, 0.00777477, 0.0075629, 0.00767099, 0.00710609, 0.00505904", \ + "0.0170806, 0.0110438, 0.0101731, 0.00934741, 0.00811091, 0.00767977, 0.00659809", \ + "0.0309611, 0.0195735, 0.0170939, 0.0149485, 0.0128507, 0.0100679, 0.00899024", \ + "0.0604416, 0.0424791, 0.0368019, 0.0307068, 0.0257828, 0.0208382, 0.0157914", \ + "0.118951, 0.0936513, 0.0833067, 0.0722773, 0.0603763, 0.048696, 0.0383985", \ + "0.23695, 0.204169, 0.189306, 0.170308, 0.147573, 0.122726, 0.0992054" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00943944, 0.0106229, 0.0107482, 0.0108139, 0.0103, 0.00966924, 0.00834415", \ + "0.0137851, 0.0115381, 0.0112473, 0.0110706, 0.0111032, 0.00952076, 0.00845242", \ + "0.0196499, 0.0148676, 0.0137722, 0.012774, 0.0118648, 0.010259, 0.00861022", \ + "0.0320141, 0.0239745, 0.0214353, 0.0187915, 0.0170424, 0.0136858, 0.0132439", \ + "0.0575843, 0.0447858, 0.0402479, 0.0353084, 0.0294315, 0.0248844, 0.0197122", \ + "0.111916, 0.0918626, 0.0844965, 0.0744408, 0.0642944, 0.0530994, 0.0423845", \ + "0.219999, 0.191965, 0.179666, 0.165164, 0.147057, 0.12736, 0.105507" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.005296, 0.00720242, 0.00724122, 0.00707543, 0.00672215, 0.00603789, 0.00503665", \ + "0.0103154, 0.00808798, 0.00777477, 0.0075629, 0.00767099, 0.00710609, 0.00505904", \ + "0.0170806, 0.0110438, 0.0101731, 0.00934741, 0.00811091, 0.00767977, 0.00659809", \ + "0.0309611, 0.0195735, 0.0170939, 0.0149485, 0.0128507, 0.0100679, 0.00899024", \ + "0.0604416, 0.0424791, 0.0368019, 0.0307068, 0.0257828, 0.0208382, 0.0157914", \ + "0.118951, 0.0936513, 0.0833067, 0.0722773, 0.0603763, 0.048696, 0.0383985", \ + "0.23695, 0.204169, 0.189306, 0.170308, 0.147573, 0.122726, 0.0992054" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00601208; + rise_capacitance : 0.00603442; + rise_capacitance_range (0.00603442, 0.00603442); + fall_capacitance : 0.00598973; + fall_capacitance_range (0.00598973, 0.00598973); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00270724, -0.00268849, -0.00267221, -0.00268888, -0.00268956, -0.00268577, -0.00267863" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00278397, 0.00277821, 0.00277634, 0.00277929, 0.00278811, 0.00278983, 0.00279864" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00270724, -0.00268849, -0.00267221, -0.00268888, -0.00268956, -0.00268577, -0.00267863" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00278397, 0.00277821, 0.00277634, 0.00277929, 0.00278811, 0.00278983, 0.00279864" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00666148; + rise_capacitance : 0.00670652; + rise_capacitance_range (0.00670652, 0.00670652); + fall_capacitance : 0.00661644; + fall_capacitance_range (0.00661644, 0.00661644); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00587422; + rise_capacitance : 0.00602394; + rise_capacitance_range (0.00602394, 0.00602394); + fall_capacitance : 0.0057245; + fall_capacitance_range (0.0057245, 0.0057245); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_a221oi_1) { + area : 14.5152; + cell_footprint : "a221oi"; + cell_leakage_power : 1955.81; + leakage_power () { + value : 974.871; + when : "!A1*!A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 1457.26; + when : "!A1*!A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1454.45; + when : "!A1*!A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 1929.46; + when : "!A1*!A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 795.139; + when : "!A1*!A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 1929.47; + when : "!A1*!A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1773.43; + when : "!A1*!A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 2362.85; + when : "!A1*!A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 1454.47; + when : "!A1*A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 1929.48; + when : "!A1*A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1934.05; + when : "!A1*A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 2401.67; + when : "!A1*A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 1274.74; + when : "!A1*A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 2401.68; + when : "!A1*A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 2245.64; + when : "!A1*A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 2835.07; + when : "!A1*A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 795.138; + when : "A1*!A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 1929.47; + when : "A1*!A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1274.72; + when : "A1*!A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 2401.66; + when : "A1*!A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 615.407; + when : "A1*!A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 2401.67; + when : "A1*!A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 2245.63; + when : "A1*!A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 2835.06; + when : "A1*!A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 1416.61; + when : "A1*A2*!B1*!B2*!C1*!Y"; + } + leakage_power () { + value : 2036.11; + when : "A1*A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 2079.43; + when : "A1*A2*!B1*B2*!C1*!Y"; + } + leakage_power () { + value : 2682.86; + when : "A1*A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 2079.44; + when : "A1*A2*B1*!B2*!C1*!Y"; + } + leakage_power () { + value : 2682.87; + when : "A1*A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 2654.71; + when : "A1*A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 3301.51; + when : "A1*A2*B1*B2*C1*!Y"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+(B1*B2)+C1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b1 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0507839, 0.235999, 0.360143, 0.565544, 0.909035, 1.48155, 2.43583", \ + "0.0610532, 0.250559, 0.375151, 0.580871, 0.925133, 1.49831, 2.45212", \ + "0.0657114, 0.261725, 0.386572, 0.592245, 0.936162, 1.50964, 2.46379", \ + "0.0717269, 0.28175, 0.408947, 0.615274, 0.95877, 1.53102, 2.48657", \ + "0.0832676, 0.32008, 0.452784, 0.664255, 1.00995, 1.58121, 2.53457", \ + "0.101183, 0.386275, 0.529848, 0.752456, 1.10892, 1.68756, 2.63833", \ + "0.111536, 0.475199, 0.648195, 0.894235, 1.27258, 1.87311, 2.84319" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0363588, 0.301342, 0.481369, 0.779306, 1.27859, 2.10919, 3.49415", \ + "0.0428438, 0.301758, 0.481479, 0.779308, 1.2786, 2.1096, 3.49425", \ + "0.0506343, 0.305824, 0.483152, 0.779417, 1.27899, 2.10961, 3.49468", \ + "0.0694308, 0.319189, 0.492877, 0.784447, 1.29295, 2.10962, 3.49563", \ + "0.106946, 0.352862, 0.521888, 0.807735, 1.29296, 2.11348, 3.49564", \ + "0.16899, 0.427497, 0.591408, 0.869449, 1.34477, 2.1475, 3.50841", \ + "0.275052, 0.575151, 0.742676, 1.01189, 1.47359, 2.26113, 3.59127" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0287208, 0.126852, 0.190375, 0.294522, 0.468748, 0.758325, 1.241", \ + "0.0481755, 0.160302, 0.224445, 0.328628, 0.502543, 0.792216, 1.27485", \ + "0.0608303, 0.189154, 0.257507, 0.363678, 0.537863, 0.827312, 1.30996", \ + "0.0802636, 0.233739, 0.312106, 0.428149, 0.608667, 0.89981, 1.38198", \ + "0.105584, 0.294238, 0.38888, 0.525626, 0.727961, 1.03527, 1.52391", \ + "0.140024, 0.377084, 0.494359, 0.662624, 0.904375, 1.25645, 1.78432", \ + "0.187928, 0.492098, 0.640071, 0.848322, 1.14894, 1.5756, 2.19417" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0315185, 0.159166, 0.247145, 0.392613, 0.636273, 1.04157, 1.71788", \ + "0.0475733, 0.166772, 0.250586, 0.39363, 0.636274, 1.04158, 1.71789", \ + "0.0620414, 0.183051, 0.263783, 0.401205, 0.638367, 1.04309, 1.71824", \ + "0.0878083, 0.217118, 0.297867, 0.430691, 0.65792, 1.04988, 1.71857", \ + "0.133226, 0.278159, 0.364549, 0.499911, 0.721796, 1.09627, 1.74229", \ + "0.209969, 0.386418, 0.480388, 0.62683, 0.859037, 1.22926, 1.84859", \ + "0.343375, 0.577276, 0.68688, 0.849308, 1.09769, 1.49513, 2.12116" \ + ); + } + } + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0435027, 0.229871, 0.354442, 0.560712, 0.905995, 1.48135, 2.44021", \ + "0.0529378, 0.24419, 0.369438, 0.576098, 0.922021, 1.49794, 2.45562", \ + "0.0566159, 0.255243, 0.380679, 0.587379, 0.932931, 1.50924, 2.46797", \ + "0.0604728, 0.274723, 0.402786, 0.610142, 0.955339, 1.53117, 2.48907", \ + "0.0687633, 0.31196, 0.446299, 0.658876, 1.00624, 1.58017, 2.53815", \ + "0.0820159, 0.378018, 0.522497, 0.746255, 1.10565, 1.68579, 2.64125", \ + "0.0861572, 0.466125, 0.639559, 0.887607, 1.26981, 1.87044, 2.84463" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0293189, 0.295315, 0.476185, 0.775488, 1.27681, 2.11367, 3.50705", \ + "0.0364444, 0.295794, 0.476279, 0.776234, 1.27731, 2.11368, 3.50706", \ + "0.0447697, 0.299914, 0.478159, 0.776235, 1.27804, 2.11369, 3.50707", \ + "0.0642619, 0.313487, 0.487918, 0.780854, 1.27848, 2.1137, 3.50708", \ + "0.10203, 0.346715, 0.517121, 0.804122, 1.29162, 2.11704, 3.50726", \ + "0.16364, 0.422306, 0.586498, 0.866097, 1.34343, 2.15084, 3.52018", \ + "0.270379, 0.573295, 0.740352, 1.00805, 1.47359, 2.26402, 3.60199" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0277106, 0.124919, 0.188343, 0.292414, 0.466718, 0.756575, 1.23961", \ + "0.0461024, 0.158275, 0.222281, 0.326497, 0.500549, 0.790762, 1.27309", \ + "0.0575524, 0.186866, 0.25525, 0.361484, 0.535699, 0.82524, 1.30854", \ + "0.0745096, 0.230861, 0.309674, 0.425804, 0.606461, 0.897923, 1.38034", \ + "0.0957164, 0.290519, 0.385883, 0.523048, 0.725489, 1.03317, 1.5218", \ + "0.124949, 0.371603, 0.489838, 0.659325, 0.901424, 1.25458, 1.78205", \ + "0.166442, 0.484802, 0.634347, 0.843651, 1.14509, 1.57371, 2.19315" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0253172, 0.154077, 0.242257, 0.387791, 0.631462, 1.03727, 1.71315", \ + "0.0400669, 0.16167, 0.245645, 0.389315, 0.631463, 1.03728, 1.71366", \ + "0.0528312, 0.177949, 0.258844, 0.39631, 0.633815, 1.03827, 1.71367", \ + "0.0767358, 0.211685, 0.292568, 0.425768, 0.652886, 1.04542, 1.71606", \ + "0.117773, 0.271514, 0.359582, 0.494486, 0.716706, 1.09118, 1.73774", \ + "0.18869, 0.3775, 0.475667, 0.619657, 0.852863, 1.22393, 1.8436", \ + "0.313829, 0.568143, 0.67715, 0.84144, 1.09105, 1.49101, 2.11688" \ + ); + } + } + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0401207, 0.194451, 0.297754, 0.468573, 0.754681, 1.23145, 2.02583", \ + "0.0516434, 0.212683, 0.316393, 0.487698, 0.774139, 1.2506, 2.0461", \ + "0.0560603, 0.226822, 0.331398, 0.502567, 0.789006, 1.26644, 2.05937", \ + "0.0600086, 0.250589, 0.359, 0.532454, 0.818712, 1.29518, 2.0896", \ + "0.0672315, 0.292491, 0.408545, 0.590833, 0.882066, 1.35912, 2.1524", \ + "0.0786432, 0.359049, 0.490159, 0.687763, 0.996758, 1.48739, 2.28423", \ + "0.0794381, 0.444301, 0.605459, 0.83629, 1.17515, 1.69922, 2.5278" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0247538, 0.245769, 0.396373, 0.645558, 1.06289, 1.75816, 2.91705", \ + "0.0331237, 0.246914, 0.397336, 0.646098, 1.06327, 1.75817, 2.91744", \ + "0.0423624, 0.252566, 0.399612, 0.647667, 1.06328, 1.75908, 2.91745", \ + "0.0623592, 0.269275, 0.41276, 0.654039, 1.06523, 1.75909, 2.91746", \ + "0.100909, 0.30812, 0.448154, 0.683967, 1.08484, 1.76661, 2.93428", \ + "0.162502, 0.388978, 0.525628, 0.757487, 1.1519, 1.81407, 2.94194", \ + "0.267064, 0.541253, 0.682705, 0.915486, 1.30093, 1.95258, 3.05387" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0289609, 0.126643, 0.190163, 0.294292, 0.468658, 0.758576, 1.24104", \ + "0.0483477, 0.160032, 0.224089, 0.328372, 0.502484, 0.792403, 1.27515", \ + "0.0607209, 0.188883, 0.257182, 0.36344, 0.537644, 0.827248, 1.31063", \ + "0.0792723, 0.233503, 0.311965, 0.427978, 0.60852, 0.899922, 1.38245", \ + "0.103221, 0.294549, 0.389172, 0.526148, 0.728089, 1.03544, 1.52407", \ + "0.136807, 0.378953, 0.496181, 0.663939, 0.905261, 1.25798, 1.7849", \ + "0.185203, 0.499197, 0.646025, 0.853621, 1.15421, 1.5799, 2.19711" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0267161, 0.155972, 0.244202, 0.389551, 0.633434, 1.03888, 1.71536", \ + "0.0411931, 0.163347, 0.247334, 0.39063, 0.633435, 1.03939, 1.71566", \ + "0.0536329, 0.179612, 0.26053, 0.398169, 0.635491, 1.04007, 1.71567", \ + "0.0765707, 0.212715, 0.294177, 0.427221, 0.654687, 1.04725, 1.71809", \ + "0.115853, 0.272034, 0.359829, 0.495703, 0.718245, 1.09291, 1.73992", \ + "0.182379, 0.37513, 0.472038, 0.619569, 0.853391, 1.22508, 1.84533", \ + "0.298092, 0.55477, 0.668782, 0.836014, 1.08953, 1.49106, 2.1147" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0435027, 0.229871, 0.354442, 0.560712, 0.905995, 1.48135, 2.44021", \ + "0.0529378, 0.24419, 0.369438, 0.576098, 0.922021, 1.49794, 2.45562", \ + "0.0566159, 0.255243, 0.380679, 0.587379, 0.932931, 1.50924, 2.46797", \ + "0.0604728, 0.274723, 0.402786, 0.610142, 0.955339, 1.53117, 2.48907", \ + "0.0687633, 0.31196, 0.446299, 0.658876, 1.00624, 1.58017, 2.53815", \ + "0.0820159, 0.378018, 0.522497, 0.746255, 1.10565, 1.68579, 2.64125", \ + "0.0861572, 0.466125, 0.639559, 0.887607, 1.26981, 1.87044, 2.84463" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0293189, 0.295315, 0.476185, 0.775488, 1.27681, 2.11367, 3.50705", \ + "0.0364444, 0.295794, 0.476279, 0.776234, 1.27731, 2.11368, 3.50706", \ + "0.0447697, 0.299914, 0.478159, 0.776235, 1.27804, 2.11369, 3.50707", \ + "0.0642619, 0.313487, 0.487918, 0.780854, 1.27848, 2.1137, 3.50708", \ + "0.10203, 0.346715, 0.517121, 0.804122, 1.29162, 2.11704, 3.50726", \ + "0.16364, 0.422306, 0.586498, 0.866097, 1.34343, 2.15084, 3.52018", \ + "0.270379, 0.573295, 0.740352, 1.00805, 1.47359, 2.26402, 3.60199" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0289609, 0.126643, 0.190163, 0.294292, 0.468658, 0.758576, 1.24104", \ + "0.0483477, 0.160032, 0.224089, 0.328372, 0.502484, 0.792403, 1.27515", \ + "0.0607209, 0.188883, 0.257182, 0.36344, 0.537644, 0.827248, 1.31063", \ + "0.0792723, 0.233503, 0.311965, 0.427978, 0.60852, 0.899922, 1.38245", \ + "0.103221, 0.294549, 0.389172, 0.526148, 0.728089, 1.03544, 1.52407", \ + "0.136807, 0.378953, 0.496181, 0.663939, 0.905261, 1.25798, 1.7849", \ + "0.185203, 0.499197, 0.646025, 0.853621, 1.15421, 1.5799, 2.19711" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0267161, 0.155972, 0.244202, 0.389551, 0.633434, 1.03888, 1.71536", \ + "0.0411931, 0.163347, 0.247334, 0.39063, 0.633435, 1.03939, 1.71566", \ + "0.0536329, 0.179612, 0.26053, 0.398169, 0.635491, 1.04007, 1.71567", \ + "0.0765707, 0.212715, 0.294177, 0.427221, 0.654687, 1.04725, 1.71809", \ + "0.115853, 0.272034, 0.359829, 0.495703, 0.718245, 1.09291, 1.73992", \ + "0.182379, 0.37513, 0.472038, 0.619569, 0.853391, 1.22508, 1.84533", \ + "0.298092, 0.55477, 0.668782, 0.836014, 1.08953, 1.49106, 2.1147" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b1 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0568097, 0.240376, 0.364137, 0.568963, 0.91215, 1.48267, 2.43452", \ + "0.0684739, 0.255586, 0.379634, 0.584568, 0.927781, 1.49938, 2.45037", \ + "0.0740384, 0.267204, 0.391356, 0.596169, 0.939027, 1.5109, 2.46182", \ + "0.0828775, 0.287726, 0.41436, 0.619699, 0.96202, 1.53306, 2.48542", \ + "0.102161, 0.328444, 0.459712, 0.669691, 1.01342, 1.583, 2.53325", \ + "0.136022, 0.400982, 0.541588, 0.760823, 1.11466, 1.69042, 2.63772", \ + "0.179966, 0.511797, 0.67309, 0.913715, 1.28638, 1.88044, 2.84485" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0434371, 0.308422, 0.488199, 0.785337, 1.28307, 2.11285, 3.49365", \ + "0.0493711, 0.308756, 0.489085, 0.785835, 1.28308, 2.11286, 3.49366", \ + "0.0568243, 0.312622, 0.48969, 0.785836, 1.28379, 2.11287, 3.49496", \ + "0.0749691, 0.325851, 0.499297, 0.790413, 1.29053, 2.11288, 3.49497", \ + "0.111068, 0.358678, 0.528005, 0.813312, 1.29713, 2.11626, 3.4961", \ + "0.171387, 0.430072, 0.595656, 0.874139, 1.34941, 2.14993, 3.50853", \ + "0.275242, 0.575459, 0.739649, 1.01301, 1.47588, 2.26365, 3.5909" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0294103, 0.127531, 0.191078, 0.295205, 0.469444, 0.759019, 1.24159", \ + "0.0438991, 0.150872, 0.214884, 0.319211, 0.493236, 0.782996, 1.2657", \ + "0.053378, 0.171698, 0.238228, 0.343872, 0.518215, 0.807983, 1.29071", \ + "0.0673025, 0.205599, 0.278331, 0.38993, 0.568112, 0.859064, 1.34185", \ + "0.0833636, 0.253092, 0.337609, 0.462112, 0.653511, 0.954356, 1.44118", \ + "0.101044, 0.317356, 0.419937, 0.568879, 0.785641, 1.11454, 1.62456", \ + "0.116427, 0.397641, 0.531276, 0.714543, 0.978267, 1.35946, 1.92659" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0309791, 0.159152, 0.247202, 0.392658, 0.636274, 1.04157, 1.71819", \ + "0.042017, 0.163643, 0.249288, 0.39472, 0.636275, 1.04158, 1.7182", \ + "0.0541393, 0.173752, 0.257034, 0.397544, 0.637396, 1.04184, 1.71821", \ + "0.0779837, 0.197156, 0.278809, 0.415244, 0.648751, 1.04673, 1.71848", \ + "0.119984, 0.245066, 0.326091, 0.461134, 0.687691, 1.07389, 1.73172", \ + "0.191134, 0.337531, 0.421178, 0.555177, 0.782564, 1.15719, 1.79503", \ + "0.313248, 0.510225, 0.601404, 0.743863, 0.970262, 1.34532, 1.97377" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0497801, 0.234159, 0.358499, 0.56428, 0.90857, 1.48254, 2.43893", \ + "0.0606731, 0.249306, 0.373954, 0.579815, 0.924692, 1.49917, 2.45501", \ + "0.0653126, 0.260722, 0.385541, 0.591355, 0.935882, 1.5105, 2.46681", \ + "0.0724498, 0.281162, 0.408266, 0.6147, 0.958587, 1.53192, 2.48987", \ + "0.0894599, 0.320411, 0.453177, 0.664127, 1.00962, 1.58193, 2.53697", \ + "0.11971, 0.393678, 0.535134, 0.755331, 1.11036, 1.68882, 2.6407", \ + "0.158864, 0.500641, 0.665154, 0.907041, 1.28067, 1.87776, 2.84645" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0364667, 0.302159, 0.482705, 0.781762, 1.28248, 2.11678, 3.5065", \ + "0.0429373, 0.302948, 0.483468, 0.782242, 1.28249, 2.11679, 3.50651", \ + "0.0506865, 0.306783, 0.484637, 0.782505, 1.28292, 2.1168, 3.50652", \ + "0.0691028, 0.32, 0.494488, 0.786885, 1.28716, 2.11681, 3.50821", \ + "0.105361, 0.352712, 0.523162, 0.810003, 1.29645, 2.12044, 3.51007", \ + "0.165255, 0.426023, 0.592556, 0.870959, 1.34798, 2.15349, 3.51919", \ + "0.268476, 0.569006, 0.735045, 1.00934, 1.47551, 2.26576, 3.60089" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0284133, 0.12561, 0.189032, 0.293062, 0.467393, 0.756932, 1.23983", \ + "0.0422679, 0.148889, 0.212738, 0.31704, 0.491272, 0.781041, 1.26432", \ + "0.0509173, 0.169533, 0.236033, 0.341669, 0.516055, 0.806127, 1.28928", \ + "0.0628296, 0.202919, 0.275964, 0.387721, 0.565855, 0.856893, 1.3399", \ + "0.0752456, 0.249857, 0.335138, 0.459557, 0.651272, 0.952152, 1.4391", \ + "0.0879461, 0.312787, 0.416323, 0.565805, 0.784033, 1.1131, 1.62256", \ + "0.0968942, 0.390852, 0.526389, 0.710864, 0.975639, 1.35831, 1.92443" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0247865, 0.154272, 0.242252, 0.387773, 0.631478, 1.03692, 1.71367", \ + "0.03516, 0.158645, 0.244273, 0.388334, 0.631479, 1.03693, 1.71368", \ + "0.0466214, 0.16866, 0.251906, 0.392579, 0.632511, 1.03771, 1.71369", \ + "0.0689582, 0.191744, 0.273721, 0.410424, 0.643797, 1.0418, 1.7137", \ + "0.107651, 0.239444, 0.320953, 0.456414, 0.682859, 1.0687, 1.72709", \ + "0.172936, 0.330398, 0.41495, 0.549501, 0.778078, 1.15344, 1.79026", \ + "0.288525, 0.50153, 0.59315, 0.736272, 0.966036, 1.34119, 1.97113" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0452481, 0.197922, 0.300923, 0.47119, 0.756621, 1.23174, 2.02374", \ + "0.0584968, 0.216725, 0.319868, 0.490465, 0.775966, 1.25087, 2.04394", \ + "0.0640233, 0.231381, 0.335214, 0.505654, 0.791037, 1.26681, 2.05735", \ + "0.0713322, 0.255958, 0.363636, 0.535883, 0.820971, 1.29566, 2.08762", \ + "0.0868102, 0.299275, 0.41473, 0.594679, 0.884784, 1.36006, 2.15048", \ + "0.115053, 0.373104, 0.500769, 0.69514, 1.00102, 1.48919, 2.28324", \ + "0.150007, 0.478475, 0.631655, 0.854503, 1.18525, 1.70562, 2.52845" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0305487, 0.251387, 0.401681, 0.650151, 1.06628, 1.75966, 2.91522", \ + "0.0382793, 0.252491, 0.404995, 0.650372, 1.06677, 1.75967, 2.91558", \ + "0.0469715, 0.258052, 0.404996, 0.651681, 1.06678, 1.76052, 2.91559", \ + "0.0662083, 0.274532, 0.41785, 0.658608, 1.06893, 1.76053, 2.9156", \ + "0.103279, 0.312482, 0.452982, 0.688489, 1.08812, 1.76807, 2.91765", \ + "0.163652, 0.391479, 0.529097, 0.760849, 1.15392, 1.81547, 2.94049", \ + "0.265077, 0.539103, 0.680571, 0.91436, 1.30094, 1.95308, 3.05172" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0296475, 0.127319, 0.19087, 0.294984, 0.469333, 0.758929, 1.24188", \ + "0.0441028, 0.150634, 0.214561, 0.318936, 0.493254, 0.783183, 1.26638", \ + "0.0535617, 0.17152, 0.237893, 0.343578, 0.518067, 0.808106, 1.29132", \ + "0.0670471, 0.205571, 0.278125, 0.389765, 0.567934, 0.858977, 1.34192", \ + "0.0822467, 0.253231, 0.338139, 0.462175, 0.65373, 0.954811, 1.44117", \ + "0.0995705, 0.319131, 0.421838, 0.570472, 0.787665, 1.11583, 1.62539", \ + "0.115969, 0.403333, 0.536671, 0.720026, 0.981821, 1.36281, 1.92826" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0263205, 0.155933, 0.244199, 0.389665, 0.633449, 1.03891, 1.71488", \ + "0.0363981, 0.160318, 0.246111, 0.391742, 0.63345, 1.03931, 1.71537", \ + "0.0473221, 0.170384, 0.253685, 0.394337, 0.634609, 1.03932, 1.71538", \ + "0.0691408, 0.193309, 0.275384, 0.41199, 0.645626, 1.04347, 1.71771", \ + "0.105667, 0.239674, 0.322185, 0.457751, 0.684667, 1.07058, 1.72911", \ + "0.167433, 0.327974, 0.413896, 0.552633, 0.778269, 1.15413, 1.79198", \ + "0.273488, 0.491697, 0.585853, 0.732009, 0.962084, 1.34031, 1.97059" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0497801, 0.234159, 0.358499, 0.56428, 0.90857, 1.48254, 2.43893", \ + "0.0606731, 0.249306, 0.373954, 0.579815, 0.924692, 1.49917, 2.45501", \ + "0.0653126, 0.260722, 0.385541, 0.591355, 0.935882, 1.5105, 2.46681", \ + "0.0724498, 0.281162, 0.408266, 0.6147, 0.958587, 1.53192, 2.48987", \ + "0.0894599, 0.320411, 0.453177, 0.664127, 1.00962, 1.58193, 2.53697", \ + "0.11971, 0.393678, 0.535134, 0.755331, 1.11036, 1.68882, 2.6407", \ + "0.158864, 0.500641, 0.665154, 0.907041, 1.28067, 1.87776, 2.84645" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0364667, 0.302159, 0.482705, 0.781762, 1.28248, 2.11678, 3.5065", \ + "0.0429373, 0.302948, 0.483468, 0.782242, 1.28249, 2.11679, 3.50651", \ + "0.0506865, 0.306783, 0.484637, 0.782505, 1.28292, 2.1168, 3.50652", \ + "0.0691028, 0.32, 0.494488, 0.786885, 1.28716, 2.11681, 3.50821", \ + "0.105361, 0.352712, 0.523162, 0.810003, 1.29645, 2.12044, 3.51007", \ + "0.165255, 0.426023, 0.592556, 0.870959, 1.34798, 2.15349, 3.51919", \ + "0.268476, 0.569006, 0.735045, 1.00934, 1.47551, 2.26576, 3.60089" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0296475, 0.127319, 0.19087, 0.294984, 0.469333, 0.758929, 1.24188", \ + "0.0441028, 0.150634, 0.214561, 0.318936, 0.493254, 0.783183, 1.26638", \ + "0.0535617, 0.17152, 0.237893, 0.343578, 0.518067, 0.808106, 1.29132", \ + "0.0670471, 0.205571, 0.278125, 0.389765, 0.567934, 0.858977, 1.34192", \ + "0.0822467, 0.253231, 0.338139, 0.462175, 0.65373, 0.954811, 1.44117", \ + "0.0995705, 0.319131, 0.421838, 0.570472, 0.787665, 1.11583, 1.62539", \ + "0.115969, 0.403333, 0.536671, 0.720026, 0.981821, 1.36281, 1.92826" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0263205, 0.155933, 0.244199, 0.389665, 0.633449, 1.03891, 1.71488", \ + "0.0363981, 0.160318, 0.246111, 0.391742, 0.63345, 1.03931, 1.71537", \ + "0.0473221, 0.170384, 0.253685, 0.394337, 0.634609, 1.03932, 1.71538", \ + "0.0691408, 0.193309, 0.275384, 0.41199, 0.645626, 1.04347, 1.71771", \ + "0.105667, 0.239674, 0.322185, 0.457751, 0.684667, 1.07058, 1.72911", \ + "0.167433, 0.327974, 0.413896, 0.552633, 0.778269, 1.15413, 1.79198", \ + "0.273488, 0.491697, 0.585853, 0.732009, 0.962084, 1.34031, 1.97059" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0455965, 0.231438, 0.355961, 0.561866, 0.905922, 1.48083, 2.43632", \ + "0.0579109, 0.250658, 0.375678, 0.581682, 0.926699, 1.5012, 2.45748", \ + "0.0664802, 0.269561, 0.394851, 0.600943, 0.947274, 1.51972, 2.4778", \ + "0.0808486, 0.303885, 0.433128, 0.640472, 0.984924, 1.55902, 2.51635", \ + "0.107264, 0.364673, 0.503763, 0.719992, 1.06836, 1.64211, 2.59776", \ + "0.148908, 0.465353, 0.620938, 0.857582, 1.22603, 1.81176, 2.76731", \ + "0.20477, 0.612787, 0.801939, 1.07059, 1.48184, 2.10635, 3.09647" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0365599, 0.302334, 0.48296, 0.781843, 1.28253, 2.11613, 3.5065", \ + "0.0469528, 0.303041, 0.483016, 0.782254, 1.28254, 2.11614, 3.50651", \ + "0.0570918, 0.308982, 0.485492, 0.782255, 1.28374, 2.11615, 3.50652", \ + "0.0766188, 0.327583, 0.499052, 0.789178, 1.29121, 2.11616, 3.50653", \ + "0.11203, 0.369478, 0.53858, 0.820158, 1.30138, 2.12285, 3.50942", \ + "0.170317, 0.450579, 0.6212, 0.901494, 1.37211, 2.16647, 3.52346", \ + "0.267856, 0.602039, 0.780064, 1.06356, 1.53623, 2.31944, 3.63363" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0260178, 0.12161, 0.184801, 0.288685, 0.462669, 0.75205, 1.23462", \ + "0.0420758, 0.155114, 0.219071, 0.323, 0.496741, 0.786217, 1.26876", \ + "0.0517399, 0.183252, 0.251843, 0.357968, 0.532002, 0.821291, 1.30379", \ + "0.065842, 0.22617, 0.305355, 0.421915, 0.602643, 0.893773, 1.37583", \ + "0.0815073, 0.283099, 0.379605, 0.517749, 0.721111, 1.02897, 1.51771", \ + "0.0967761, 0.357571, 0.478896, 0.650511, 0.894882, 1.24935, 1.77753", \ + "0.105956, 0.449598, 0.607512, 0.823277, 1.13222, 1.56305, 2.18541" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0253061, 0.15233, 0.240276, 0.385669, 0.628874, 1.03436, 1.70986", \ + "0.0410094, 0.160379, 0.24396, 0.387825, 0.629211, 1.03437, 1.71081", \ + "0.0546969, 0.17692, 0.257396, 0.394798, 0.631758, 1.03579, 1.71082", \ + "0.0791538, 0.21079, 0.291711, 0.424267, 0.651296, 1.04295, 1.71218", \ + "0.120799, 0.271403, 0.358485, 0.493704, 0.715018, 1.08941, 1.73519", \ + "0.190006, 0.378365, 0.473638, 0.619801, 0.853018, 1.22263, 1.84151", \ + "0.307829, 0.564678, 0.675497, 0.842612, 1.09297, 1.49024, 2.11366" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0382431, 0.224414, 0.349212, 0.555615, 0.900742, 1.47611, 2.43497", \ + "0.0487174, 0.24385, 0.369035, 0.575669, 0.921565, 1.49756, 2.45606", \ + "0.0560782, 0.262666, 0.388343, 0.594816, 0.942443, 1.516, 2.47645", \ + "0.0679539, 0.296842, 0.426597, 0.634402, 0.979823, 1.55529, 2.5149", \ + "0.0905879, 0.356761, 0.496886, 0.713842, 1.06333, 1.63807, 2.5964", \ + "0.127204, 0.457055, 0.613259, 0.850929, 1.22068, 1.8082, 2.76586", \ + "0.176572, 0.601646, 0.792226, 1.06312, 1.47368, 2.10134, 3.09417" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0295811, 0.295196, 0.476443, 0.775759, 1.27696, 2.11367, 3.50707", \ + "0.0404779, 0.296235, 0.476444, 0.776217, 1.2773, 2.11368, 3.50708", \ + "0.0510828, 0.302359, 0.479146, 0.776296, 1.27892, 2.11369, 3.50709", \ + "0.0706035, 0.321243, 0.49277, 0.783126, 1.2801, 2.1137, 3.5071", \ + "0.104973, 0.363055, 0.532414, 0.814529, 1.29678, 2.11901, 3.5098", \ + "0.162722, 0.444518, 0.615251, 0.895372, 1.36732, 2.16395, 3.52391", \ + "0.259533, 0.596206, 0.772461, 1.05911, 1.53047, 2.31644, 3.63349" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0252821, 0.119847, 0.18287, 0.286581, 0.460634, 0.749959, 1.23259", \ + "0.0402405, 0.153178, 0.216978, 0.320855, 0.494759, 0.784118, 1.26698", \ + "0.0488557, 0.181036, 0.249655, 0.355791, 0.529848, 0.819465, 1.30237", \ + "0.0604971, 0.223368, 0.302919, 0.419653, 0.600408, 0.891892, 1.3739", \ + "0.0716424, 0.279255, 0.376687, 0.51511, 0.718764, 1.02685, 1.5156", \ + "0.0803869, 0.352584, 0.474612, 0.647372, 0.892046, 1.24693, 1.7753", \ + "0.0810577, 0.441531, 0.60189, 0.818589, 1.12874, 1.5606, 2.18408" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0196587, 0.147436, 0.235516, 0.380876, 0.624345, 1.02945, 1.70568", \ + "0.0334545, 0.155283, 0.238969, 0.383173, 0.624346, 1.02988, 1.70631", \ + "0.0455604, 0.171846, 0.252422, 0.389525, 0.62679, 1.03116, 1.70632", \ + "0.0671705, 0.205319, 0.286504, 0.419258, 0.646122, 1.03821, 1.70769", \ + "0.10381, 0.264504, 0.352882, 0.488916, 0.710044, 1.08516, 1.7305", \ + "0.165697, 0.369793, 0.466382, 0.613518, 0.847214, 1.21762, 1.83684", \ + "0.273532, 0.55239, 0.667284, 0.83594, 1.08708, 1.48499, 2.10977" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0326575, 0.18734, 0.291109, 0.46257, 0.749564, 1.22824, 2.02567", \ + "0.0427353, 0.20743, 0.31149, 0.483299, 0.771046, 1.24835, 2.04746", \ + "0.0490905, 0.225983, 0.331095, 0.502796, 0.790289, 1.26931, 2.06519", \ + "0.0576969, 0.257852, 0.368011, 0.542393, 0.82977, 1.30778, 2.10558", \ + "0.0735164, 0.311747, 0.43285, 0.618205, 0.91239, 1.39175, 2.18783", \ + "0.0965298, 0.397761, 0.536647, 0.743141, 1.05926, 1.55752, 2.35845", \ + "0.120213, 0.51528, 0.687398, 0.930339, 1.28806, 1.83169, 2.67281" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0236681, 0.245045, 0.396167, 0.646152, 1.06473, 1.76239, 2.92508", \ + "0.0346526, 0.246805, 0.3973, 0.646875, 1.06533, 1.7624, 2.92541", \ + "0.0453803, 0.254248, 0.400515, 0.647566, 1.06534, 1.76329, 2.92542", \ + "0.064218, 0.274331, 0.416755, 0.656751, 1.06801, 1.7633, 2.92543", \ + "0.0967929, 0.317469, 0.458229, 0.692879, 1.0914, 1.77254, 2.92963", \ + "0.153082, 0.400441, 0.54341, 0.777079, 1.16941, 1.82884, 2.95355", \ + "0.248352, 0.555427, 0.704651, 0.945485, 1.34091, 1.99352, 3.08606" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0249688, 0.11952, 0.182473, 0.286283, 0.460346, 0.749671, 1.23222", \ + "0.0401273, 0.152851, 0.216639, 0.320505, 0.4944, 0.783879, 1.26665", \ + "0.0494186, 0.180863, 0.249381, 0.355491, 0.529558, 0.818962, 1.30212", \ + "0.0628727, 0.223585, 0.302962, 0.419542, 0.600244, 0.891613, 1.37364", \ + "0.0782487, 0.281143, 0.377841, 0.51584, 0.719014, 1.02681, 1.51552", \ + "0.0968335, 0.359083, 0.479398, 0.650398, 0.893816, 1.24779, 1.77576", \ + "0.117969, 0.463596, 0.617854, 0.830065, 1.13522, 1.56561, 2.18568" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0197465, 0.147428, 0.235302, 0.380774, 0.624344, 1.02948, 1.70515", \ + "0.0335913, 0.155369, 0.239005, 0.383163, 0.624345, 1.02994, 1.70631", \ + "0.0455466, 0.171952, 0.252493, 0.389553, 0.626553, 1.03094, 1.70632", \ + "0.0667861, 0.205159, 0.28649, 0.419322, 0.64643, 1.03822, 1.70779", \ + "0.102511, 0.263561, 0.351877, 0.488096, 0.709969, 1.08456, 1.73083", \ + "0.163562, 0.366133, 0.463437, 0.61206, 0.846236, 1.21728, 1.83652", \ + "0.269769, 0.544139, 0.658406, 0.828583, 1.08278, 1.48208, 2.10642" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0455965, 0.231438, 0.355961, 0.561866, 0.905922, 1.48083, 2.43632", \ + "0.0579109, 0.250658, 0.375678, 0.581682, 0.926699, 1.5012, 2.45748", \ + "0.0664802, 0.269561, 0.394851, 0.600943, 0.947274, 1.51972, 2.4778", \ + "0.0808486, 0.303885, 0.433128, 0.640472, 0.984924, 1.55902, 2.51635", \ + "0.107264, 0.364673, 0.503763, 0.719992, 1.06836, 1.64211, 2.59776", \ + "0.148908, 0.465353, 0.620938, 0.857582, 1.22603, 1.81176, 2.76731", \ + "0.20477, 0.612787, 0.801939, 1.07059, 1.48184, 2.10635, 3.09647" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0365599, 0.302334, 0.48296, 0.781843, 1.28253, 2.11613, 3.5065", \ + "0.0469528, 0.303041, 0.483016, 0.782254, 1.28254, 2.11614, 3.50651", \ + "0.0570918, 0.308982, 0.485492, 0.782255, 1.28374, 2.11615, 3.50652", \ + "0.0766188, 0.327583, 0.499052, 0.789178, 1.29121, 2.11616, 3.50653", \ + "0.11203, 0.369478, 0.53858, 0.820158, 1.30138, 2.12285, 3.50942", \ + "0.170317, 0.450579, 0.6212, 0.901494, 1.37211, 2.16647, 3.52346", \ + "0.267856, 0.602039, 0.780064, 1.06356, 1.53623, 2.31944, 3.63363" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0249688, 0.11952, 0.182473, 0.286283, 0.460346, 0.749671, 1.23222", \ + "0.0401273, 0.152851, 0.216639, 0.320505, 0.4944, 0.783879, 1.26665", \ + "0.0494186, 0.180863, 0.249381, 0.355491, 0.529558, 0.818962, 1.30212", \ + "0.0628727, 0.223585, 0.302962, 0.419542, 0.600244, 0.891613, 1.37364", \ + "0.0782487, 0.281143, 0.377841, 0.51584, 0.719014, 1.02681, 1.51552", \ + "0.0968335, 0.359083, 0.479398, 0.650398, 0.893816, 1.24779, 1.77576", \ + "0.117969, 0.463596, 0.617854, 0.830065, 1.13522, 1.56561, 2.18568" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0197465, 0.147428, 0.235302, 0.380774, 0.624344, 1.02948, 1.70515", \ + "0.0335913, 0.155369, 0.239005, 0.383163, 0.624345, 1.02994, 1.70631", \ + "0.0455466, 0.171952, 0.252493, 0.389553, 0.626553, 1.03094, 1.70632", \ + "0.0667861, 0.205159, 0.28649, 0.419322, 0.64643, 1.03822, 1.70779", \ + "0.102511, 0.263561, 0.351877, 0.488096, 0.709969, 1.08456, 1.73083", \ + "0.163562, 0.366133, 0.463437, 0.61206, 0.846236, 1.21728, 1.83652", \ + "0.269769, 0.544139, 0.658406, 0.828583, 1.08278, 1.48208, 2.10642" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0516459, 0.235289, 0.358962, 0.5637, 0.906416, 1.47763, 2.42935", \ + "0.0659991, 0.255371, 0.379338, 0.584228, 0.927498, 1.49905, 2.44994", \ + "0.0761345, 0.274885, 0.399162, 0.60399, 0.948335, 1.51789, 2.47018", \ + "0.0941368, 0.310432, 0.43827, 0.644126, 0.986705, 1.55773, 2.51027", \ + "0.128405, 0.373691, 0.510991, 0.725222, 1.07134, 1.64168, 2.5924", \ + "0.186342, 0.480347, 0.633052, 0.866202, 1.23098, 1.81377, 2.7639", \ + "0.275394, 0.647169, 0.827539, 1.08934, 1.49457, 2.11298, 3.09698" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0435397, 0.308375, 0.488177, 0.785211, 1.28336, 2.11144, 3.49365", \ + "0.0534096, 0.309155, 0.488178, 0.785212, 1.28337, 2.11235, 3.49373", \ + "0.0636076, 0.31492, 0.490669, 0.787343, 1.2846, 2.11236, 3.49374", \ + "0.0824125, 0.333306, 0.503988, 0.792521, 1.29168, 2.11242, 3.49429", \ + "0.115954, 0.374714, 0.543239, 0.823634, 1.30241, 2.11922, 3.49802", \ + "0.172167, 0.454034, 0.624403, 0.904056, 1.37234, 2.16353, 3.51401", \ + "0.265212, 0.599226, 0.777455, 1.06413, 1.53498, 2.31575, 3.62328" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0269395, 0.122302, 0.185519, 0.289386, 0.463378, 0.752787, 1.23531", \ + "0.0389787, 0.145735, 0.209552, 0.313644, 0.487451, 0.777045, 1.25959", \ + "0.0462034, 0.166111, 0.232729, 0.338245, 0.512386, 0.802004, 1.28462", \ + "0.05563, 0.198917, 0.272051, 0.38399, 0.562202, 0.853043, 1.3357", \ + "0.0631317, 0.243565, 0.32957, 0.455054, 0.647, 0.948139, 1.43507", \ + "0.0638433, 0.300497, 0.405942, 0.558243, 0.777456, 1.10741, 1.61813", \ + "0.0638443, 0.359664, 0.502325, 0.69328, 0.962323, 1.34788, 1.91667" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0245987, 0.152402, 0.240279, 0.385688, 0.628874, 1.03435, 1.71002", \ + "0.0357881, 0.15709, 0.242562, 0.386446, 0.628875, 1.03436, 1.71003", \ + "0.0476551, 0.167385, 0.250419, 0.390815, 0.630364, 1.03534, 1.71006", \ + "0.0702189, 0.19076, 0.272507, 0.408592, 0.641837, 1.03973, 1.71112", \ + "0.108421, 0.238406, 0.319573, 0.454645, 0.681234, 1.06666, 1.72433", \ + "0.171405, 0.329109, 0.414223, 0.548561, 0.775026, 1.15095, 1.78812", \ + "0.275072, 0.495075, 0.589633, 0.733219, 0.963961, 1.3394, 1.96676" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0445577, 0.228428, 0.352528, 0.557755, 0.901908, 1.47385, 2.42807", \ + "0.0574789, 0.248616, 0.373116, 0.578263, 0.922442, 1.49478, 2.44853", \ + "0.0664631, 0.268119, 0.392762, 0.598009, 0.943558, 1.51418, 2.46899", \ + "0.0826828, 0.303208, 0.431804, 0.638161, 0.981626, 1.55401, 2.50903", \ + "0.113922, 0.365993, 0.503994, 0.718912, 1.0663, 1.63797, 2.5908", \ + "0.168279, 0.472205, 0.62577, 0.859849, 1.22651, 1.81017, 2.76253", \ + "0.251955, 0.636827, 0.819143, 1.08241, 1.48901, 2.10916, 3.09492" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0365536, 0.301289, 0.481601, 0.77964, 1.27829, 2.10906, 3.49416", \ + "0.0470964, 0.3023, 0.481713, 0.779785, 1.2783, 2.10941, 3.49417", \ + "0.0570999, 0.308315, 0.484294, 0.779786, 1.27978, 2.10942, 3.4946", \ + "0.0759322, 0.327012, 0.497871, 0.786783, 1.28283, 2.10956, 3.49482", \ + "0.108863, 0.368543, 0.537383, 0.818281, 1.29788, 2.1148, 3.4984", \ + "0.163525, 0.446984, 0.618559, 0.898503, 1.36845, 2.16071, 3.51453", \ + "0.254202, 0.593405, 0.771715, 1.05867, 1.53162, 2.31469, 3.62431" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0262407, 0.120529, 0.18357, 0.287369, 0.461257, 0.751036, 1.23334", \ + "0.0376799, 0.143887, 0.207449, 0.311484, 0.487236, 0.774997, 1.25819", \ + "0.0440664, 0.164096, 0.23055, 0.336079, 0.510215, 0.800308, 1.28317", \ + "0.0516299, 0.196599, 0.269713, 0.381734, 0.56003, 0.850867, 1.33386", \ + "0.0553292, 0.239929, 0.327038, 0.452677, 0.644782, 0.945835, 1.43298", \ + "0.0553302, 0.296194, 0.402357, 0.555275, 0.775951, 1.10635, 1.61598", \ + "0.0553312, 0.352997, 0.496718, 0.689701, 0.959347, 1.34669, 1.91481" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0189984, 0.147431, 0.235399, 0.380676, 0.62408, 1.02971, 1.70516", \ + "0.0292616, 0.152061, 0.237657, 0.383421, 0.625806, 1.02989, 1.70564", \ + "0.0403032, 0.162327, 0.245366, 0.385662, 0.625807, 1.03052, 1.70565", \ + "0.0604875, 0.185439, 0.267532, 0.403518, 0.636824, 1.03452, 1.70611", \ + "0.0949121, 0.23284, 0.314823, 0.449553, 0.676231, 1.06174, 1.71993", \ + "0.151258, 0.321964, 0.407793, 0.543239, 0.77125, 1.14709, 1.78307", \ + "0.245902, 0.484754, 0.580806, 0.728253, 0.958633, 1.3349, 1.96331" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0377904, 0.19031, 0.29342, 0.464068, 0.749177, 1.22453, 2.01688", \ + "0.0501433, 0.211159, 0.314334, 0.484903, 0.770724, 1.24525, 2.03793", \ + "0.0581488, 0.23035, 0.334411, 0.504814, 0.789931, 1.26613, 2.05691", \ + "0.0710419, 0.263348, 0.37223, 0.545156, 0.83059, 1.30501, 2.09757", \ + "0.0956178, 0.319813, 0.439151, 0.622316, 0.914352, 1.3902, 2.181", \ + "0.136679, 0.413591, 0.547505, 0.750922, 1.06421, 1.55833, 2.35363", \ + "0.195236, 0.550132, 0.714687, 0.947706, 1.30062, 1.83525, 2.67216" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.029375, 0.249704, 0.399907, 0.648277, 1.06395, 1.75672, 2.91134", \ + "0.0399322, 0.251529, 0.402314, 0.648806, 1.06448, 1.75673, 2.91135", \ + "0.0501646, 0.258813, 0.404084, 0.653036, 1.06466, 1.75765, 2.91136", \ + "0.0686458, 0.278855, 0.420281, 0.658805, 1.06722, 1.75766, 2.91137", \ + "0.100716, 0.321632, 0.461639, 0.695055, 1.091, 1.76713, 2.91438", \ + "0.154969, 0.402708, 0.545501, 0.778649, 1.16923, 1.82322, 2.94077", \ + "0.24503, 0.55031, 0.703721, 0.942691, 1.33899, 1.98691, 3.07372" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0259653, 0.120245, 0.183277, 0.287006, 0.461051, 0.750376, 1.23353", \ + "0.0374789, 0.143572, 0.207125, 0.311145, 0.48691, 0.774996, 1.25782", \ + "0.0443412, 0.163894, 0.230265, 0.335758, 0.509892, 0.799693, 1.2827", \ + "0.0533686, 0.196788, 0.269722, 0.381609, 0.559722, 0.850671, 1.33355", \ + "0.0610118, 0.241823, 0.327976, 0.452843, 0.645094, 0.94592, 1.4328", \ + "0.0647344, 0.302438, 0.407737, 0.557871, 0.776758, 1.10657, 1.61618", \ + "0.0647354, 0.372477, 0.512028, 0.700312, 0.966064, 1.35287, 1.91699" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0190101, 0.147419, 0.235532, 0.380863, 0.624349, 1.02945, 1.70562", \ + "0.029424, 0.152083, 0.2375, 0.381359, 0.62583, 1.02968, 1.70563", \ + "0.0405337, 0.16245, 0.245481, 0.385818, 0.625831, 1.03067, 1.70652", \ + "0.0605837, 0.185376, 0.26753, 0.403729, 0.636857, 1.03475, 1.70701", \ + "0.094187, 0.232549, 0.314405, 0.449771, 0.67632, 1.06188, 1.71991", \ + "0.150038, 0.320113, 0.405532, 0.542098, 0.769787, 1.146, 1.78308", \ + "0.246335, 0.479576, 0.576317, 0.723532, 0.953279, 1.3345, 1.96207" \ + ); + } + } + timing () { + related_pin : "B2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0516459, 0.235289, 0.358962, 0.5637, 0.906416, 1.47763, 2.42935", \ + "0.0659991, 0.255371, 0.379338, 0.584228, 0.927498, 1.49905, 2.44994", \ + "0.0761345, 0.274885, 0.399162, 0.60399, 0.948335, 1.51789, 2.47018", \ + "0.0941368, 0.310432, 0.43827, 0.644126, 0.986705, 1.55773, 2.51027", \ + "0.128405, 0.373691, 0.510991, 0.725222, 1.07134, 1.64168, 2.5924", \ + "0.186342, 0.480347, 0.633052, 0.866202, 1.23098, 1.81377, 2.7639", \ + "0.275394, 0.647169, 0.827539, 1.08934, 1.49457, 2.11298, 3.09698" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0435397, 0.308375, 0.488177, 0.785211, 1.28336, 2.11144, 3.49365", \ + "0.0534096, 0.309155, 0.488178, 0.785212, 1.28337, 2.11235, 3.49373", \ + "0.0636076, 0.31492, 0.490669, 0.787343, 1.2846, 2.11236, 3.49374", \ + "0.0824125, 0.333306, 0.503988, 0.792521, 1.29168, 2.11242, 3.49429", \ + "0.115954, 0.374714, 0.543239, 0.823634, 1.30241, 2.11922, 3.49802", \ + "0.172167, 0.454034, 0.624403, 0.904056, 1.37234, 2.16353, 3.51401", \ + "0.265212, 0.599226, 0.777455, 1.06413, 1.53498, 2.31575, 3.62328" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0259653, 0.120245, 0.183277, 0.287006, 0.461051, 0.750376, 1.23353", \ + "0.0374789, 0.143572, 0.207125, 0.311145, 0.48691, 0.774996, 1.25782", \ + "0.0443412, 0.163894, 0.230265, 0.335758, 0.509892, 0.799693, 1.2827", \ + "0.0533686, 0.196788, 0.269722, 0.381609, 0.559722, 0.850671, 1.33355", \ + "0.0610118, 0.241823, 0.327976, 0.452843, 0.645094, 0.94592, 1.4328", \ + "0.0647344, 0.302438, 0.407737, 0.557871, 0.776758, 1.10657, 1.61618", \ + "0.0647354, 0.372477, 0.512028, 0.700312, 0.966064, 1.35287, 1.91699" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0190101, 0.147419, 0.235532, 0.380863, 0.624349, 1.02945, 1.70562", \ + "0.029424, 0.152083, 0.2375, 0.381359, 0.62583, 1.02968, 1.70563", \ + "0.0405337, 0.16245, 0.245481, 0.385818, 0.625831, 1.03067, 1.70652", \ + "0.0605837, 0.185376, 0.26753, 0.403729, 0.636857, 1.03475, 1.70701", \ + "0.094187, 0.232549, 0.314405, 0.449771, 0.67632, 1.06188, 1.71991", \ + "0.150038, 0.320113, 0.405532, 0.542098, 0.769787, 1.146, 1.78308", \ + "0.246335, 0.479576, 0.576317, 0.723532, 0.953279, 1.3345, 1.96207" \ + ); + } + } + timing () { + related_pin : "C1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0339839, 0.219199, 0.343706, 0.54923, 0.892528, 1.46506, 2.41932", \ + "0.0537264, 0.24637, 0.370493, 0.575899, 0.91994, 1.49226, 2.44773", \ + "0.0673451, 0.274321, 0.398477, 0.60316, 0.947953, 1.51876, 2.47331", \ + "0.0891715, 0.323676, 0.453576, 0.659869, 1.00195, 1.57356, 2.52692", \ + "0.129135, 0.40507, 0.550463, 0.770816, 1.11921, 1.68933, 2.64055", \ + "0.199017, 0.532918, 0.702709, 0.954096, 1.33422, 1.9249, 2.87583", \ + "0.313958, 0.734352, 0.934521, 1.22854, 1.66911, 2.32579, 3.33119" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0363688, 0.301262, 0.481494, 0.779489, 1.27771, 2.10905, 3.49416", \ + "0.0475336, 0.302862, 0.481555, 0.779874, 1.27834, 2.1094, 3.4951", \ + "0.056048, 0.311784, 0.48556, 0.779875, 1.27967, 2.10941, 3.49511", \ + "0.0734323, 0.338303, 0.506303, 0.791232, 1.28192, 2.10958, 3.49512", \ + "0.104128, 0.389922, 0.560866, 0.837741, 1.30965, 2.12144, 3.49923", \ + "0.157155, 0.475735, 0.659099, 0.947306, 1.41285, 2.19109, 3.52527", \ + "0.247293, 0.623151, 0.823229, 1.13456, 1.62628, 2.40966, 3.69652" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.014552, 0.0694823, 0.104756, 0.162943, 0.25995, 0.421686, 0.690887", \ + "0.0231785, 0.103783, 0.14247, 0.202054, 0.299281, 0.460842, 0.730243", \ + "0.0264296, 0.127568, 0.172786, 0.238267, 0.338741, 0.500985, 0.770167", \ + "0.0287723, 0.161907, 0.217823, 0.296001, 0.409135, 0.580079, 0.852208", \ + "0.0287733, 0.202103, 0.275648, 0.37508, 0.513635, 0.710757, 1.0033", \ + "0.0287743, 0.244841, 0.344005, 0.475023, 0.655175, 0.900523, 1.24592", \ + "0.0287753, 0.269943, 0.40899, 0.590107, 0.828487, 1.15235, 1.58859" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0124687, 0.0818779, 0.131053, 0.212613, 0.349185, 0.576877, 0.95632", \ + "0.027525, 0.0964576, 0.141114, 0.217497, 0.350551, 0.577113, 0.956321", \ + "0.0398962, 0.115121, 0.159728, 0.233266, 0.360157, 0.580433, 0.956535", \ + "0.0609196, 0.148164, 0.196757, 0.271176, 0.39421, 0.603434, 0.967271", \ + "0.0961836, 0.207539, 0.260833, 0.343994, 0.470931, 0.675283, 1.0207", \ + "0.154022, 0.307111, 0.371503, 0.465859, 0.608272, 0.82546, 1.16885", \ + "0.251056, 0.479192, 0.564928, 0.676677, 0.842714, 1.08632, 1.46277" \ + ); + } + } + timing () { + related_pin : "C1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0339839, 0.219199, 0.343706, 0.54923, 0.892528, 1.46506, 2.41932", \ + "0.0537264, 0.24637, 0.370493, 0.575899, 0.91994, 1.49226, 2.44773", \ + "0.0673451, 0.274321, 0.398477, 0.60316, 0.947953, 1.51876, 2.47331", \ + "0.0891715, 0.323676, 0.453576, 0.659869, 1.00195, 1.57356, 2.52692", \ + "0.129135, 0.40507, 0.550463, 0.770816, 1.11921, 1.68933, 2.64055", \ + "0.199017, 0.532918, 0.702709, 0.954096, 1.33422, 1.9249, 2.87583", \ + "0.313958, 0.734352, 0.934521, 1.22854, 1.66911, 2.32579, 3.33119" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0363688, 0.301262, 0.481494, 0.779489, 1.27771, 2.10905, 3.49416", \ + "0.0475336, 0.302862, 0.481555, 0.779874, 1.27834, 2.1094, 3.4951", \ + "0.056048, 0.311784, 0.48556, 0.779875, 1.27967, 2.10941, 3.49511", \ + "0.0734323, 0.338303, 0.506303, 0.791232, 1.28192, 2.10958, 3.49512", \ + "0.104128, 0.389922, 0.560866, 0.837741, 1.30965, 2.12144, 3.49923", \ + "0.157155, 0.475735, 0.659099, 0.947306, 1.41285, 2.19109, 3.52527", \ + "0.247293, 0.623151, 0.823229, 1.13456, 1.62628, 2.40966, 3.69652" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.014552, 0.0694823, 0.104756, 0.162943, 0.25995, 0.421686, 0.690887", \ + "0.0231785, 0.103783, 0.14247, 0.202054, 0.299281, 0.460842, 0.730243", \ + "0.0264296, 0.127568, 0.172786, 0.238267, 0.338741, 0.500985, 0.770167", \ + "0.0287723, 0.161907, 0.217823, 0.296001, 0.409135, 0.580079, 0.852208", \ + "0.0287733, 0.202103, 0.275648, 0.37508, 0.513635, 0.710757, 1.0033", \ + "0.0287743, 0.244841, 0.344005, 0.475023, 0.655175, 0.900523, 1.24592", \ + "0.0287753, 0.269943, 0.40899, 0.590107, 0.828487, 1.15235, 1.58859" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0124687, 0.0818779, 0.131053, 0.212613, 0.349185, 0.576877, 0.95632", \ + "0.027525, 0.0964576, 0.141114, 0.217497, 0.350551, 0.577113, 0.956321", \ + "0.0398962, 0.115121, 0.159728, 0.233266, 0.360157, 0.580433, 0.956535", \ + "0.0609196, 0.148164, 0.196757, 0.271176, 0.39421, 0.603434, 0.967271", \ + "0.0961836, 0.207539, 0.260833, 0.343994, 0.470931, 0.675283, 1.0207", \ + "0.154022, 0.307111, 0.371503, 0.465859, 0.608272, 0.82546, 1.16885", \ + "0.251056, 0.479192, 0.564928, 0.676677, 0.842714, 1.08632, 1.46277" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0158106, 0.0163733, 0.0162273, 0.0160074, 0.0156266, 0.0147105, 0.0152383", \ + "0.0153944, 0.0160294, 0.0159509, 0.0158151, 0.0154671, 0.0146276, 0.0143875", \ + "0.0162913, 0.01597, 0.0159632, 0.0157292, 0.0154677, 0.0147123, 0.0145285", \ + "0.0199982, 0.0175145, 0.0172074, 0.0170095, 0.0172291, 0.0149857, 0.0149716", \ + "0.030627, 0.0236726, 0.0219381, 0.0206824, 0.0185806, 0.0169224, 0.0161458", \ + "0.0546082, 0.0412551, 0.0371697, 0.0328304, 0.0286898, 0.0241922, 0.0232585", \ + "0.104032, 0.083669, 0.0761567, 0.0670718, 0.0576894, 0.0474514, 0.040356" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0125077, 0.0123787, 0.0122453, 0.0119949, 0.0116217, 0.0107341, 0.00952231", \ + "0.0130984, 0.0126115, 0.0122628, 0.0119094, 0.0114583, 0.0106065, 0.00932285", \ + "0.0149737, 0.0130405, 0.0128242, 0.0125763, 0.0115325, 0.0109414, 0.00956547", \ + "0.0199554, 0.0153624, 0.014236, 0.0135159, 0.0127053, 0.0112422, 0.00947657", \ + "0.0313647, 0.022164, 0.0200093, 0.0177573, 0.0154454, 0.0142751, 0.0112972", \ + "0.0554508, 0.0399505, 0.0349948, 0.0302504, 0.0256178, 0.0203238, 0.0173921", \ + "0.104762, 0.0827252, 0.0734893, 0.0631903, 0.052251, 0.0422947, 0.0333626" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(!B1 * B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0152407, 0.0159575, 0.0158321, 0.0156118, 0.0150994, 0.0144521, 0.0152146", \ + "0.014941, 0.0155613, 0.015559, 0.0154523, 0.0150319, 0.0142168, 0.0148523", \ + "0.0159967, 0.015568, 0.0155363, 0.0153916, 0.0150738, 0.0142984, 0.0140458", \ + "0.0199641, 0.0170849, 0.0168934, 0.0162931, 0.0154632, 0.0146669, 0.0143881", \ + "0.0309562, 0.0233071, 0.0216278, 0.0201488, 0.0183678, 0.0164586, 0.0157182", \ + "0.0552611, 0.0411748, 0.0369075, 0.0325397, 0.0285707, 0.0237209, 0.0232807", \ + "0.104918, 0.0840989, 0.0762724, 0.0668751, 0.0575453, 0.0474813, 0.0402949" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00907807, 0.00894842, 0.00885387, 0.00859858, 0.00821488, 0.00739071, 0.00616005", \ + "0.00968129, 0.00910166, 0.00882237, 0.00863127, 0.00803985, 0.00741054, 0.00612446", \ + "0.0115613, 0.00961179, 0.00945781, 0.00906921, 0.00820137, 0.00755098, 0.00608536", \ + "0.0165561, 0.0119587, 0.010964, 0.0101233, 0.00931724, 0.00803636, 0.00670576", \ + "0.0280102, 0.0187878, 0.0166975, 0.0143256, 0.0121871, 0.0108585, 0.00762538", \ + "0.0520858, 0.0364114, 0.0317361, 0.0267337, 0.0219559, 0.0170797, 0.0138511", \ + "0.101584, 0.0793013, 0.0699969, 0.0597112, 0.0487435, 0.0392829, 0.0296376" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(!B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0192006, 0.0198583, 0.0197789, 0.0195542, 0.0191107, 0.0190028, 0.0187016", \ + "0.0188694, 0.01952, 0.0195289, 0.0194337, 0.0191068, 0.0190499, 0.018706", \ + "0.0199094, 0.0196845, 0.0195176, 0.0193801, 0.0189357, 0.0191443, 0.0187238", \ + "0.0238231, 0.0210071, 0.0207377, 0.0201378, 0.0194982, 0.0193815, 0.0189464", \ + "0.0348041, 0.0273244, 0.0254214, 0.0240855, 0.0222874, 0.0221753, 0.0225054", \ + "0.0593216, 0.0453149, 0.0409908, 0.0367733, 0.0325372, 0.0296237, 0.0268579", \ + "0.109587, 0.0887554, 0.0804871, 0.0716045, 0.0620544, 0.0517151, 0.0440683" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00731839, 0.00724893, 0.00714089, 0.00683396, 0.00655827, 0.00565613, 0.00447676", \ + "0.00793718, 0.0074662, 0.00721573, 0.00678611, 0.00624758, 0.00558901, 0.00444236", \ + "0.00981797, 0.00794972, 0.00766517, 0.00709085, 0.00639291, 0.00592783, 0.00454792", \ + "0.0148371, 0.0103042, 0.00933074, 0.00846814, 0.0076248, 0.00618206, 0.00498783", \ + "0.0263817, 0.0173843, 0.0152132, 0.0129491, 0.0106516, 0.00988469, 0.00633002", \ + "0.0507276, 0.0355501, 0.0306946, 0.0256986, 0.0208234, 0.0159647, 0.0125211", \ + "0.100794, 0.0793218, 0.0702224, 0.0600077, 0.0490894, 0.0392952, 0.0293851" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0158106, 0.0163733, 0.0162273, 0.0160074, 0.0156266, 0.0147105, 0.0152383", \ + "0.0153944, 0.0160294, 0.0159509, 0.0158151, 0.0154671, 0.0146276, 0.0143875", \ + "0.0162913, 0.01597, 0.0159632, 0.0157292, 0.0154677, 0.0147123, 0.0145285", \ + "0.0199982, 0.0175145, 0.0172074, 0.0170095, 0.0172291, 0.0149857, 0.0149716", \ + "0.030627, 0.0236726, 0.0219381, 0.0206824, 0.0185806, 0.0169224, 0.0161458", \ + "0.0546082, 0.0412551, 0.0371697, 0.0328304, 0.0286898, 0.0241922, 0.0232585", \ + "0.104032, 0.083669, 0.0761567, 0.0670718, 0.0576894, 0.0474514, 0.040356" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00907807, 0.00894842, 0.00885387, 0.00859858, 0.00821488, 0.00739071, 0.00616005", \ + "0.00968129, 0.00910166, 0.00882237, 0.00863127, 0.00803985, 0.00741054, 0.00612446", \ + "0.0115613, 0.00961179, 0.00945781, 0.00906921, 0.00820137, 0.00755098, 0.00608536", \ + "0.0165561, 0.0119587, 0.010964, 0.0101233, 0.00931724, 0.00803636, 0.00670576", \ + "0.0280102, 0.0187878, 0.0166975, 0.0143256, 0.0121871, 0.0108585, 0.00762538", \ + "0.0520858, 0.0364114, 0.0317361, 0.0267337, 0.0219559, 0.0170797, 0.0138511", \ + "0.101584, 0.0793013, 0.0699969, 0.0597112, 0.0487435, 0.0392829, 0.0296376" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.016069, 0.0161119, 0.015954, 0.015704, 0.0152482, 0.0145222, 0.0140546", \ + "0.0158541, 0.0160196, 0.0159548, 0.0156522, 0.0152024, 0.014447, 0.0140116", \ + "0.0167058, 0.0160871, 0.0160191, 0.015639, 0.0152665, 0.0144628, 0.0141646", \ + "0.0204638, 0.0177914, 0.0173798, 0.0170396, 0.0163556, 0.0148367, 0.0153151", \ + "0.031509, 0.0244689, 0.0225199, 0.0208101, 0.0186483, 0.016858, 0.015989", \ + "0.0564354, 0.0434017, 0.0389792, 0.0342073, 0.0296309, 0.0245422, 0.0237942", \ + "0.10774, 0.0889413, 0.080699, 0.0711187, 0.0607254, 0.049523, 0.0411516" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0158678, 0.0156788, 0.0155483, 0.0153213, 0.0149232, 0.0140398, 0.0128667", \ + "0.016078, 0.0158785, 0.0153841, 0.0155049, 0.0147131, 0.0138246, 0.0127037", \ + "0.0176391, 0.0162075, 0.0161383, 0.015541, 0.0149079, 0.0139682, 0.0129132", \ + "0.0223733, 0.0184414, 0.0175519, 0.0167445, 0.0169582, 0.0150111, 0.0131549", \ + "0.0339279, 0.0250983, 0.0229266, 0.0210259, 0.0186014, 0.0181846, 0.0149435", \ + "0.0587159, 0.0432869, 0.0382923, 0.0335731, 0.0289052, 0.0239527, 0.0206906", \ + "0.109699, 0.0870426, 0.0780362, 0.0675054, 0.0568926, 0.0465818, 0.0374236" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(!B1 * B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0155991, 0.0156814, 0.0155087, 0.0152924, 0.0148869, 0.0140076, 0.014695", \ + "0.0154409, 0.0155952, 0.0154596, 0.0152295, 0.0146984, 0.0139791, 0.0146752", \ + "0.0163789, 0.0156788, 0.015568, 0.0152986, 0.014855, 0.014041, 0.0137708", \ + "0.0203699, 0.017406, 0.017205, 0.0163327, 0.0157796, 0.0142608, 0.0143556", \ + "0.0317764, 0.0241016, 0.0221254, 0.0205181, 0.0182727, 0.0164537, 0.0160403", \ + "0.0569623, 0.0434585, 0.0388258, 0.0338869, 0.0292123, 0.024172, 0.0231381", \ + "0.108465, 0.0889346, 0.0806556, 0.0709883, 0.0605258, 0.0494565, 0.0417247" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0124227, 0.0122791, 0.0121595, 0.0118932, 0.0115788, 0.0106673, 0.00954667", \ + "0.0126624, 0.0124301, 0.0121135, 0.0117789, 0.0113424, 0.0105258, 0.00939692", \ + "0.014242, 0.0127498, 0.0126566, 0.0120208, 0.0115742, 0.0108402, 0.00952175", \ + "0.0190116, 0.0149428, 0.014182, 0.0133327, 0.0129678, 0.0113358, 0.00967264", \ + "0.0305749, 0.0217095, 0.0196246, 0.0176689, 0.0152405, 0.0147415, 0.0116463", \ + "0.0553691, 0.0398501, 0.0348631, 0.0300904, 0.0256595, 0.0209078, 0.0175445", \ + "0.106659, 0.0836042, 0.074565, 0.0639207, 0.0537098, 0.0434199, 0.0350331" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(!B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0195814, 0.0195988, 0.0194582, 0.0192167, 0.0187816, 0.0179452, 0.0183416", \ + "0.0193634, 0.0194295, 0.0196564, 0.0191192, 0.0187709, 0.0179246, 0.0184087", \ + "0.0202961, 0.0198943, 0.0195237, 0.0191992, 0.0187707, 0.0181062, 0.0183013", \ + "0.0242329, 0.0213519, 0.0210126, 0.0201701, 0.0194306, 0.018394, 0.0185768", \ + "0.0356444, 0.0280713, 0.0261555, 0.024356, 0.0222227, 0.0213667, 0.0203199", \ + "0.0610724, 0.0475474, 0.0428669, 0.0381745, 0.0330965, 0.0291476, 0.027392", \ + "0.113208, 0.0938496, 0.085486, 0.0758602, 0.0650057, 0.0539159, 0.0451854" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0107359, 0.0105505, 0.0104631, 0.0101883, 0.00984345, 0.00892957, 0.00783256", \ + "0.010873, 0.0106732, 0.0104262, 0.0103583, 0.00958862, 0.00887712, 0.00774608", \ + "0.0124672, 0.0110263, 0.0109646, 0.0104161, 0.00982158, 0.00890673, 0.00767149", \ + "0.0172775, 0.0133889, 0.0124937, 0.0116447, 0.0116097, 0.00994786, 0.00855842", \ + "0.0289534, 0.0202405, 0.0181963, 0.0161515, 0.0139416, 0.0131757, 0.0101055", \ + "0.0540555, 0.0389572, 0.0340829, 0.0295557, 0.024665, 0.019491, 0.0160908", \ + "0.105779, 0.0837065, 0.0747629, 0.0643393, 0.0532715, 0.0432521, 0.0337169" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.016069, 0.0161119, 0.015954, 0.015704, 0.0152482, 0.0145222, 0.0140546", \ + "0.0158541, 0.0160196, 0.0159548, 0.0156522, 0.0152024, 0.014447, 0.0140116", \ + "0.0167058, 0.0160871, 0.0160191, 0.015639, 0.0152665, 0.0144628, 0.0141646", \ + "0.0204638, 0.0177914, 0.0173798, 0.0170396, 0.0163556, 0.0148367, 0.0153151", \ + "0.031509, 0.0244689, 0.0225199, 0.0208101, 0.0186483, 0.016858, 0.015989", \ + "0.0564354, 0.0434017, 0.0389792, 0.0342073, 0.0296309, 0.0245422, 0.0237942", \ + "0.10774, 0.0889413, 0.080699, 0.0711187, 0.0607254, 0.049523, 0.0411516" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0124227, 0.0122791, 0.0121595, 0.0118932, 0.0115788, 0.0106673, 0.00954667", \ + "0.0126624, 0.0124301, 0.0121135, 0.0117789, 0.0113424, 0.0105258, 0.00939692", \ + "0.014242, 0.0127498, 0.0126566, 0.0120208, 0.0115742, 0.0108402, 0.00952175", \ + "0.0190116, 0.0149428, 0.014182, 0.0133327, 0.0129678, 0.0113358, 0.00967264", \ + "0.0305749, 0.0217095, 0.0196246, 0.0176689, 0.0152405, 0.0147415, 0.0116463", \ + "0.0553691, 0.0398501, 0.0348631, 0.0300904, 0.0256595, 0.0209078, 0.0175445", \ + "0.106659, 0.0836042, 0.074565, 0.0639207, 0.0537098, 0.0434199, 0.0350331" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0119202, 0.012504, 0.0123749, 0.0121544, 0.0117125, 0.0109098, 0.0115585", \ + "0.0118589, 0.0122221, 0.012118, 0.0120213, 0.0115645, 0.0108951, 0.0105064", \ + "0.0132972, 0.0123601, 0.0122411, 0.0119919, 0.0119571, 0.0107364, 0.0105689", \ + "0.0175217, 0.0141678, 0.0137326, 0.0133478, 0.0129611, 0.0112881, 0.0117197", \ + "0.027693, 0.0202932, 0.0183838, 0.0167746, 0.0148859, 0.0129282, 0.0130256", \ + "0.0493606, 0.0364596, 0.0324677, 0.0282396, 0.0241652, 0.0194135, 0.0182325", \ + "0.0938113, 0.0743285, 0.0671795, 0.0588943, 0.0504214, 0.0404009, 0.0337771" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00943147, 0.00957362, 0.009435, 0.00921009, 0.00881527, 0.00795727, 0.00664364", \ + "0.0101428, 0.00976935, 0.0094824, 0.00950596, 0.00885755, 0.00791537, 0.00686916", \ + "0.0120418, 0.0103207, 0.010121, 0.00978329, 0.00901055, 0.00832195, 0.00675086", \ + "0.0166915, 0.0124941, 0.0116165, 0.010782, 0.0102686, 0.00854652, 0.00718936", \ + "0.0271601, 0.0188577, 0.0168871, 0.0148557, 0.0126184, 0.0120975, 0.0084944", \ + "0.0487363, 0.0350522, 0.0306636, 0.0263035, 0.022193, 0.0173628, 0.0146013", \ + "0.0930637, 0.0733524, 0.065507, 0.0562194, 0.0469364, 0.0380307, 0.0293798" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0113411, 0.0120606, 0.0119701, 0.0117505, 0.0112341, 0.0105621, 0.0113305", \ + "0.0114509, 0.0116995, 0.0117066, 0.0115994, 0.0111699, 0.0103378, 0.0111016", \ + "0.0131065, 0.0119645, 0.0119154, 0.0116742, 0.0115203, 0.0104274, 0.0100148", \ + "0.0175861, 0.0138129, 0.0133107, 0.01256, 0.0118708, 0.0108108, 0.011288", \ + "0.0280279, 0.0199923, 0.0180804, 0.0166657, 0.0145058, 0.0124645, 0.0126373", \ + "0.0499613, 0.036331, 0.0322346, 0.0278964, 0.0239141, 0.0191472, 0.0191769", \ + "0.0946965, 0.0743281, 0.0669921, 0.0586712, 0.0497744, 0.03992, 0.0332237" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00599226, 0.00618231, 0.00610686, 0.00581246, 0.00550964, 0.00455929, 0.0032895", \ + "0.00670864, 0.00648062, 0.00618664, 0.00615325, 0.00541487, 0.0046618, 0.00355387", \ + "0.00863878, 0.00689275, 0.00672293, 0.00620071, 0.00561984, 0.00497241, 0.00348943", \ + "0.0133155, 0.00910779, 0.00809104, 0.00738849, 0.00680504, 0.00527805, 0.00393129", \ + "0.0238102, 0.0154402, 0.0135296, 0.0114509, 0.00929092, 0.00885939, 0.00512413", \ + "0.0454131, 0.0316701, 0.0272431, 0.0229108, 0.0187146, 0.0141995, 0.0112599", \ + "0.0898716, 0.0699557, 0.0621906, 0.0528825, 0.0437276, 0.0346973, 0.026079" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0113486, 0.0120579, 0.0119533, 0.0117597, 0.0112514, 0.0104985, 0.0109038", \ + "0.0116069, 0.0117792, 0.0118186, 0.0116951, 0.0113675, 0.0102502, 0.0112091", \ + "0.0134719, 0.012397, 0.01205, 0.0117048, 0.0113356, 0.0106364, 0.00994578", \ + "0.0182971, 0.0142274, 0.0136935, 0.012929, 0.012323, 0.0108421, 0.011245", \ + "0.0295113, 0.0209307, 0.0189136, 0.017048, 0.0147942, 0.0139916, 0.013085", \ + "0.0528951, 0.0385278, 0.0342357, 0.029736, 0.024902, 0.0212279, 0.0189901", \ + "0.10062, 0.0797455, 0.0716529, 0.0630429, 0.053719, 0.0436799, 0.0359488" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00579571, 0.00598733, 0.0058218, 0.00558703, 0.00530425, 0.00436849, 0.00300285", \ + "0.00665736, 0.0062453, 0.00586032, 0.00593087, 0.00516379, 0.00432316, 0.00331031", \ + "0.00879939, 0.0067512, 0.00657676, 0.00599049, 0.00540942, 0.00469976, 0.00328482", \ + "0.0139193, 0.00920042, 0.00823598, 0.00726281, 0.00678557, 0.0051823, 0.00360556", \ + "0.025201, 0.0161685, 0.0139978, 0.0117425, 0.00951956, 0.00801857, 0.00488169", \ + "0.0485331, 0.0337664, 0.0289765, 0.024255, 0.01975, 0.0147066, 0.0115015", \ + "0.0961376, 0.0752173, 0.0668446, 0.0566701, 0.0462628, 0.0370743, 0.0276927" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0119202, 0.012504, 0.0123749, 0.0121544, 0.0117125, 0.0109098, 0.0115585", \ + "0.0118589, 0.0122221, 0.012118, 0.0120213, 0.0115645, 0.0108951, 0.0105064", \ + "0.0132972, 0.0123601, 0.0122411, 0.0119919, 0.0119571, 0.0107364, 0.0105689", \ + "0.0175217, 0.0141678, 0.0137326, 0.0133478, 0.0129611, 0.0112881, 0.0117197", \ + "0.027693, 0.0202932, 0.0183838, 0.0167746, 0.0148859, 0.0129282, 0.0130256", \ + "0.0493606, 0.0364596, 0.0324677, 0.0282396, 0.0241652, 0.0194135, 0.0182325", \ + "0.0938113, 0.0743285, 0.0671795, 0.0588943, 0.0504214, 0.0404009, 0.0337771" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00579571, 0.00598733, 0.0058218, 0.00558703, 0.00530425, 0.00436849, 0.00300285", \ + "0.00665736, 0.0062453, 0.00586032, 0.00593087, 0.00516379, 0.00432316, 0.00331031", \ + "0.00879939, 0.0067512, 0.00657676, 0.00599049, 0.00540942, 0.00469976, 0.00328482", \ + "0.0139193, 0.00920042, 0.00823598, 0.00726281, 0.00678557, 0.0051823, 0.00360556", \ + "0.025201, 0.0161685, 0.0139978, 0.0117425, 0.00951956, 0.00801857, 0.00488169", \ + "0.0485331, 0.0337664, 0.0289765, 0.024255, 0.01975, 0.0147066, 0.0115015", \ + "0.0961376, 0.0752173, 0.0668446, 0.0566701, 0.0462628, 0.0370743, 0.0276927" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0121166, 0.0121636, 0.0120142, 0.0117419, 0.0112855, 0.0104304, 0.0100095", \ + "0.0121951, 0.0121423, 0.0119037, 0.0116708, 0.0112588, 0.0104151, 0.00991391", \ + "0.0136197, 0.012384, 0.0121674, 0.0120488, 0.011631, 0.0104684, 0.0109332", \ + "0.0178934, 0.0144077, 0.0137121, 0.0129437, 0.0127193, 0.011019, 0.0113352", \ + "0.028313, 0.0209081, 0.0186988, 0.0170528, 0.0149721, 0.0127941, 0.0130898", \ + "0.0504915, 0.0380535, 0.0338213, 0.0291405, 0.0247103, 0.0197753, 0.0180397", \ + "0.0959323, 0.0782941, 0.0707379, 0.0619305, 0.0525036, 0.0417898, 0.0360053" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0128927, 0.0129832, 0.0128438, 0.0126205, 0.0122177, 0.0113172, 0.0101459", \ + "0.013141, 0.0132104, 0.0129559, 0.01266, 0.0121922, 0.0113505, 0.0100934", \ + "0.0147356, 0.0135373, 0.0135128, 0.0131444, 0.0123678, 0.0117575, 0.0104495", \ + "0.0191933, 0.0156621, 0.014907, 0.0140906, 0.0138468, 0.0125608, 0.0106228", \ + "0.0296063, 0.0218154, 0.0199349, 0.0182278, 0.015892, 0.0156701, 0.0125428", \ + "0.0515487, 0.038224, 0.0337466, 0.0297332, 0.025541, 0.0212628, 0.0181318", \ + "0.0965567, 0.0769642, 0.0693819, 0.0601611, 0.0511075, 0.0420237, 0.0333259" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116442, 0.0117269, 0.0115759, 0.0113631, 0.0108225, 0.0100517, 0.00957205", \ + "0.0118114, 0.0117047, 0.0114925, 0.0112832, 0.0108307, 0.0100311, 0.00954826", \ + "0.0133785, 0.0119951, 0.0118495, 0.0113971, 0.011194, 0.0101003, 0.00976784", \ + "0.0179197, 0.0140302, 0.013295, 0.0128519, 0.011873, 0.0105306, 0.0108922", \ + "0.0285586, 0.0206143, 0.0183889, 0.0167871, 0.0144908, 0.0122244, 0.0117728", \ + "0.0509674, 0.0380053, 0.0336418, 0.0288319, 0.0244967, 0.0193556, 0.0183348", \ + "0.0965476, 0.0782472, 0.070698, 0.0617366, 0.0522798, 0.0411352, 0.0347752" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00945623, 0.00959797, 0.00948946, 0.0091937, 0.00875904, 0.00801092, 0.00662299", \ + "0.00970943, 0.00979731, 0.00951632, 0.00967429, 0.00937097, 0.00806456, 0.00678035", \ + "0.0113366, 0.010176, 0.0100773, 0.00963544, 0.00913692, 0.0083214, 0.00679162", \ + "0.0158211, 0.0122453, 0.0115207, 0.0106884, 0.0106147, 0.00874983, 0.0072923", \ + "0.0262548, 0.0183413, 0.0166727, 0.014848, 0.0126137, 0.0117341, 0.00912873", \ + "0.048237, 0.0348397, 0.030278, 0.0263332, 0.0223904, 0.0180737, 0.014803", \ + "0.0930806, 0.0734913, 0.0657742, 0.0568779, 0.0477221, 0.0388392, 0.0304244" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116732, 0.0117218, 0.0115744, 0.0113528, 0.010842, 0.0100402, 0.0104758", \ + "0.0119422, 0.0117014, 0.0117494, 0.0113777, 0.0109878, 0.0100326, 0.0105693", \ + "0.01374, 0.0122247, 0.0119027, 0.0119036, 0.0111395, 0.0102278, 0.0105128", \ + "0.0186405, 0.014448, 0.0138322, 0.0127196, 0.012172, 0.0106023, 0.0109576", \ + "0.0301422, 0.0216628, 0.0194286, 0.0173381, 0.0149642, 0.0136318, 0.0127324", \ + "0.0541985, 0.0405419, 0.0357702, 0.0308356, 0.0254657, 0.0219541, 0.0189832", \ + "0.103161, 0.0841685, 0.0761036, 0.0663155, 0.0563338, 0.0448248, 0.0365931" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00926745, 0.00938371, 0.00930761, 0.00902249, 0.00867002, 0.00777185, 0.00666845", \ + "0.0096193, 0.00955926, 0.00926734, 0.00897425, 0.00908834, 0.00777291, 0.0065984", \ + "0.0114599, 0.0100031, 0.00987551, 0.00930029, 0.00890723, 0.00799859, 0.00704163", \ + "0.0164019, 0.0123314, 0.011493, 0.010624, 0.0102626, 0.00943025, 0.0073426", \ + "0.0277721, 0.0191762, 0.0171227, 0.0150191, 0.0128204, 0.011556, 0.00884481", \ + "0.0515685, 0.0371041, 0.0323099, 0.0276032, 0.0232747, 0.0184834, 0.0149619", \ + "0.100144, 0.0792149, 0.0709031, 0.0610486, 0.0506187, 0.0415814, 0.0317802" \ + ); + } + } + internal_power () { + related_pin : "B2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0121166, 0.0121636, 0.0120142, 0.0117419, 0.0112855, 0.0104304, 0.0100095", \ + "0.0121951, 0.0121423, 0.0119037, 0.0116708, 0.0112588, 0.0104151, 0.00991391", \ + "0.0136197, 0.012384, 0.0121674, 0.0120488, 0.011631, 0.0104684, 0.0109332", \ + "0.0178934, 0.0144077, 0.0137121, 0.0129437, 0.0127193, 0.011019, 0.0113352", \ + "0.028313, 0.0209081, 0.0186988, 0.0170528, 0.0149721, 0.0127941, 0.0130898", \ + "0.0504915, 0.0380535, 0.0338213, 0.0291405, 0.0247103, 0.0197753, 0.0180397", \ + "0.0959323, 0.0782941, 0.0707379, 0.0619305, 0.0525036, 0.0417898, 0.0360053" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00926745, 0.00938371, 0.00930761, 0.00902249, 0.00867002, 0.00777185, 0.00666845", \ + "0.0096193, 0.00955926, 0.00926734, 0.00897425, 0.00908834, 0.00777291, 0.0065984", \ + "0.0114599, 0.0100031, 0.00987551, 0.00930029, 0.00890723, 0.00799859, 0.00704163", \ + "0.0164019, 0.0123314, 0.011493, 0.010624, 0.0102626, 0.00943025, 0.0073426", \ + "0.0277721, 0.0191762, 0.0171227, 0.0150191, 0.0128204, 0.011556, 0.00884481", \ + "0.0515685, 0.0371041, 0.0323099, 0.0276032, 0.0232747, 0.0184834, 0.0149619", \ + "0.100144, 0.0792149, 0.0709031, 0.0610486, 0.0506187, 0.0415814, 0.0317802" \ + ); + } + } + internal_power () { + related_pin : "C1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00628153, 0.00684673, 0.00677246, 0.00656046, 0.00610997, 0.00532591, 0.00487055", \ + "0.0078087, 0.00695437, 0.00670731, 0.00654196, 0.00603186, 0.00534223, 0.00491734", \ + "0.0101993, 0.00773072, 0.00739524, 0.00684265, 0.00655878, 0.00537234, 0.00492909", \ + "0.0152755, 0.0107289, 0.00989337, 0.0087364, 0.00742765, 0.00613212, 0.00641199", \ + "0.026392, 0.0185107, 0.0159666, 0.0140994, 0.0111851, 0.00887826, 0.00841582", \ + "0.0492525, 0.0363219, 0.0322616, 0.0275757, 0.0227282, 0.0170705, 0.0169367", \ + "0.0962701, 0.076853, 0.0701963, 0.0624033, 0.0531733, 0.0429433, 0.0340055" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00820684, 0.00908782, 0.00874545, 0.00855759, 0.00816275, 0.00751004, 0.00610739", \ + "0.00958455, 0.00916416, 0.00926577, 0.00898764, 0.00833511, 0.0077037, 0.00639959", \ + "0.0117935, 0.0100516, 0.00956235, 0.00941248, 0.00867464, 0.00807194, 0.0064316", \ + "0.0168917, 0.0123076, 0.0116096, 0.0106273, 0.00966675, 0.0095179, 0.00721364", \ + "0.0280075, 0.0191644, 0.0171042, 0.0153456, 0.0134966, 0.0107417, 0.0100451", \ + "0.0509464, 0.0364922, 0.0317727, 0.0272289, 0.0234083, 0.0195179, 0.0149108", \ + "0.0975163, 0.0763912, 0.0683945, 0.0593985, 0.0493354, 0.0404762, 0.0329897" \ + ); + } + } + internal_power () { + related_pin : "C1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00628153, 0.00684673, 0.00677246, 0.00656046, 0.00610997, 0.00532591, 0.00487055", \ + "0.0078087, 0.00695437, 0.00670731, 0.00654196, 0.00603186, 0.00534223, 0.00491734", \ + "0.0101993, 0.00773072, 0.00739524, 0.00684265, 0.00655878, 0.00537234, 0.00492909", \ + "0.0152755, 0.0107289, 0.00989337, 0.0087364, 0.00742765, 0.00613212, 0.00641199", \ + "0.026392, 0.0185107, 0.0159666, 0.0140994, 0.0111851, 0.00887826, 0.00841582", \ + "0.0492525, 0.0363219, 0.0322616, 0.0275757, 0.0227282, 0.0170705, 0.0169367", \ + "0.0962701, 0.076853, 0.0701963, 0.0624033, 0.0531733, 0.0429433, 0.0340055" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00820684, 0.00908782, 0.00874545, 0.00855759, 0.00816275, 0.00751004, 0.00610739", \ + "0.00958455, 0.00916416, 0.00926577, 0.00898764, 0.00833511, 0.0077037, 0.00639959", \ + "0.0117935, 0.0100516, 0.00956235, 0.00941248, 0.00867464, 0.00807194, 0.0064316", \ + "0.0168917, 0.0123076, 0.0116096, 0.0106273, 0.00966675, 0.0095179, 0.00721364", \ + "0.0280075, 0.0191644, 0.0171042, 0.0153456, 0.0134966, 0.0107417, 0.0100451", \ + "0.0509464, 0.0364922, 0.0317727, 0.0272289, 0.0234083, 0.0195179, 0.0149108", \ + "0.0975163, 0.0763912, 0.0683945, 0.0593985, 0.0493354, 0.0404762, 0.0329897" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00326943; + rise_capacitance : 0.00315384; + rise_capacitance_range (0.00315384, 0.00315384); + fall_capacitance : 0.00338502; + fall_capacitance_range (0.00338502, 0.00338502); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0033705; + rise_capacitance : 0.0033449; + rise_capacitance_range (0.0033449, 0.0033449); + fall_capacitance : 0.0033961; + fall_capacitance_range (0.0033961, 0.0033961); + internal_power () { + when : "(B1 * B2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00298596; + rise_capacitance : 0.00292685; + rise_capacitance_range (0.00292685, 0.00292685); + fall_capacitance : 0.00304508; + fall_capacitance_range (0.00304508, 0.00304508); + internal_power () { + when : "C1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(A1 * A2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (B2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.003096; + rise_capacitance : 0.00312093; + rise_capacitance_range (0.00312093, 0.00312093); + fall_capacitance : 0.00307108; + fall_capacitance_range (0.00307108, 0.00307108); + internal_power () { + when : "C1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(A1 * A2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00271304; + rise_capacitance : 0.00267047; + rise_capacitance_range (0.00267047, 0.00267047); + fall_capacitance : 0.0027556; + fall_capacitance_range (0.0027556, 0.0027556); + internal_power () { + when : "(B1 * B2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00101147, -0.0010382, -0.00103369, -0.00103263, -0.00104548, -0.00104422, -0.00105476" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00101147, 0.0010382, 0.00103369, 0.00103263, 0.00104548, 0.00104422, 0.00105476" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00101147, -0.0010382, -0.00103369, -0.00103263, -0.00104548, -0.00104422, -0.00105476" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00101147, 0.0010382, 0.00103369, 0.00103263, 0.00104548, 0.00104422, 0.00105476" \ + ); + } + } + } + } + cell (sg13g2_a22oi_1) { + area : 10.8486; + cell_footprint : "a22oi"; + cell_leakage_power : 1461.89; + leakage_power () { + value : 766.293; + when : "!A1*!A2*!B1*!B2"; + } + leakage_power () { + value : 586.563; + when : "!A1*!A2*!B1*B2"; + } + leakage_power () { + value : 1245.89; + when : "!A1*!A2*B1*!B2"; + } + leakage_power () { + value : 1823; + when : "!A1*!A2*B1*B2"; + } + leakage_power () { + value : 1246.02; + when : "!A1*A2*!B1*!B2"; + } + leakage_power () { + value : 1066.33; + when : "!A1*A2*!B1*B2"; + } + leakage_power () { + value : 1725.61; + when : "!A1*A2*B1*!B2"; + } + leakage_power () { + value : 2295.34; + when : "!A1*A2*B1*B2"; + } + leakage_power () { + value : 586.534; + when : "A1*!A2*!B1*!B2"; + } + leakage_power () { + value : 406.819; + when : "A1*!A2*!B1*B2"; + } + leakage_power () { + value : 1066.17; + when : "A1*!A2*B1*!B2"; + } + leakage_power () { + value : 2295.19; + when : "A1*!A2*B1*B2"; + } + leakage_power () { + value : 1416.92; + when : "A1*A2*!B1*!B2"; + } + leakage_power () { + value : 2092.88; + when : "A1*A2*!B1*B2"; + } + leakage_power () { + value : 2092.89; + when : "A1*A2*B1*!B2"; + } + leakage_power () { + value : 2677.82; + when : "A1*A2*B1*B2"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+(B1*B2))"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.024341, 0.0713315, 0.102976, 0.155117, 0.242404, 0.387674, 0.629472", \ + "0.0348032, 0.0948245, 0.128707, 0.181967, 0.269357, 0.414676, 0.656891", \ + "0.0394142, 0.110803, 0.148611, 0.205613, 0.295269, 0.441017, 0.683078", \ + "0.0442374, 0.133639, 0.177992, 0.243412, 0.341106, 0.492033, 0.735687", \ + "0.0510441, 0.166901, 0.222953, 0.300418, 0.414748, 0.582506, 0.839213", \ + "0.0569776, 0.210766, 0.283222, 0.38239, 0.520993, 0.720669, 1.01074", \ + "0.0569786, 0.252661, 0.349711, 0.481337, 0.66076, 0.905276, 1.25547" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.016194, 0.0803281, 0.125757, 0.200891, 0.326684, 0.536308, 0.885675", \ + "0.0293334, 0.0898004, 0.132055, 0.203948, 0.32756, 0.536309, 0.885676", \ + "0.041451, 0.103692, 0.145287, 0.214522, 0.333645, 0.538712, 0.885677", \ + "0.061287, 0.129677, 0.172418, 0.24124, 0.356874, 0.553371, 0.892255", \ + "0.0939681, 0.176507, 0.222161, 0.293787, 0.410665, 0.603204, 0.927512", \ + "0.148962, 0.254897, 0.309517, 0.387865, 0.512889, 0.711852, 1.03313", \ + "0.244113, 0.38416, 0.455404, 0.552477, 0.693926, 0.906565, 1.242" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0276511, 0.0744602, 0.105896, 0.157621, 0.244197, 0.388346, 0.628226", \ + "0.0450562, 0.104675, 0.138725, 0.191722, 0.27844, 0.422486, 0.662556", \ + "0.0565728, 0.126637, 0.165559, 0.223352, 0.313256, 0.457961, 0.697923", \ + "0.0746811, 0.160156, 0.206351, 0.273956, 0.374244, 0.52722, 0.770302", \ + "0.0989458, 0.206392, 0.26299, 0.345328, 0.464592, 0.639704, 0.901878", \ + "0.134438, 0.274061, 0.344927, 0.445643, 0.592864, 0.803064, 1.10997", \ + "0.188556, 0.370836, 0.464185, 0.593438, 0.773373, 1.03574, 1.40737" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.021657, 0.0834346, 0.127096, 0.199291, 0.320442, 0.522049, 0.857703", \ + "0.0352517, 0.0962544, 0.136455, 0.204448, 0.321888, 0.52205, 0.857847", \ + "0.0463747, 0.112477, 0.153215, 0.219151, 0.331886, 0.526089, 0.858268", \ + "0.0661899, 0.140575, 0.18482, 0.253046, 0.363631, 0.549683, 0.870516", \ + "0.0994525, 0.189744, 0.239135, 0.313751, 0.430786, 0.616128, 0.924296", \ + "0.155205, 0.272168, 0.331296, 0.416968, 0.545598, 0.746526, 1.05903", \ + "0.251669, 0.415071, 0.487925, 0.589546, 0.741393, 0.963544, 1.3073" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.027485, 0.073805, 0.105362, 0.157478, 0.244886, 0.389849, 0.631818", \ + "0.0402946, 0.0979994, 0.131575, 0.184543, 0.271911, 0.417387, 0.659267", \ + "0.0468542, 0.114689, 0.152024, 0.208621, 0.297991, 0.443512, 0.685464", \ + "0.0554384, 0.13896, 0.182666, 0.246933, 0.344097, 0.494809, 0.738311", \ + "0.0706, 0.176642, 0.230428, 0.306085, 0.41925, 0.58608, 0.842416", \ + "0.0935336, 0.230828, 0.298907, 0.394239, 0.529209, 0.72607, 1.01518", \ + "0.117879, 0.29814, 0.387253, 0.510201, 0.681745, 0.920704, 1.26593" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194957, 0.0842414, 0.129494, 0.204605, 0.330584, 0.540115, 0.889518", \ + "0.0324048, 0.0932404, 0.135601, 0.207552, 0.331139, 0.54017, 0.889519", \ + "0.0441723, 0.10706, 0.148654, 0.218032, 0.33742, 0.542103, 0.88959", \ + "0.0634717, 0.132607, 0.175567, 0.244519, 0.360323, 0.557114, 0.896474", \ + "0.0959943, 0.178794, 0.224312, 0.296442, 0.41403, 0.606821, 0.931462", \ + "0.151539, 0.255578, 0.30905, 0.388352, 0.514409, 0.712555, 1.03771", \ + "0.245101, 0.382993, 0.452418, 0.548767, 0.691262, 0.906238, 1.24613" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0285147, 0.0750957, 0.106509, 0.158294, 0.24482, 0.388901, 0.628918", \ + "0.0415049, 0.0962927, 0.129441, 0.182135, 0.268952, 0.413101, 0.653233", \ + "0.05032, 0.113028, 0.148918, 0.204494, 0.293293, 0.438029, 0.678194", \ + "0.0635123, 0.139299, 0.180869, 0.242194, 0.337382, 0.487043, 0.729213", \ + "0.0797561, 0.176161, 0.225554, 0.298329, 0.405499, 0.569118, 0.82221", \ + "0.0997121, 0.225815, 0.289817, 0.378364, 0.506948, 0.69521, 0.975151", \ + "0.123044, 0.289992, 0.37363, 0.490222, 0.651686, 0.878988, 1.20803" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0209924, 0.0834144, 0.127134, 0.199409, 0.320439, 0.521602, 0.857356", \ + "0.0310119, 0.0912794, 0.132567, 0.202438, 0.321396, 0.522154, 0.857424", \ + "0.0414317, 0.102229, 0.1434, 0.211174, 0.327134, 0.524217, 0.859912", \ + "0.0604622, 0.124566, 0.165653, 0.233507, 0.346529, 0.538297, 0.864982", \ + "0.0914365, 0.166594, 0.209932, 0.279459, 0.392289, 0.580515, 0.897131", \ + "0.143697, 0.241989, 0.290222, 0.364305, 0.481721, 0.671704, 0.98538", \ + "0.231942, 0.369608, 0.433619, 0.519127, 0.650217, 0.848006, 1.1643" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0206502, 0.0658279, 0.0962818, 0.146516, 0.230529, 0.370519, 0.603773", \ + "0.0349264, 0.0957968, 0.129199, 0.180725, 0.264842, 0.404641, 0.637873", \ + "0.04339, 0.115861, 0.154291, 0.21108, 0.298148, 0.438502, 0.671376", \ + "0.0540471, 0.144596, 0.190583, 0.257233, 0.355287, 0.503382, 0.738547", \ + "0.0723368, 0.188174, 0.245889, 0.326883, 0.44487, 0.615374, 0.869008", \ + "0.10201, 0.252308, 0.325478, 0.427848, 0.571709, 0.7811, 1.0788", \ + "0.142045, 0.336998, 0.432594, 0.564109, 0.746968, 1.00357, 1.37317" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0173237, 0.0799537, 0.124916, 0.199354, 0.323902, 0.531743, 0.877436", \ + "0.0310438, 0.0937614, 0.134604, 0.204341, 0.325272, 0.532939, 0.877471", \ + "0.0408475, 0.110658, 0.152029, 0.219454, 0.334881, 0.535311, 0.877766", \ + "0.0568611, 0.13956, 0.184879, 0.253689, 0.366528, 0.557483, 0.888096", \ + "0.0856511, 0.187542, 0.239774, 0.315845, 0.434433, 0.623969, 0.938727", \ + "0.138364, 0.268986, 0.330448, 0.418785, 0.55349, 0.756944, 1.07801", \ + "0.229454, 0.404766, 0.48459, 0.592443, 0.747672, 0.981144, 1.33372" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0199376, 0.0668508, 0.0982125, 0.149922, 0.236382, 0.380424, 0.620434", \ + "0.0282516, 0.0870047, 0.120667, 0.173589, 0.260461, 0.40465, 0.644778", \ + "0.0330945, 0.102434, 0.139245, 0.195453, 0.284613, 0.429525, 0.669705", \ + "0.0399819, 0.126384, 0.169408, 0.232203, 0.328142, 0.478201, 0.720546", \ + "0.0454411, 0.158188, 0.210585, 0.284882, 0.394605, 0.558831, 0.812971", \ + "0.0475261, 0.197673, 0.266451, 0.359797, 0.491666, 0.682276, 0.964681", \ + "0.0475271, 0.240369, 0.332823, 0.457191, 0.626358, 0.858459, 1.19244" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124406, 0.073872, 0.117366, 0.189635, 0.310541, 0.511609, 0.847334", \ + "0.0229895, 0.0823519, 0.123459, 0.192852, 0.311398, 0.514606, 0.847712", \ + "0.0331922, 0.0933884, 0.134435, 0.202049, 0.317546, 0.514701, 0.85065", \ + "0.051336, 0.116098, 0.157288, 0.224852, 0.337536, 0.52862, 0.855052", \ + "0.0810635, 0.159266, 0.201909, 0.270428, 0.383937, 0.570894, 0.887257", \ + "0.13088, 0.235388, 0.283793, 0.356516, 0.473553, 0.662715, 0.976852", \ + "0.218417, 0.362701, 0.428861, 0.516498, 0.642779, 0.84009, 1.15606" \ + ); + } + } + timing () { + related_pin : "B2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0173942, 0.0633737, 0.0938855, 0.144092, 0.228151, 0.368141, 0.601351", \ + "0.0284706, 0.0925053, 0.126338, 0.178116, 0.262316, 0.402285, 0.63544", \ + "0.0343299, 0.111581, 0.150745, 0.207949, 0.295433, 0.435973, 0.669019", \ + "0.0408066, 0.138068, 0.185423, 0.253283, 0.351952, 0.500549, 0.735969", \ + "0.0492799, 0.177086, 0.237437, 0.320422, 0.440062, 0.612047, 0.865877", \ + "0.0600069, 0.229627, 0.308098, 0.414227, 0.562588, 0.774678, 1.07445", \ + "0.0629088, 0.288184, 0.392191, 0.532328, 0.724415, 0.987907, 1.36247" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0140812, 0.0762564, 0.12121, 0.195486, 0.320058, 0.527574, 0.87357", \ + "0.0271313, 0.0902638, 0.131033, 0.200604, 0.321507, 0.528102, 0.873571", \ + "0.0374972, 0.107261, 0.148524, 0.2158, 0.331158, 0.531295, 0.873799", \ + "0.052609, 0.136151, 0.181111, 0.250427, 0.362887, 0.553741, 0.88453", \ + "0.081639, 0.18644, 0.236687, 0.313838, 0.43127, 0.620837, 0.935145", \ + "0.133612, 0.270619, 0.332757, 0.418304, 0.551601, 0.75483, 1.0753", \ + "0.22969, 0.410765, 0.491738, 0.60073, 0.751742, 0.984022, 1.33263" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0185448, 0.0661466, 0.0975327, 0.149221, 0.235679, 0.379763, 0.619686", \ + "0.0295812, 0.0948159, 0.129685, 0.18308, 0.269899, 0.413916, 0.653906", \ + "0.0367334, 0.114965, 0.155104, 0.213931, 0.304417, 0.449345, 0.689282", \ + "0.047603, 0.145219, 0.193658, 0.262718, 0.364468, 0.518279, 0.761611", \ + "0.0602273, 0.186111, 0.246031, 0.330906, 0.452258, 0.629225, 0.892392", \ + "0.0764934, 0.24239, 0.31903, 0.424785, 0.576247, 0.789298, 1.09875", \ + "0.0976875, 0.316744, 0.418213, 0.55678, 0.746128, 1.0139, 1.39088" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134929, 0.0739378, 0.117613, 0.189618, 0.310544, 0.511748, 0.847617", \ + "0.0260724, 0.0878523, 0.127623, 0.195159, 0.312244, 0.512012, 0.847618", \ + "0.0365753, 0.103623, 0.144647, 0.210359, 0.322499, 0.516289, 0.849279", \ + "0.0547218, 0.131854, 0.175698, 0.244333, 0.354672, 0.540685, 0.860672", \ + "0.0858519, 0.180541, 0.231146, 0.305067, 0.421989, 0.607307, 0.914849", \ + "0.139555, 0.265072, 0.322603, 0.409216, 0.5368, 0.738147, 1.04985", \ + "0.238174, 0.408461, 0.484646, 0.583168, 0.733785, 0.960421, 1.29928" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00575092, 0.00651192, 0.00655432, 0.00650202, 0.00631542, 0.00594412, 0.00521812", \ + "0.00620539, 0.00619269, 0.00639549, 0.00629399, 0.00691979, 0.00581679, 0.00520479", \ + "0.00827211, 0.00710141, 0.00685211, 0.00684261, 0.00641441, 0.00685791, 0.00520521", \ + "0.0136111, 0.0103625, 0.00952236, 0.00872306, 0.00806096, 0.00708376, 0.00672756", \ + "0.025826, 0.0196263, 0.017549, 0.0153479, 0.0132774, 0.011102, 0.0100654", \ + "0.0514403, 0.0418579, 0.03803, 0.033358, 0.028586, 0.0240713, 0.0188207", \ + "0.103582, 0.0907366, 0.08459, 0.0767713, 0.067831, 0.0572154, 0.0470876" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00277864, 0.00303978, 0.00297329, 0.00287859, 0.00269923, 0.00240428, 0.00166684", \ + "0.0041502, 0.00361538, 0.00361273, 0.00333751, 0.00308669, 0.00272709, 0.00209516", \ + "0.00656652, 0.00498459, 0.00451928, 0.00413082, 0.00367956, 0.00331287, 0.00222498", \ + "0.012252, 0.00863944, 0.00762454, 0.00658737, 0.00546592, 0.00490429, 0.00315728", \ + "0.0246268, 0.0185461, 0.0162147, 0.0137601, 0.0113079, 0.00890912, 0.00689145", \ + "0.0503512, 0.041145, 0.0371687, 0.0321031, 0.026881, 0.0216753, 0.0164572", \ + "0.102356, 0.0903093, 0.0842969, 0.076366, 0.0659415, 0.0553329, 0.0438389" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00757791, 0.00779631, 0.00770921, 0.00759761, 0.00741991, 0.00702711, 0.00633621", \ + "0.00798813, 0.00772423, 0.00776283, 0.00754643, 0.00797863, 0.00698643, 0.00632563", \ + "0.0100195, 0.0087262, 0.0083771, 0.0082595, 0.0077159, 0.0071587, 0.0064126", \ + "0.0155203, 0.0121981, 0.0113669, 0.010396, 0.00948274, 0.00840454, 0.00818714", \ + "0.0281993, 0.0222354, 0.0199544, 0.0175049, 0.0152346, 0.0127629, 0.0111833", \ + "0.0547901, 0.0459506, 0.0419133, 0.0370383, 0.0317132, 0.0262843, 0.0207388", \ + "0.108862, 0.0972589, 0.0912279, 0.0834071, 0.0740171, 0.0627704, 0.0518027" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00518076, 0.00533394, 0.00529543, 0.00520401, 0.00499073, 0.00457728, 0.00392591", \ + "0.00599442, 0.00578472, 0.00578422, 0.00557584, 0.00584892, 0.0049664, 0.00433303", \ + "0.00810965, 0.00693515, 0.00657505, 0.00628305, 0.00607875, 0.00539128, 0.00500897", \ + "0.0136779, 0.0104279, 0.00954416, 0.00861526, 0.00753916, 0.00722816, 0.00604116", \ + "0.0263426, 0.0202047, 0.0179596, 0.0157614, 0.0132826, 0.0108212, 0.00908581", \ + "0.0527557, 0.0435407, 0.0394485, 0.0344324, 0.0292225, 0.0239298, 0.0186994", \ + "0.106581, 0.0943838, 0.0880148, 0.079814, 0.069926, 0.0588854, 0.0472519" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00279789, 0.00312072, 0.0030437, 0.00296033, 0.00273407, 0.00240264, 0.00163405", \ + "0.00482783, 0.00363353, 0.00352997, 0.00318543, 0.00368279, 0.00276084, 0.00166077", \ + "0.00778216, 0.00538713, 0.00471621, 0.004242, 0.00357997, 0.00344815, 0.00194923", \ + "0.0140446, 0.00992532, 0.00863452, 0.00713469, 0.00593889, 0.00442212, 0.00374144", \ + "0.026999, 0.0208477, 0.0184211, 0.0155672, 0.0126509, 0.0095764, 0.00760801", \ + "0.0543263, 0.0453923, 0.0413024, 0.0359575, 0.0299965, 0.024745, 0.0182652", \ + "0.108766, 0.0971389, 0.091349, 0.083575, 0.0735599, 0.0620761, 0.0503452" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.00279789, -0.00312072, -0.0030437, -0.00296033, -0.00273407, -0.00240264, -0.00163405", \ + "-0.00358801, -0.00363353, -0.00352997, -0.00318543, -0.00307014, -0.00276084, -0.00166077", \ + "-0.0012347, -0.00286099, -0.00312609, -0.00334541, -0.00331843, -0.00344815, -0.00194923", \ + "0.0047654, 0.0004795, -0.0003951, -0.0012943, -0.0023664, -0.0025858, -0.00362459", \ + "0.0179336, 0.0101515, 0.0076511, 0.0051488, 0.0030659, 0.000651901, -0.0009491", \ + "0.0451321, 0.033602, 0.0288797, 0.0233334, 0.018074, 0.0130024, 0.0085645", \ + "0.100403, 0.0848291, 0.0776078, 0.0685441, 0.0574721, 0.046042, 0.0352687" \ + ); + } + } + internal_power () { + related_pin : "B2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00253856, 0.00346787, 0.00338334, 0.00331051, 0.00312509, 0.00278766, 0.00215189", \ + "0.00456051, 0.00357865, 0.00356951, 0.00335946, 0.00386757, 0.00290568, 0.00210365", \ + "0.00755332, 0.00522003, 0.00461621, 0.0043094, 0.00370617, 0.0042904, 0.00225162", \ + "0.0134998, 0.00940607, 0.00820457, 0.00698244, 0.0058766, 0.00464213, 0.0037501", \ + "0.0262327, 0.0195819, 0.0172339, 0.0148731, 0.0121119, 0.00934955, 0.00713337", \ + "0.0527218, 0.0425427, 0.0384616, 0.0335572, 0.028274, 0.023165, 0.0177353", \ + "0.105446, 0.0922662, 0.0860324, 0.0781873, 0.0685374, 0.0582218, 0.0475979" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.00253856, -0.00346787, -0.00338334, -0.00331051, -0.00312509, -0.00278766, -0.00215189", \ + "-0.00303456, -0.00357865, -0.00356951, -0.00335946, -0.00364073, -0.00290568, -0.00210365", \ + "-0.00041558, -0.00255593, -0.00296578, -0.0032576, -0.00341574, -0.0040643, -0.00225162", \ + "0.0055651, 0.0009715, -0.000137961, -0.00116061, -0.00226442, -0.00271603, -0.0037501", \ + "0.0185161, 0.0106563, 0.00812906, 0.00568596, 0.00326516, 0.00094586, -0.00108201", \ + "0.0449338, 0.0331553, 0.0284997, 0.0234055, 0.0181415, 0.0131005, 0.00806284", \ + "0.0984164, 0.0828825, 0.0755602, 0.0665074, 0.0558373, 0.0456395, 0.0342536" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00299904; + rise_capacitance : 0.00379281; + rise_capacitance_range (0.00379281, 0.00379281); + fall_capacitance : 0.00220528; + fall_capacitance_range (0.00220528, 0.00220528); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00365343, 0.00328422, 0.00320951, 0.00315376, 0.00310368, 0.00306945, 0.00303352" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00739438, 0.00738361, 0.00737599, 0.00737294, 0.0073767, 0.00737764, 0.00737035" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00341753; + rise_capacitance : 0.00348656; + rise_capacitance_range (0.00348656, 0.00348656); + fall_capacitance : 0.00334849; + fall_capacitance_range (0.00334849, 0.00334849); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00461487, 0.00425838, 0.00416835, 0.00410434, 0.00407059, 0.00402592, 0.00399381" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00588399, 0.00589517, 0.0058961, 0.00588706, 0.00589009, 0.00588676, 0.00588811" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00387744; + rise_capacitance : 0.00479787; + rise_capacitance_range (0.00479787, 0.00479787); + fall_capacitance : 0.002957; + fall_capacitance_range (0.002957, 0.002957); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0123787, 0.0125589, 0.0126792, 0.0128227, 0.0129721, 0.0131025, 0.0132158" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00226952, 0.00230615, 0.00230024, 0.00230818, 0.0023106, 0.00231384, 0.00231659" \ + ); + } + } + } + pin (B2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00388645; + rise_capacitance : 0.00474758; + rise_capacitance_range (0.00474758, 0.00474758); + fall_capacitance : 0.00302532; + fall_capacitance_range (0.00302532, 0.00302532); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00919299, 0.00938994, 0.00950144, 0.0096546, 0.00982434, 0.00992846, 0.0100426" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00217993, 0.00222702, 0.00220462, 0.00222743, 0.00223325, 0.00224134, 0.00224004" \ + ); + } + } + } + } + cell (sg13g2_and2_1) { + area : 9.072; + cell_footprint : "AND2"; + cell_leakage_power : 1184.62; + leakage_power () { + value : 1417.22; + when : "A&B"; + } + leakage_power () { + value : 1427.23; + when : "!A&B"; + } + leakage_power () { + value : 881.888; + when : "A&!B"; + } + leakage_power () { + value : 1012.14; + when : "!A&!B"; + } + pin (X) { + direction : "output"; + function : "(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0342007, 0.0677265, 0.0883891, 0.122303, 0.17911, 0.273288, 0.430471", \ + "0.0507207, 0.0850048, 0.105711, 0.139731, 0.196541, 0.290857, 0.447837", \ + "0.0620944, 0.0972535, 0.117895, 0.1518, 0.208305, 0.302693, 0.459653", \ + "0.0794103, 0.117257, 0.138096, 0.171895, 0.22832, 0.322353, 0.479542", \ + "0.102493, 0.14514, 0.166751, 0.201293, 0.257943, 0.352744, 0.50948", \ + "0.134817, 0.184463, 0.208477, 0.244815, 0.30404, 0.400134, 0.559382", \ + "0.182143, 0.241189, 0.269422, 0.309488, 0.372338, 0.474983, 0.640197" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0099354, 0.0517391, 0.0820456, 0.13252, 0.217657, 0.359041, 0.59508", \ + "0.0125431, 0.0528381, 0.082708, 0.133062, 0.21775, 0.359905, 0.596437", \ + "0.0147378, 0.0537706, 0.0832607, 0.133362, 0.218139, 0.359906, 0.596438", \ + "0.0187157, 0.0563753, 0.0849427, 0.134456, 0.218725, 0.359931, 0.596439", \ + "0.0252212, 0.0626852, 0.090094, 0.138438, 0.221803, 0.361931, 0.596754", \ + "0.0357898, 0.074703, 0.100972, 0.147864, 0.230606, 0.37019, 0.602974", \ + "0.053074, 0.095221, 0.121509, 0.166208, 0.247895, 0.389208, 0.623352" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0292275, 0.0577576, 0.0754297, 0.104529, 0.153056, 0.234017, 0.368589", \ + "0.0456715, 0.0756677, 0.0934713, 0.122607, 0.171224, 0.252145, 0.386918", \ + "0.0545269, 0.0857794, 0.103334, 0.132416, 0.180884, 0.261777, 0.396318", \ + "0.0646748, 0.0991497, 0.117479, 0.146698, 0.195063, 0.276052, 0.410794", \ + "0.0800133, 0.118837, 0.138145, 0.168077, 0.217457, 0.298543, 0.433403", \ + "0.0992201, 0.144718, 0.166127, 0.198139, 0.249694, 0.333079, 0.469792", \ + "0.109942, 0.16453, 0.19019, 0.225905, 0.281081, 0.369878, 0.512962" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00770843, 0.0414118, 0.0657558, 0.106401, 0.174599, 0.288587, 0.477979", \ + "0.0106882, 0.0425999, 0.0664969, 0.106845, 0.17485, 0.288588, 0.479088", \ + "0.0128991, 0.0439632, 0.0672836, 0.107245, 0.175152, 0.288627, 0.479089", \ + "0.016805, 0.0469499, 0.0694749, 0.108494, 0.175834, 0.28907, 0.47909", \ + "0.0234229, 0.0532611, 0.0747872, 0.112706, 0.17902, 0.291114, 0.479482", \ + "0.0339179, 0.065114, 0.085707, 0.122471, 0.18826, 0.299387, 0.485757", \ + "0.051114, 0.085755, 0.106341, 0.141737, 0.206482, 0.319094, 0.506073" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0353577, 0.0688634, 0.0895067, 0.123415, 0.18023, 0.274268, 0.431383", \ + "0.0480206, 0.082544, 0.103368, 0.137314, 0.193914, 0.288184, 0.44519", \ + "0.0566389, 0.092335, 0.113088, 0.147053, 0.203671, 0.298048, 0.455044", \ + "0.0696537, 0.108262, 0.129233, 0.163363, 0.219998, 0.314149, 0.471214", \ + "0.0848857, 0.128408, 0.150596, 0.185864, 0.243631, 0.338512, 0.495543", \ + "0.102104, 0.152628, 0.176867, 0.21435, 0.274689, 0.37258, 0.532304", \ + "0.119128, 0.178231, 0.207166, 0.248419, 0.312853, 0.417346, 0.585266" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00982298, 0.0517158, 0.0823055, 0.132531, 0.217641, 0.359118, 0.59508", \ + "0.0118141, 0.0526265, 0.0824938, 0.132845, 0.217642, 0.35915, 0.59642", \ + "0.0138227, 0.053713, 0.0831624, 0.133173, 0.21781, 0.359242, 0.596421", \ + "0.0173607, 0.0566605, 0.0852156, 0.13445, 0.218581, 0.359583, 0.596422", \ + "0.0231012, 0.0629106, 0.09069, 0.139114, 0.222177, 0.362081, 0.596677", \ + "0.0321416, 0.0741319, 0.101183, 0.149441, 0.232487, 0.371772, 0.603917", \ + "0.046717, 0.091972, 0.120368, 0.167782, 0.251302, 0.393252, 0.627317" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0319867, 0.0608422, 0.0785891, 0.107688, 0.156311, 0.237241, 0.372053", \ + "0.0510164, 0.0811206, 0.0989782, 0.128148, 0.176809, 0.257775, 0.392476", \ + "0.0617626, 0.0930762, 0.11095, 0.140152, 0.188684, 0.269646, 0.404383", \ + "0.0753194, 0.109152, 0.127303, 0.156509, 0.205038, 0.285948, 0.420867", \ + "0.0985903, 0.1364, 0.155312, 0.184888, 0.233943, 0.314208, 0.448988", \ + "0.132688, 0.176613, 0.197423, 0.228163, 0.278826, 0.361767, 0.497887", \ + "0.17636, 0.228343, 0.252287, 0.286548, 0.340073, 0.42652, 0.567186" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00809032, 0.0416302, 0.0659387, 0.106631, 0.174763, 0.288479, 0.478057", \ + "0.0109543, 0.0427232, 0.066612, 0.106925, 0.17492, 0.28872, 0.479827", \ + "0.0129573, 0.0438369, 0.0672901, 0.107295, 0.175245, 0.288721, 0.479828", \ + "0.0166669, 0.0463495, 0.0690307, 0.108268, 0.175885, 0.289149, 0.479829", \ + "0.0225525, 0.0518913, 0.0733993, 0.111601, 0.178139, 0.290604, 0.47983", \ + "0.031983, 0.062044, 0.083032, 0.119531, 0.185473, 0.297091, 0.483907", \ + "0.046841, 0.079196, 0.099031, 0.134975, 0.199966, 0.312813, 0.500151" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126655, 0.0132108, 0.0131776, 0.0131103, 0.0130167, 0.0126191, 0.011954", \ + "0.0145397, 0.0142941, 0.0142188, 0.0142658, 0.0140176, 0.0138603, 0.0136164", \ + "0.0173359, 0.0166522, 0.0166068, 0.0164339, 0.0162379, 0.0156857, 0.0160851", \ + "0.0235231, 0.0219233, 0.0218146, 0.0217906, 0.0213442, 0.0207876, 0.0209906", \ + "0.0365921, 0.0335766, 0.033228, 0.0328436, 0.0323957, 0.0321047, 0.0310804", \ + "0.0631095, 0.0580609, 0.0570773, 0.0563546, 0.0557126, 0.0548878, 0.0545053", \ + "0.11548, 0.107457, 0.106016, 0.104406, 0.102872, 0.102169, 0.101053" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0110161, 0.011854, 0.0120317, 0.011827, 0.011634, 0.0113939, 0.0106973", \ + "0.0129697, 0.0131837, 0.0131857, 0.0131336, 0.0135776, 0.0126795, 0.0126244", \ + "0.0158984, 0.0154659, 0.0154552, 0.0154248, 0.0150928, 0.0155946, 0.0140864", \ + "0.0218043, 0.0204789, 0.0205101, 0.0205372, 0.0204362, 0.0199681, 0.020608", \ + "0.0346592, 0.031688, 0.0313852, 0.0311456, 0.0310966, 0.0309714, 0.0302199", \ + "0.0605639, 0.0551856, 0.0544296, 0.0537911, 0.0531736, 0.0526793, 0.0523726", \ + "0.112258, 0.103844, 0.102357, 0.100816, 0.0995383, 0.09811, 0.0972417" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015581, 0.0161173, 0.0162085, 0.0160241, 0.0159384, 0.0154131, 0.0148248", \ + "0.0166915, 0.016627, 0.01659, 0.0166645, 0.0163968, 0.015919, 0.0157994", \ + "0.0190881, 0.0185309, 0.0185533, 0.0183847, 0.0183253, 0.0177338, 0.018793", \ + "0.0250507, 0.0237844, 0.0236104, 0.0234906, 0.0232258, 0.0226289, 0.0234077", \ + "0.0381196, 0.0355366, 0.0351344, 0.0347633, 0.034594, 0.034352, 0.0332351", \ + "0.0645418, 0.0601887, 0.0592517, 0.0585601, 0.0578786, 0.0572335, 0.0568309", \ + "0.117522, 0.11048, 0.109447, 0.108095, 0.106237, 0.105303, 0.104362" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112317, 0.0119359, 0.0119917, 0.0119673, 0.0117589, 0.0114247, 0.0108761", \ + "0.0133471, 0.0133285, 0.0133014, 0.0132801, 0.0132773, 0.0129243, 0.0130729", \ + "0.0163344, 0.0157737, 0.0158855, 0.0158822, 0.0155786, 0.0159212, 0.0146893", \ + "0.0224551, 0.0209918, 0.0210027, 0.0210111, 0.0209038, 0.0202809, 0.0203203", \ + "0.0354673, 0.0325558, 0.0321163, 0.0319305, 0.0317665, 0.0316137, 0.0309498", \ + "0.0620482, 0.0569727, 0.056418, 0.0554792, 0.0547414, 0.0542582, 0.0539997", \ + "0.115014, 0.107098, 0.10541, 0.103926, 0.102565, 0.100678, 0.0998066" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00287472; + rise_capacitance : 0.00281698; + rise_capacitance_range (0.00281698, 0.00281698); + fall_capacitance : 0.00293245; + fall_capacitance_range (0.00293245, 0.00293245); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00291193; + rise_capacitance : 0.0030243; + rise_capacitance_range (0.0030243, 0.0030243); + fall_capacitance : 0.00279957; + fall_capacitance_range (0.00279957, 0.00279957); + } + } + cell (sg13g2_and2_2) { + area : 10.8864; + cell_footprint : "AND2"; + cell_leakage_power : 1783.93; + leakage_power () { + value : 1625.8; + when : "A&B"; + } + leakage_power () { + value : 2156.78; + when : "!A&B"; + } + leakage_power () { + value : 1611.43; + when : "A&!B"; + } + leakage_power () { + value : 1741.69; + when : "!A&!B"; + } + pin (X) { + direction : "output"; + function : "(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0425075, 0.0804555, 0.101466, 0.135649, 0.192375, 0.286832, 0.444043", \ + "0.063445, 0.102241, 0.123351, 0.157512, 0.214308, 0.308885, 0.465987", \ + "0.0776517, 0.117534, 0.13848, 0.172427, 0.229102, 0.323425, 0.480713", \ + "0.0997015, 0.141789, 0.162832, 0.196792, 0.252597, 0.346756, 0.503746", \ + "0.129598, 0.176416, 0.198284, 0.232457, 0.288798, 0.3829, 0.539932", \ + "0.172055, 0.224901, 0.249707, 0.285589, 0.343584, 0.438864, 0.597405", \ + "0.233422, 0.293604, 0.322526, 0.363924, 0.425149, 0.524931, 0.688463" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0114573, 0.0541738, 0.0840238, 0.134168, 0.218926, 0.360812, 0.597381", \ + "0.0147581, 0.0556075, 0.0848846, 0.134638, 0.219222, 0.363187, 0.597981", \ + "0.0175256, 0.0570544, 0.0858815, 0.135216, 0.219684, 0.363188, 0.597982", \ + "0.0225071, 0.060526, 0.087926, 0.136485, 0.220281, 0.363189, 0.597983", \ + "0.0311253, 0.0681662, 0.0938453, 0.140589, 0.222968, 0.363271, 0.598888", \ + "0.04505, 0.082506, 0.107395, 0.15178, 0.231786, 0.370611, 0.604008", \ + "0.067212, 0.106412, 0.131565, 0.173549, 0.250792, 0.388871, 0.622216" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0357131, 0.0680219, 0.0860024, 0.115244, 0.164036, 0.244969, 0.38013", \ + "0.0570205, 0.0908713, 0.108889, 0.138097, 0.186792, 0.267864, 0.402778", \ + "0.0688693, 0.10422, 0.122344, 0.151483, 0.200002, 0.281013, 0.416013", \ + "0.0845052, 0.122093, 0.140543, 0.169626, 0.218176, 0.299081, 0.433778", \ + "0.106749, 0.149406, 0.169439, 0.199166, 0.247733, 0.328405, 0.463122", \ + "0.136084, 0.184863, 0.207113, 0.239021, 0.289321, 0.372065, 0.507184", \ + "0.162209, 0.21882, 0.245165, 0.281529, 0.336094, 0.421918, 0.562554" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00901336, 0.0433653, 0.0673773, 0.107794, 0.176169, 0.290167, 0.480444", \ + "0.0126892, 0.0451103, 0.0683627, 0.108315, 0.176325, 0.290429, 0.480988", \ + "0.0156953, 0.0470792, 0.069678, 0.108991, 0.176705, 0.290434, 0.480989", \ + "0.0208787, 0.0511758, 0.0724183, 0.110644, 0.177467, 0.290922, 0.48099", \ + "0.0295621, 0.0590135, 0.0793875, 0.115433, 0.180613, 0.292701, 0.481718", \ + "0.043196, 0.073286, 0.092856, 0.127138, 0.189906, 0.300291, 0.486706", \ + "0.064779, 0.09708, 0.116519, 0.149615, 0.210573, 0.319102, 0.505311" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0434343, 0.0813986, 0.102474, 0.136573, 0.193306, 0.287776, 0.44503", \ + "0.058953, 0.0978028, 0.118983, 0.153145, 0.209915, 0.304383, 0.461617", \ + "0.0699691, 0.110204, 0.131174, 0.165303, 0.221976, 0.316315, 0.473474", \ + "0.087126, 0.129424, 0.150844, 0.185036, 0.241591, 0.335862, 0.493119", \ + "0.108417, 0.155063, 0.177751, 0.212788, 0.269991, 0.364513, 0.521445", \ + "0.135005, 0.188205, 0.212967, 0.250224, 0.30961, 0.406811, 0.565244", \ + "0.164874, 0.225532, 0.254573, 0.296005, 0.359332, 0.461344, 0.626821" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.011407, 0.0541662, 0.0838939, 0.134192, 0.218969, 0.360813, 0.597425", \ + "0.0134598, 0.0552975, 0.0846559, 0.134517, 0.219189, 0.361609, 0.598289", \ + "0.0155802, 0.0568408, 0.0856009, 0.134925, 0.219403, 0.36161, 0.59829", \ + "0.0199517, 0.0597173, 0.0878163, 0.136407, 0.220114, 0.361611, 0.598291", \ + "0.0273803, 0.0669742, 0.0938588, 0.141189, 0.22333, 0.363466, 0.59854", \ + "0.0392334, 0.079782, 0.106014, 0.152125, 0.233271, 0.37201, 0.604638", \ + "0.0583, 0.100226, 0.127089, 0.172403, 0.252917, 0.392602, 0.626084" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0382293, 0.0709056, 0.0889807, 0.118271, 0.16699, 0.247964, 0.383032", \ + "0.0613944, 0.0954361, 0.11355, 0.142844, 0.191542, 0.27255, 0.407563", \ + "0.0747329, 0.110214, 0.128497, 0.157749, 0.20639, 0.287439, 0.422325", \ + "0.0932879, 0.131402, 0.149962, 0.179129, 0.227666, 0.308645, 0.443379", \ + "0.122012, 0.164151, 0.183617, 0.213159, 0.261706, 0.342461, 0.477132", \ + "0.16557, 0.213489, 0.235101, 0.26644, 0.316044, 0.397941, 0.533033", \ + "0.221414, 0.276727, 0.301848, 0.336693, 0.38938, 0.474219, 0.613087" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00946199, 0.0436005, 0.0676017, 0.107933, 0.176214, 0.290191, 0.480456", \ + "0.0128945, 0.0452283, 0.0685208, 0.108467, 0.176413, 0.290526, 0.480702", \ + "0.0158426, 0.0469479, 0.0696405, 0.109096, 0.176786, 0.290545, 0.480703", \ + "0.0205932, 0.0505001, 0.0721369, 0.110508, 0.177548, 0.291048, 0.480833", \ + "0.0284254, 0.0577643, 0.0780427, 0.114453, 0.179935, 0.292457, 0.481699", \ + "0.040654, 0.070044, 0.089619, 0.124351, 0.187607, 0.298243, 0.485812", \ + "0.060236, 0.09129, 0.110098, 0.143434, 0.204195, 0.313538, 0.500099" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0222193, 0.0219212, 0.0218423, 0.0218088, 0.0214168, 0.02075, 0.0195722", \ + "0.0253973, 0.0227192, 0.022619, 0.0230872, 0.0221279, 0.0226998, 0.0206121", \ + "0.0291613, 0.0251058, 0.0251924, 0.0246024, 0.0245636, 0.0233189, 0.0224354", \ + "0.0372974, 0.0307867, 0.0300478, 0.029796, 0.0287511, 0.027342, 0.0285807", \ + "0.0539244, 0.0433004, 0.0420347, 0.0411223, 0.0400457, 0.0389732, 0.0367361", \ + "0.0859445, 0.0697799, 0.0676442, 0.0659822, 0.0639394, 0.0626243, 0.06137", \ + "0.147388, 0.124308, 0.120712, 0.116907, 0.112819, 0.111347, 0.108488" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0195153, 0.020226, 0.0203862, 0.0203142, 0.0201213, 0.0194209, 0.0183083", \ + "0.0227495, 0.0211728, 0.0210467, 0.0210371, 0.0212421, 0.0206432, 0.0194039", \ + "0.0267194, 0.0236505, 0.0236346, 0.0233049, 0.0229192, 0.0225723, 0.0215113", \ + "0.0348032, 0.0286396, 0.0282005, 0.0281346, 0.0280908, 0.0268253, 0.0270509", \ + "0.0508286, 0.0404396, 0.0396312, 0.0390103, 0.0386876, 0.0382111, 0.0366585", \ + "0.0821113, 0.0659007, 0.0641549, 0.062385, 0.0608259, 0.0600445, 0.0587684", \ + "0.142408, 0.119287, 0.114914, 0.111673, 0.109499, 0.105679, 0.104163" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0250953, 0.0247507, 0.0248154, 0.0246758, 0.0243246, 0.0236443, 0.0224121", \ + "0.0269806, 0.0251004, 0.0250832, 0.0252899, 0.0246349, 0.0242604, 0.0234084", \ + "0.0300543, 0.0272312, 0.0270131, 0.0266389, 0.0266361, 0.0255868, 0.0240492", \ + "0.0374101, 0.0320378, 0.0318295, 0.0314031, 0.0308204, 0.0295445, 0.0304648", \ + "0.0534129, 0.0446682, 0.0437101, 0.0428006, 0.0421077, 0.0412398, 0.0396588", \ + "0.0850984, 0.0710945, 0.0690321, 0.0673532, 0.0656707, 0.0648311, 0.0635321", \ + "0.145678, 0.124981, 0.121604, 0.119096, 0.115604, 0.113497, 0.110674" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0197953, 0.0202292, 0.0204021, 0.0203071, 0.0200077, 0.019239, 0.0182102", \ + "0.0231015, 0.0213453, 0.0211621, 0.0212384, 0.0210606, 0.0208698, 0.0191396", \ + "0.0272067, 0.0237125, 0.0237629, 0.0234273, 0.0231971, 0.0238411, 0.0217834", \ + "0.0353795, 0.0292145, 0.0289425, 0.028854, 0.0284757, 0.0271857, 0.0277152", \ + "0.0514999, 0.0415835, 0.0406091, 0.0398623, 0.0393257, 0.0389515, 0.0368275", \ + "0.0835001, 0.0678361, 0.066131, 0.0643249, 0.0629192, 0.0617721, 0.0608391", \ + "0.144711, 0.122419, 0.118325, 0.115239, 0.112394, 0.108961, 0.107275" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00284004; + rise_capacitance : 0.00279144; + rise_capacitance_range (0.00279144, 0.00279144); + fall_capacitance : 0.00288864; + fall_capacitance_range (0.00288864, 0.00288864); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00289042; + rise_capacitance : 0.00300371; + rise_capacitance_range (0.00300371, 0.00300371); + fall_capacitance : 0.00277713; + fall_capacitance_range (0.00277713, 0.00277713); + } + } + cell (sg13g2_and3_1) { + area : 12.7008; + cell_footprint : "AND3"; + cell_leakage_power : 1378.4; + leakage_power () { + value : 2021.6; + when : "A&B&C"; + } + leakage_power () { + value : 1144.53; + when : "!A&!B&!C"; + } + leakage_power () { + value : 1553.57; + when : "!A&!B&C"; + } + leakage_power () { + value : 1020.44; + when : "!A&B&!C"; + } + leakage_power () { + value : 1968.7; + when : "!A&B&C"; + } + leakage_power () { + value : 1009.12; + when : "A&!B&!C"; + } + leakage_power () { + value : 1423.32; + when : "A&!B&C"; + } + leakage_power () { + value : 885.919; + when : "A&B&!C"; + } + pin (X) { + direction : "output"; + function : "(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0443548, 0.0812867, 0.102373, 0.136292, 0.19278, 0.286837, 0.443247", \ + "0.0634283, 0.101154, 0.122273, 0.15647, 0.21304, 0.307061, 0.463584", \ + "0.0773884, 0.115677, 0.137, 0.17104, 0.227711, 0.321906, 0.478455", \ + "0.100287, 0.140571, 0.16197, 0.196145, 0.252502, 0.345942, 0.502461", \ + "0.133502, 0.178393, 0.20083, 0.235443, 0.292634, 0.38616, 0.542698", \ + "0.184731, 0.236402, 0.261, 0.297693, 0.357072, 0.453667, 0.611059", \ + "0.267312, 0.328332, 0.356936, 0.398296, 0.461135, 0.563265, 0.727322" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123562, 0.0542061, 0.0836142, 0.133391, 0.21756, 0.35862, 0.593824", \ + "0.0145737, 0.055572, 0.0846677, 0.134079, 0.218015, 0.360759, 0.594664", \ + "0.0165591, 0.0565531, 0.0853719, 0.13461, 0.218532, 0.36076, 0.594665", \ + "0.020353, 0.0589816, 0.0871365, 0.13571, 0.219167, 0.360761, 0.594666", \ + "0.0269871, 0.0653448, 0.092316, 0.139699, 0.222118, 0.361773, 0.59568", \ + "0.037661, 0.077743, 0.103766, 0.149662, 0.231384, 0.369885, 0.601633", \ + "0.055067, 0.098293, 0.124185, 0.169489, 0.249863, 0.389759, 0.622794" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0310213, 0.060041, 0.0777482, 0.10683, 0.155382, 0.236309, 0.370885", \ + "0.0478525, 0.0785236, 0.0963636, 0.125595, 0.174162, 0.255105, 0.389942", \ + "0.056261, 0.0883088, 0.106144, 0.135255, 0.183888, 0.264624, 0.399141", \ + "0.0651341, 0.100216, 0.118437, 0.147802, 0.196504, 0.277259, 0.41212", \ + "0.0760788, 0.116136, 0.135691, 0.16578, 0.215216, 0.296261, 0.431235", \ + "0.0838242, 0.131642, 0.15383, 0.185857, 0.237359, 0.320735, 0.457341", \ + "0.0838252, 0.131643, 0.153831, 0.189994, 0.24474, 0.332559, 0.475733" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00797158, 0.0415676, 0.0658327, 0.106464, 0.174629, 0.288541, 0.477896", \ + "0.0110142, 0.042884, 0.0666549, 0.106865, 0.174828, 0.288542, 0.478141", \ + "0.013284, 0.0443025, 0.0675305, 0.107277, 0.175111, 0.288624, 0.478142", \ + "0.0173205, 0.0475775, 0.069792, 0.10861, 0.175838, 0.289118, 0.478337", \ + "0.0241118, 0.0543103, 0.075534, 0.11305, 0.17906, 0.291037, 0.479496", \ + "0.0348546, 0.066838, 0.08746, 0.123432, 0.188333, 0.29943, 0.485806", \ + "0.051983, 0.087817, 0.108205, 0.143808, 0.206594, 0.31806, 0.505575" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0482843, 0.085167, 0.106267, 0.140319, 0.196693, 0.290645, 0.447098", \ + "0.0630333, 0.1011, 0.122264, 0.156382, 0.213007, 0.307042, 0.463506", \ + "0.0744507, 0.113631, 0.134706, 0.168833, 0.225506, 0.319454, 0.476065", \ + "0.0938289, 0.135125, 0.156792, 0.191245, 0.247756, 0.341762, 0.498413", \ + "0.121253, 0.167328, 0.19045, 0.225605, 0.283057, 0.377681, 0.534179", \ + "0.160754, 0.213915, 0.239791, 0.277319, 0.337396, 0.435074, 0.594095", \ + "0.220729, 0.282956, 0.312734, 0.355026, 0.419609, 0.523625, 0.690939" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123476, 0.0542007, 0.0840074, 0.133363, 0.217664, 0.358579, 0.593736", \ + "0.0141398, 0.0554874, 0.0845351, 0.133903, 0.21789, 0.36069, 0.594642", \ + "0.0159022, 0.0568236, 0.0853733, 0.134451, 0.218316, 0.360691, 0.594643", \ + "0.019518, 0.0594815, 0.0874674, 0.135794, 0.218967, 0.360692, 0.594644", \ + "0.0255667, 0.0660885, 0.0934136, 0.140632, 0.222537, 0.361767, 0.595436", \ + "0.035113, 0.077939, 0.105362, 0.15179, 0.23328, 0.371142, 0.602674", \ + "0.050446, 0.096765, 0.124837, 0.171605, 0.253441, 0.393595, 0.626371" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0338902, 0.063268, 0.0810401, 0.110162, 0.158793, 0.239676, 0.374458", \ + "0.0530186, 0.0838792, 0.101748, 0.130964, 0.179613, 0.260505, 0.395215", \ + "0.0631816, 0.0953531, 0.113222, 0.142572, 0.191172, 0.272141, 0.406907", \ + "0.0750387, 0.110264, 0.128267, 0.157663, 0.206295, 0.287175, 0.42184", \ + "0.0920623, 0.132209, 0.151269, 0.18101, 0.230099, 0.311234, 0.445961", \ + "0.112851, 0.159175, 0.180437, 0.21212, 0.262595, 0.345561, 0.481819", \ + "0.125255, 0.180195, 0.205293, 0.240461, 0.293852, 0.380873, 0.522576" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00834468, 0.0418243, 0.0659777, 0.106514, 0.174681, 0.288456, 0.477972", \ + "0.0112469, 0.0430343, 0.0667798, 0.106928, 0.174973, 0.288469, 0.480055", \ + "0.0133788, 0.0443077, 0.0674988, 0.107398, 0.175201, 0.28867, 0.480056", \ + "0.0172793, 0.0474145, 0.0694932, 0.108598, 0.175851, 0.289125, 0.480057", \ + "0.0236013, 0.0535391, 0.0745315, 0.112151, 0.178401, 0.290768, 0.480058", \ + "0.0334154, 0.064367, 0.084734, 0.120976, 0.185812, 0.297357, 0.484657", \ + "0.04904, 0.082865, 0.102716, 0.137486, 0.201009, 0.313492, 0.500981" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0496215, 0.0864975, 0.107575, 0.141529, 0.198002, 0.29196, 0.448446", \ + "0.0604165, 0.098193, 0.119395, 0.153499, 0.210085, 0.304056, 0.460535", \ + "0.0684077, 0.107339, 0.128716, 0.162969, 0.219481, 0.313444, 0.469914", \ + "0.0815709, 0.123334, 0.145196, 0.179514, 0.236242, 0.330303, 0.486817", \ + "0.0988922, 0.145615, 0.169149, 0.205273, 0.263111, 0.357958, 0.514536", \ + "0.121017, 0.17477, 0.201183, 0.24015, 0.30217, 0.400563, 0.560579", \ + "0.146857, 0.209718, 0.240187, 0.284986, 0.351947, 0.458666, 0.628817" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123167, 0.0541686, 0.0838016, 0.13329, 0.217573, 0.358581, 0.593764", \ + "0.0135467, 0.0551183, 0.0843055, 0.133733, 0.217755, 0.360344, 0.594738", \ + "0.0150579, 0.0563295, 0.0851687, 0.134214, 0.218069, 0.360345, 0.594739", \ + "0.0184532, 0.0596226, 0.0877125, 0.135929, 0.218992, 0.360346, 0.59474", \ + "0.0242465, 0.0667111, 0.0943899, 0.141936, 0.223595, 0.362223, 0.595492", \ + "0.0332266, 0.078394, 0.106539, 0.154293, 0.236492, 0.374119, 0.604405", \ + "0.047591, 0.096444, 0.125938, 0.17559, 0.259047, 0.400523, 0.633179" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0355621, 0.0653952, 0.0832999, 0.112547, 0.161369, 0.242204, 0.37708", \ + "0.0565796, 0.0875995, 0.105583, 0.134903, 0.183658, 0.264607, 0.399371", \ + "0.0682317, 0.100527, 0.118615, 0.147811, 0.196484, 0.277599, 0.412388", \ + "0.0830309, 0.118791, 0.13686, 0.166311, 0.214981, 0.295866, 0.430795", \ + "0.107194, 0.146317, 0.165497, 0.195084, 0.244117, 0.325126, 0.46002", \ + "0.141545, 0.186905, 0.207789, 0.239156, 0.289494, 0.372013, 0.507963", \ + "0.183007, 0.236622, 0.261077, 0.295348, 0.349068, 0.435079, 0.575084" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00874534, 0.0422152, 0.0663833, 0.106877, 0.17507, 0.28864, 0.478099", \ + "0.0114556, 0.0433586, 0.0670523, 0.107214, 0.175143, 0.288661, 0.478843", \ + "0.0135999, 0.044581, 0.0678547, 0.10763, 0.175432, 0.289069, 0.478844", \ + "0.0173376, 0.0473276, 0.0695169, 0.108747, 0.175992, 0.289364, 0.478845", \ + "0.0231412, 0.0528311, 0.0740965, 0.112045, 0.178263, 0.290883, 0.479436", \ + "0.032446, 0.062986, 0.083514, 0.120046, 0.185312, 0.296865, 0.483888", \ + "0.046847, 0.080204, 0.100051, 0.134717, 0.199534, 0.311592, 0.499316" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157788, 0.0159171, 0.0159264, 0.0158593, 0.015697, 0.0153393, 0.0146733", \ + "0.0175282, 0.0169106, 0.0167988, 0.016839, 0.0165606, 0.0166999, 0.015921", \ + "0.0200126, 0.0189742, 0.0188971, 0.0186027, 0.0185968, 0.0180673, 0.0187162", \ + "0.0256751, 0.0236536, 0.0235346, 0.0233601, 0.0230084, 0.0220524, 0.0217085", \ + "0.0377335, 0.0343906, 0.0339267, 0.0334195, 0.0330754, 0.0324655, 0.0313945", \ + "0.0622628, 0.0568694, 0.0559019, 0.0550556, 0.0542038, 0.053679, 0.0526119", \ + "0.110657, 0.102304, 0.100625, 0.0992113, 0.097456, 0.0965007, 0.0950548" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101623, 0.0109897, 0.0110948, 0.0109578, 0.0107921, 0.010526, 0.00982007", \ + "0.0117923, 0.0118783, 0.0118886, 0.0119037, 0.012006, 0.0113797, 0.0109263", \ + "0.0144604, 0.0138627, 0.0139078, 0.0138479, 0.0136486, 0.0135727, 0.0125396", \ + "0.0198192, 0.018262, 0.0182276, 0.0182448, 0.0183596, 0.0176077, 0.0177292", \ + "0.0316607, 0.0284573, 0.0281478, 0.0279085, 0.027856, 0.0276796, 0.026769", \ + "0.0556051, 0.0499734, 0.0492704, 0.048461, 0.0478474, 0.0473541, 0.0468923", \ + "0.103102, 0.094418, 0.0928412, 0.0914988, 0.0898523, 0.0885336, 0.0876196" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0175842, 0.0177606, 0.017871, 0.0176862, 0.0174968, 0.0170367, 0.0163648", \ + "0.0184661, 0.0179944, 0.0179651, 0.0181534, 0.0177231, 0.0178635, 0.0170781", \ + "0.0205505, 0.0197648, 0.0196279, 0.0194071, 0.0194632, 0.0188564, 0.0185388", \ + "0.0259639, 0.0241993, 0.0240093, 0.0238763, 0.0236172, 0.022967, 0.0231641", \ + "0.0379241, 0.034968, 0.0345827, 0.0341211, 0.033772, 0.0333951, 0.0324518", \ + "0.062354, 0.0573952, 0.0566348, 0.0558303, 0.0550035, 0.0546051, 0.0539442", \ + "0.111107, 0.103461, 0.102195, 0.100887, 0.0991988, 0.0982731, 0.0972622" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0116511, 0.0123814, 0.0124068, 0.012366, 0.012225, 0.0119328, 0.0113213", \ + "0.0132804, 0.0132748, 0.0132348, 0.0132768, 0.0132682, 0.0127627, 0.0132014", \ + "0.0159505, 0.0153614, 0.0153732, 0.0154565, 0.0152296, 0.0151479, 0.0143537", \ + "0.0215204, 0.0200197, 0.019862, 0.0199669, 0.019914, 0.0193998, 0.0192068", \ + "0.0335721, 0.0307085, 0.0301742, 0.0299266, 0.029765, 0.0296713, 0.0287756", \ + "0.0577418, 0.0523261, 0.0516341, 0.0509595, 0.0500123, 0.0496781, 0.0492683", \ + "0.105829, 0.0974862, 0.0959854, 0.0943853, 0.0929941, 0.0916739, 0.0909085" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0203351, 0.0205565, 0.0205151, 0.0203713, 0.0201912, 0.0197609, 0.0190906", \ + "0.0207908, 0.0205029, 0.0204577, 0.020532, 0.0202326, 0.0202827, 0.0195895", \ + "0.0225678, 0.0219117, 0.0218912, 0.0216931, 0.0217218, 0.0211511, 0.021795", \ + "0.0278883, 0.0263887, 0.0262594, 0.0260679, 0.0258236, 0.0251677, 0.0260949", \ + "0.0404229, 0.0377505, 0.0373707, 0.0370058, 0.0366585, 0.0363756, 0.0354749", \ + "0.0663121, 0.0619194, 0.0609701, 0.0601531, 0.0596662, 0.0589855, 0.0585729", \ + "0.118356, 0.111161, 0.10972, 0.108716, 0.10691, 0.106022, 0.104901" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011876, 0.0124839, 0.0125124, 0.0125276, 0.0124556, 0.0120663, 0.0115373", \ + "0.0136224, 0.0134977, 0.0134297, 0.0134636, 0.0134676, 0.013107, 0.0127685", \ + "0.0164431, 0.0158047, 0.0158822, 0.0157289, 0.0154925, 0.0161811, 0.0147104", \ + "0.0223066, 0.0207844, 0.0206112, 0.0207444, 0.0206166, 0.0201328, 0.0199839", \ + "0.0350201, 0.0319802, 0.0315208, 0.0312871, 0.0311041, 0.0308889, 0.0302474", \ + "0.060952, 0.055816, 0.0551195, 0.054294, 0.0534181, 0.0527494, 0.0523802", \ + "0.112734, 0.104961, 0.10327, 0.101455, 0.100213, 0.0983121, 0.0974201" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00263995; + rise_capacitance : 0.00256999; + rise_capacitance_range (0.00256999, 0.00256999); + fall_capacitance : 0.00270992; + fall_capacitance_range (0.00270992, 0.00270992); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00108933, -0.00109842, -0.00110883, -0.00112985, -0.00115359, -0.00117799, -0.00119756" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00108933, 0.00109842, 0.00110883, 0.00112985, 0.00115359, 0.00117799, 0.00119756" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00285468; + rise_capacitance : 0.00288071; + rise_capacitance_range (0.00288071, 0.00288071); + fall_capacitance : 0.00282865; + fall_capacitance_range (0.00282865, 0.00282865); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00287355; + rise_capacitance : 0.00299053; + rise_capacitance_range (0.00299053, 0.00299053); + fall_capacitance : 0.00275657; + fall_capacitance_range (0.00275657, 0.00275657); + } + } + cell (sg13g2_and3_2) { + area : 12.7008; + cell_footprint : "AND3"; + cell_leakage_power : 2042.82; + leakage_power () { + value : 2230.18; + when : "A&B&C"; + } + leakage_power () { + value : 1874.07; + when : "!A&!B&!C"; + } + leakage_power () { + value : 2283.12; + when : "!A&!B&C"; + } + leakage_power () { + value : 1749.99; + when : "!A&B&!C"; + } + leakage_power () { + value : 2698.25; + when : "!A&B&C"; + } + leakage_power () { + value : 1738.67; + when : "A&!B&!C"; + } + leakage_power () { + value : 2152.86; + when : "A&!B&C"; + } + leakage_power () { + value : 1615.46; + when : "A&B&!C"; + } + pin (X) { + direction : "output"; + function : "(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0561807, 0.0988111, 0.12105, 0.156002, 0.21325, 0.308392, 0.466314", \ + "0.0791852, 0.122181, 0.144511, 0.179416, 0.236755, 0.33178, 0.489792", \ + "0.0960662, 0.139799, 0.162075, 0.197082, 0.25447, 0.349651, 0.507849", \ + "0.12316, 0.168915, 0.191405, 0.226054, 0.282883, 0.377635, 0.535559", \ + "0.16367, 0.212735, 0.236173, 0.271366, 0.328689, 0.423097, 0.580575", \ + "0.225131, 0.28051, 0.306044, 0.343431, 0.402295, 0.498663, 0.657187", \ + "0.322096, 0.38539, 0.415388, 0.457019, 0.520176, 0.619767, 0.783713" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0151263, 0.0591928, 0.0883649, 0.1378, 0.222395, 0.364785, 0.602631", \ + "0.0177755, 0.0605731, 0.0894329, 0.13856, 0.22269, 0.366128, 0.602632", \ + "0.0207268, 0.0620322, 0.0904829, 0.139359, 0.223285, 0.366129, 0.602787", \ + "0.0254267, 0.0654356, 0.0928222, 0.140769, 0.224253, 0.36613, 0.603317", \ + "0.0338797, 0.07234, 0.0988391, 0.145017, 0.226988, 0.367478, 0.604191", \ + "0.04816, 0.08699, 0.111773, 0.156115, 0.235995, 0.374619, 0.609351", \ + "0.071252, 0.111178, 0.136683, 0.179271, 0.256287, 0.393884, 0.62769" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0373294, 0.0702126, 0.0882605, 0.117539, 0.166249, 0.247218, 0.382295", \ + "0.0589323, 0.0934017, 0.111575, 0.140882, 0.189576, 0.270488, 0.405393", \ + "0.0704878, 0.106705, 0.124888, 0.154121, 0.202763, 0.283719, 0.418694", \ + "0.0839915, 0.123267, 0.142039, 0.171563, 0.21974, 0.300704, 0.435645", \ + "0.102591, 0.14702, 0.166636, 0.196905, 0.245686, 0.326302, 0.461465", \ + "0.121559, 0.171997, 0.194975, 0.227256, 0.277695, 0.359971, 0.495789", \ + "0.124146, 0.182631, 0.209691, 0.246646, 0.301179, 0.387532, 0.528445" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0092573, 0.0436209, 0.0676656, 0.107796, 0.176077, 0.290075, 0.48027", \ + "0.0128323, 0.0454526, 0.0686406, 0.108422, 0.176241, 0.290237, 0.480752", \ + "0.0159301, 0.0475188, 0.0699467, 0.109145, 0.176757, 0.290357, 0.480753", \ + "0.0214064, 0.0518406, 0.0730286, 0.11108, 0.177551, 0.290849, 0.480754", \ + "0.0303099, 0.0599896, 0.0803893, 0.116476, 0.180806, 0.292655, 0.481665", \ + "0.044417, 0.075768, 0.094926, 0.128509, 0.190765, 0.300397, 0.486836", \ + "0.066535, 0.099918, 0.119887, 0.151622, 0.211212, 0.319495, 0.50554" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0599802, 0.102833, 0.124851, 0.15981, 0.217104, 0.312169, 0.470253", \ + "0.0774277, 0.120945, 0.143018, 0.178122, 0.235437, 0.33046, 0.488498", \ + "0.0911758, 0.135381, 0.157833, 0.19279, 0.25036, 0.345333, 0.503556", \ + "0.114308, 0.160447, 0.183226, 0.218466, 0.275187, 0.370166, 0.528165", \ + "0.148279, 0.198303, 0.22207, 0.257914, 0.315829, 0.410674, 0.568837", \ + "0.197521, 0.253653, 0.279773, 0.318362, 0.377945, 0.475358, 0.635196", \ + "0.271552, 0.335369, 0.36553, 0.408546, 0.47323, 0.575521, 0.741511" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0151175, 0.0592269, 0.088289, 0.137869, 0.222399, 0.364716, 0.602635", \ + "0.0169488, 0.0604419, 0.0892284, 0.138342, 0.222653, 0.368681, 0.602636", \ + "0.0191743, 0.0617393, 0.0903275, 0.139148, 0.223196, 0.368682, 0.602739", \ + "0.0231783, 0.0649433, 0.0927798, 0.140832, 0.223982, 0.368683, 0.603073", \ + "0.0310292, 0.07188, 0.0988647, 0.145434, 0.227255, 0.368684, 0.604199", \ + "0.043885, 0.085415, 0.111707, 0.157178, 0.237328, 0.37588, 0.610048", \ + "0.064063, 0.107035, 0.134277, 0.179393, 0.259058, 0.397307, 0.631485" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0399892, 0.0733052, 0.0914226, 0.120711, 0.169503, 0.250479, 0.38548", \ + "0.0632498, 0.0979576, 0.116144, 0.145508, 0.194181, 0.275178, 0.410219", \ + "0.0761263, 0.112444, 0.130824, 0.160196, 0.208838, 0.289817, 0.424683", \ + "0.0926546, 0.131856, 0.150722, 0.180231, 0.228813, 0.309599, 0.444476", \ + "0.116901, 0.160758, 0.180685, 0.210491, 0.259326, 0.340084, 0.474822", \ + "0.147362, 0.197365, 0.219566, 0.251549, 0.301236, 0.38323, 0.518515", \ + "0.173174, 0.231204, 0.2571, 0.293132, 0.346248, 0.431141, 0.570328" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00969546, 0.0439065, 0.0677404, 0.107951, 0.176281, 0.290138, 0.480272", \ + "0.013047, 0.0456045, 0.0687715, 0.108562, 0.176347, 0.29033, 0.480374", \ + "0.0160704, 0.0474624, 0.0699891, 0.109267, 0.176886, 0.290485, 0.480418", \ + "0.0211261, 0.0512002, 0.072795, 0.111011, 0.177529, 0.290912, 0.480678", \ + "0.0293226, 0.0591795, 0.0792289, 0.115247, 0.180422, 0.292305, 0.481539", \ + "0.042369, 0.073197, 0.092085, 0.126121, 0.188594, 0.298587, 0.485638", \ + "0.063028, 0.095601, 0.11426, 0.147142, 0.206352, 0.314845, 0.501128" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0612943, 0.104152, 0.126143, 0.161065, 0.218379, 0.313416, 0.471526", \ + "0.0736039, 0.116855, 0.139253, 0.174175, 0.231512, 0.326506, 0.484553", \ + "0.0832861, 0.127459, 0.149884, 0.184942, 0.242308, 0.337368, 0.495482", \ + "0.099793, 0.145984, 0.169116, 0.204143, 0.261311, 0.3563, 0.514489", \ + "0.122585, 0.173002, 0.197092, 0.233734, 0.292133, 0.387441, 0.545526", \ + "0.153049, 0.209557, 0.236543, 0.275913, 0.337067, 0.435747, 0.596221", \ + "0.190666, 0.254743, 0.285697, 0.329814, 0.396349, 0.501749, 0.670803" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0151073, 0.0592084, 0.0883231, 0.137802, 0.222349, 0.364881, 0.602598", \ + "0.0163573, 0.0599874, 0.089018, 0.138173, 0.222579, 0.365701, 0.602599", \ + "0.0179192, 0.0613361, 0.089962, 0.138959, 0.222953, 0.365702, 0.6026", \ + "0.0215654, 0.0646819, 0.0930354, 0.140726, 0.223858, 0.365703, 0.602882", \ + "0.0286744, 0.071694, 0.0990384, 0.146379, 0.227938, 0.367869, 0.604059", \ + "0.0403707, 0.084544, 0.11222, 0.158955, 0.240004, 0.378373, 0.611467", \ + "0.059163, 0.104887, 0.133905, 0.181411, 0.263787, 0.403834, 0.63772" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0417427, 0.075442, 0.0936947, 0.123022, 0.171872, 0.252984, 0.387938", \ + "0.0664031, 0.101299, 0.119607, 0.149052, 0.197754, 0.278828, 0.413987", \ + "0.0806529, 0.117234, 0.135649, 0.164956, 0.213719, 0.294822, 0.429782", \ + "0.100007, 0.139404, 0.158014, 0.187655, 0.23606, 0.316938, 0.451702", \ + "0.129756, 0.173233, 0.19329, 0.222602, 0.271392, 0.35211, 0.486587", \ + "0.172287, 0.221922, 0.243776, 0.275209, 0.325217, 0.406749, 0.541868", \ + "0.226194, 0.283383, 0.30878, 0.344278, 0.396845, 0.482012, 0.620147" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0101254, 0.0443331, 0.0681105, 0.108293, 0.176362, 0.290323, 0.480364", \ + "0.0132572, 0.0458793, 0.0690465, 0.108797, 0.176565, 0.29036, 0.480619", \ + "0.0162593, 0.0476392, 0.0702199, 0.109481, 0.176964, 0.290587, 0.48062", \ + "0.0210313, 0.0516425, 0.0729131, 0.111149, 0.17785, 0.291114, 0.480749", \ + "0.028702, 0.0585824, 0.0790296, 0.115089, 0.180333, 0.292584, 0.481733", \ + "0.040978, 0.071365, 0.090644, 0.125051, 0.187949, 0.298175, 0.485616", \ + "0.060121, 0.092292, 0.111142, 0.144614, 0.204742, 0.31333, 0.499551" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0270913, 0.0246854, 0.0247375, 0.0247052, 0.0243312, 0.023603, 0.0221222", \ + "0.0299238, 0.0254746, 0.0253852, 0.0254293, 0.0254931, 0.0246853, 0.0225409", \ + "0.0336067, 0.0278405, 0.027417, 0.0268425, 0.027031, 0.0255817, 0.024354", \ + "0.0411559, 0.0331062, 0.0323648, 0.0318118, 0.0311565, 0.0299395, 0.0306629", \ + "0.0565159, 0.0448682, 0.0433849, 0.0423227, 0.0413341, 0.0402334, 0.0371451", \ + "0.087021, 0.0698415, 0.067273, 0.0653005, 0.0631688, 0.0615546, 0.0598564", \ + "0.145273, 0.120537, 0.116392, 0.112411, 0.109047, 0.106053, 0.103074" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0187508, 0.019414, 0.0196181, 0.0194652, 0.0192438, 0.0184779, 0.0174891", \ + "0.0216653, 0.0199788, 0.0198071, 0.019942, 0.0198015, 0.0193931, 0.0181449", \ + "0.0254396, 0.0220821, 0.0220369, 0.0218183, 0.0214873, 0.0222371, 0.0197574", \ + "0.0330141, 0.0266136, 0.0261808, 0.0263255, 0.0258921, 0.0245885, 0.0246061", \ + "0.0484679, 0.0374347, 0.0366216, 0.0362654, 0.035719, 0.0353913, 0.0332556", \ + "0.0779915, 0.0613036, 0.059235, 0.0574029, 0.0557189, 0.0546542, 0.0542125", \ + "0.134665, 0.110961, 0.106554, 0.102407, 0.0996624, 0.0969988, 0.0958631" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0289367, 0.0265414, 0.0264757, 0.0264474, 0.026082, 0.0253885, 0.024101", \ + "0.0305094, 0.0267588, 0.026493, 0.0266055, 0.0267292, 0.02739, 0.0238133", \ + "0.0334709, 0.0285262, 0.0282551, 0.0277026, 0.0280013, 0.0268508, 0.0258195", \ + "0.0402996, 0.0332639, 0.0327058, 0.032546, 0.0316072, 0.0304691, 0.0301624", \ + "0.0554948, 0.0448182, 0.0435242, 0.0425846, 0.0417049, 0.0407047, 0.0385841", \ + "0.0852023, 0.0693677, 0.0673459, 0.0654983, 0.0631329, 0.0621325, 0.0611798", \ + "0.142547, 0.120283, 0.117005, 0.113238, 0.109765, 0.107047, 0.104848" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0203534, 0.020826, 0.0208454, 0.0207751, 0.0205917, 0.0198834, 0.0187591", \ + "0.0232523, 0.0213458, 0.0212181, 0.0213513, 0.021472, 0.0208844, 0.0190894", \ + "0.0270912, 0.0234807, 0.0234745, 0.0231671, 0.0229282, 0.0236042, 0.0215808", \ + "0.0347151, 0.0281906, 0.0279029, 0.0279935, 0.0277595, 0.0261636, 0.0263549", \ + "0.0501534, 0.0396498, 0.0385993, 0.0378977, 0.0376859, 0.0372527, 0.0351827", \ + "0.0798676, 0.0640384, 0.0618967, 0.0601755, 0.0582973, 0.0571182, 0.0561703", \ + "0.137142, 0.11409, 0.10957, 0.106152, 0.10337, 0.100015, 0.0984591" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0317799, 0.0293227, 0.0292488, 0.0291906, 0.0287894, 0.0280627, 0.0265269", \ + "0.0325966, 0.0291181, 0.0291334, 0.0295062, 0.0286668, 0.0283083, 0.0263887", \ + "0.0348777, 0.0307512, 0.0305413, 0.0301754, 0.0303663, 0.0297063, 0.0290378", \ + "0.0413544, 0.035525, 0.035215, 0.0345275, 0.0337177, 0.0324814, 0.0320602", \ + "0.0568568, 0.0471543, 0.0459458, 0.0452065, 0.0444934, 0.0434115, 0.0412168", \ + "0.0875814, 0.0731678, 0.0709043, 0.0691678, 0.0671526, 0.0663897, 0.0649853", \ + "0.147427, 0.126653, 0.123131, 0.120039, 0.116568, 0.114185, 0.111652" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0206505, 0.0206926, 0.0207844, 0.0207068, 0.0204284, 0.0198461, 0.0186365", \ + "0.0236432, 0.021493, 0.0213742, 0.0214992, 0.0211959, 0.0210837, 0.0193088", \ + "0.0274532, 0.0237938, 0.0237765, 0.0233301, 0.0231625, 0.0232034, 0.0222321", \ + "0.0354064, 0.0293227, 0.0287172, 0.0286662, 0.0281802, 0.0271712, 0.0272091", \ + "0.0512688, 0.0410623, 0.0402051, 0.0392658, 0.038854, 0.0381392, 0.0359655", \ + "0.0827111, 0.0672068, 0.0652974, 0.0631762, 0.0617663, 0.0602226, 0.0592427", \ + "0.142655, 0.120635, 0.116538, 0.113941, 0.110821, 0.107263, 0.105269" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00262298; + rise_capacitance : 0.00256348; + rise_capacitance_range (0.00256348, 0.00256348); + fall_capacitance : 0.00268248; + fall_capacitance_range (0.00268248, 0.00268248); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00108901, -0.00109839, -0.00111015, -0.00112989, -0.00115345, -0.00117734, -0.00119959" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00108901, 0.00109839, 0.00111015, 0.00112989, 0.00115345, 0.00117734, 0.00119959" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00283313; + rise_capacitance : 0.00287036; + rise_capacitance_range (0.00287036, 0.00287036); + fall_capacitance : 0.0027959; + fall_capacitance_range (0.0027959, 0.0027959); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00287569; + rise_capacitance : 0.00299629; + rise_capacitance_range (0.00299629, 0.00299629); + fall_capacitance : 0.00275509; + fall_capacitance_range (0.00275509, 0.00275509); + } + } + cell (sg13g2_and4_1) { + area : 14.5152; + cell_footprint : "AND4"; + cell_leakage_power : 1505.62; + leakage_power () { + value : 1277.08; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 1685.95; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 1154.87; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 2095; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 1150.3; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 1561.85; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 1023.91; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 2510.11; + when : "!A&B&C&D"; + } + leakage_power () { + value : 1141.51; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 1550.53; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 1017.64; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 1964.74; + when : "A&!B&C&D"; + } + leakage_power () { + value : 1013.18; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 1427.31; + when : "A&B&!C&D"; + } + leakage_power () { + value : 890.084; + when : "A&B&C&!D"; + } + leakage_power () { + value : 2625.89; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0550973, 0.0958103, 0.117745, 0.152389, 0.209473, 0.303773, 0.460893", \ + "0.0758213, 0.116526, 0.138811, 0.173696, 0.230793, 0.325249, 0.482855", \ + "0.0919942, 0.133332, 0.155568, 0.190591, 0.24779, 0.342441, 0.499957", \ + "0.11912, 0.162119, 0.184594, 0.219317, 0.276412, 0.371024, 0.528367", \ + "0.160993, 0.207705, 0.23098, 0.266612, 0.32421, 0.418494, 0.575389", \ + "0.227902, 0.281636, 0.307361, 0.344899, 0.404228, 0.500849, 0.660328", \ + "0.340037, 0.402843, 0.432517, 0.474421, 0.537991, 0.640928, 0.806493" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153157, 0.0585288, 0.0872325, 0.136211, 0.220119, 0.361206, 0.597084", \ + "0.0170037, 0.0595787, 0.088396, 0.137118, 0.220503, 0.362874, 0.597539", \ + "0.0189887, 0.0605317, 0.0891559, 0.137869, 0.221186, 0.362875, 0.59754", \ + "0.0223975, 0.0631527, 0.0910216, 0.138926, 0.222004, 0.362876, 0.598005", \ + "0.0288869, 0.0685975, 0.0958053, 0.142887, 0.224727, 0.364374, 0.599152", \ + "0.03966, 0.080947, 0.107517, 0.153235, 0.234168, 0.372524, 0.605176", \ + "0.057087, 0.101485, 0.128341, 0.173537, 0.253588, 0.392754, 0.626142" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0325384, 0.0615721, 0.0791623, 0.108006, 0.156228, 0.236481, 0.370284", \ + "0.0498764, 0.0806609, 0.098359, 0.127316, 0.175568, 0.255861, 0.389592", \ + "0.0580267, 0.0903258, 0.107987, 0.136879, 0.185096, 0.265286, 0.398864", \ + "0.0659031, 0.101555, 0.119776, 0.148926, 0.197189, 0.277185, 0.411108", \ + "0.0731037, 0.113881, 0.133496, 0.163572, 0.212614, 0.29315, 0.42688", \ + "0.0731047, 0.120771, 0.143028, 0.175252, 0.226501, 0.309294, 0.445182", \ + "0.0731057, 0.120772, 0.143029, 0.175253, 0.226502, 0.309295, 0.445543" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00840537, 0.0416847, 0.0656899, 0.105992, 0.17361, 0.286445, 0.47438", \ + "0.0114562, 0.0431301, 0.0665552, 0.106341, 0.173726, 0.286446, 0.474676", \ + "0.0137755, 0.0448858, 0.0675625, 0.10683, 0.174065, 0.286663, 0.474679", \ + "0.0178789, 0.0483345, 0.0700001, 0.108397, 0.174783, 0.287138, 0.474846", \ + "0.0249286, 0.0555502, 0.0761416, 0.113123, 0.178206, 0.289263, 0.475854", \ + "0.035725, 0.0683831, 0.088484, 0.123656, 0.187553, 0.29766, 0.482646", \ + "0.052578, 0.089364, 0.109826, 0.144735, 0.206039, 0.315998, 0.50268" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0613086, 0.101838, 0.12423, 0.158705, 0.215647, 0.30998, 0.467072", \ + "0.0772374, 0.11859, 0.140845, 0.175807, 0.232873, 0.327203, 0.484328", \ + "0.0906569, 0.132767, 0.15521, 0.190126, 0.247335, 0.341965, 0.49918", \ + "0.114792, 0.1589, 0.181626, 0.216737, 0.273887, 0.368421, 0.525704", \ + "0.152007, 0.200154, 0.224021, 0.259846, 0.31808, 0.412777, 0.570174", \ + "0.209843, 0.265042, 0.291796, 0.330134, 0.390525, 0.4884, 0.647724", \ + "0.303532, 0.36769, 0.3983, 0.442284, 0.507504, 0.61125, 0.778346" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153171, 0.0582701, 0.0875628, 0.136332, 0.220039, 0.361225, 0.597016", \ + "0.0168643, 0.0595611, 0.0882955, 0.136985, 0.220414, 0.36288, 0.597017", \ + "0.0185731, 0.0607479, 0.0892426, 0.1378, 0.221068, 0.362881, 0.59726", \ + "0.0217706, 0.0636476, 0.091369, 0.139143, 0.221825, 0.362882, 0.597822", \ + "0.0279791, 0.0696175, 0.0970369, 0.143695, 0.225259, 0.364372, 0.59892", \ + "0.037915, 0.081799, 0.109096, 0.155267, 0.235826, 0.373429, 0.605359", \ + "0.053784, 0.101257, 0.129398, 0.176181, 0.25656, 0.395994, 0.628718" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0354044, 0.0647868, 0.0824379, 0.111351, 0.159602, 0.239776, 0.373587", \ + "0.0548127, 0.0856767, 0.103483, 0.132495, 0.180745, 0.261021, 0.394671", \ + "0.064551, 0.0968435, 0.11479, 0.14369, 0.191941, 0.272255, 0.405891", \ + "0.0750046, 0.110566, 0.128898, 0.158072, 0.206195, 0.28648, 0.42012", \ + "0.0882504, 0.12854, 0.147787, 0.177592, 0.226387, 0.306847, 0.440683", \ + "0.097344, 0.144652, 0.166401, 0.198095, 0.248465, 0.330984, 0.466219", \ + "0.097345, 0.144653, 0.168046, 0.203836, 0.257524, 0.343191, 0.484042" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0087903, 0.0419282, 0.0658791, 0.105996, 0.173604, 0.28642, 0.474522", \ + "0.0116552, 0.0432375, 0.0666638, 0.106429, 0.1739, 0.286489, 0.475482", \ + "0.0139496, 0.044708, 0.0676221, 0.106921, 0.174152, 0.286699, 0.475483", \ + "0.0179683, 0.0476678, 0.0697811, 0.108272, 0.174914, 0.287209, 0.475484", \ + "0.0244867, 0.0546157, 0.0750715, 0.112257, 0.177614, 0.288841, 0.47605", \ + "0.0349012, 0.066464, 0.086388, 0.121743, 0.185725, 0.295919, 0.481266", \ + "0.05089, 0.085922, 0.105632, 0.139962, 0.201657, 0.3118, 0.498441" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0648861, 0.105594, 0.12744, 0.162121, 0.219085, 0.313559, 0.470707", \ + "0.0767731, 0.118287, 0.14046, 0.175219, 0.232259, 0.326712, 0.483793", \ + "0.0868451, 0.129037, 0.151575, 0.186502, 0.243658, 0.338267, 0.49543", \ + "0.105436, 0.150049, 0.173111, 0.208112, 0.265351, 0.359784, 0.517132", \ + "0.134375, 0.183704, 0.20766, 0.244594, 0.302906, 0.398046, 0.555233", \ + "0.178117, 0.234059, 0.261456, 0.30109, 0.362892, 0.461623, 0.622148", \ + "0.244655, 0.31007, 0.341854, 0.386481, 0.454461, 0.560638, 0.730652" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153211, 0.058409, 0.0872072, 0.136209, 0.219952, 0.361199, 0.597107", \ + "0.0165049, 0.0594788, 0.0881362, 0.136856, 0.220327, 0.361744, 0.597108", \ + "0.0178935, 0.0605039, 0.0890433, 0.137598, 0.220854, 0.361745, 0.597407", \ + "0.0211087, 0.0638526, 0.0919536, 0.139225, 0.221751, 0.362121, 0.59762", \ + "0.0271444, 0.0705507, 0.0978799, 0.144806, 0.225888, 0.36478, 0.598789", \ + "0.036713, 0.082664, 0.110697, 0.157402, 0.238534, 0.375771, 0.606896", \ + "0.051901, 0.101721, 0.131662, 0.179567, 0.262633, 0.402188, 0.634415" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0373069, 0.067185, 0.0849714, 0.113959, 0.162397, 0.242609, 0.37644", \ + "0.0583759, 0.089585, 0.107449, 0.136462, 0.184856, 0.265121, 0.398934", \ + "0.0695186, 0.102115, 0.12002, 0.149187, 0.197536, 0.27788, 0.411716", \ + "0.0828297, 0.118195, 0.136534, 0.165737, 0.213945, 0.294333, 0.428032", \ + "0.101527, 0.140294, 0.159631, 0.189335, 0.238059, 0.318381, 0.452298", \ + "0.122142, 0.168984, 0.190478, 0.222018, 0.272036, 0.354458, 0.489394", \ + "0.134335, 0.190063, 0.215135, 0.250591, 0.304103, 0.389906, 0.530043" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00920242, 0.0423515, 0.0663787, 0.106327, 0.173931, 0.286582, 0.474652", \ + "0.01187, 0.0435896, 0.0669553, 0.106761, 0.174009, 0.286632, 0.475368", \ + "0.0141791, 0.044908, 0.0678123, 0.107133, 0.174334, 0.286947, 0.475369", \ + "0.0180848, 0.0479007, 0.0698699, 0.108471, 0.175042, 0.287335, 0.47537", \ + "0.0243427, 0.0542153, 0.0751253, 0.112242, 0.177636, 0.289128, 0.476273", \ + "0.034198, 0.065331, 0.085385, 0.121117, 0.185222, 0.295623, 0.481172", \ + "0.049531, 0.083912, 0.102978, 0.138212, 0.200897, 0.310871, 0.497387" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0665389, 0.10725, 0.129103, 0.163782, 0.220692, 0.315176, 0.472319", \ + "0.0758796, 0.117177, 0.139316, 0.174096, 0.231008, 0.325457, 0.482564", \ + "0.0829478, 0.124977, 0.147351, 0.182312, 0.239389, 0.333813, 0.490953", \ + "0.0950623, 0.13944, 0.162649, 0.19792, 0.255088, 0.349635, 0.506948", \ + "0.112686, 0.161942, 0.186966, 0.224225, 0.282935, 0.378416, 0.535637", \ + "0.13792, 0.194268, 0.222173, 0.263375, 0.326896, 0.426739, 0.588145", \ + "0.17022, 0.235787, 0.268117, 0.314466, 0.384813, 0.494709, 0.66793" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153173, 0.0584107, 0.08724, 0.136224, 0.220031, 0.361172, 0.597039", \ + "0.0161193, 0.059166, 0.0878651, 0.136651, 0.220211, 0.362416, 0.59704", \ + "0.0171975, 0.0601744, 0.0887191, 0.137338, 0.22065, 0.362417, 0.59729", \ + "0.0201345, 0.0632554, 0.0915608, 0.139251, 0.22167, 0.362418, 0.597383", \ + "0.0259393, 0.0706712, 0.0987696, 0.146066, 0.226681, 0.365199, 0.598858", \ + "0.0349968, 0.082637, 0.111825, 0.16026, 0.241857, 0.378671, 0.608678", \ + "0.049219, 0.101363, 0.132736, 0.18301, 0.268562, 0.409979, 0.641483" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0385703, 0.069013, 0.0869974, 0.116194, 0.164696, 0.245038, 0.378839", \ + "0.0611315, 0.0926121, 0.110717, 0.139921, 0.188303, 0.26876, 0.402639", \ + "0.0736167, 0.106561, 0.124691, 0.15378, 0.202241, 0.282755, 0.416753", \ + "0.0898032, 0.125485, 0.14371, 0.172973, 0.221336, 0.301772, 0.435623", \ + "0.114242, 0.154091, 0.173375, 0.20305, 0.251718, 0.332198, 0.466127", \ + "0.148281, 0.194642, 0.215853, 0.246916, 0.297306, 0.379277, 0.514585", \ + "0.188133, 0.243254, 0.268141, 0.303488, 0.356153, 0.441877, 0.581611" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00965545, 0.042929, 0.0670291, 0.106793, 0.174317, 0.286889, 0.474995", \ + "0.0121962, 0.0439814, 0.0673913, 0.107105, 0.174382, 0.287009, 0.475391", \ + "0.0145, 0.0453418, 0.0682678, 0.107587, 0.174714, 0.287161, 0.475392", \ + "0.0183233, 0.0483107, 0.0702356, 0.108761, 0.175426, 0.287657, 0.475393", \ + "0.0244019, 0.0541383, 0.0749872, 0.112208, 0.177934, 0.289372, 0.476496", \ + "0.033928, 0.064692, 0.084846, 0.120312, 0.184935, 0.295378, 0.481274", \ + "0.048802, 0.082699, 0.102279, 0.137462, 0.200201, 0.310829, 0.496562" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0173324, 0.016978, 0.0169463, 0.0168966, 0.0167871, 0.0164194, 0.0158351", \ + "0.0187136, 0.0176283, 0.0175661, 0.0177728, 0.017607, 0.0174035, 0.0164814", \ + "0.0210232, 0.0195943, 0.0194348, 0.019214, 0.0192909, 0.0187186, 0.0179717", \ + "0.0261867, 0.0241937, 0.0238456, 0.0235787, 0.023155, 0.0225294, 0.023488", \ + "0.037318, 0.0337187, 0.0332025, 0.0327945, 0.0323614, 0.0319105, 0.0302529", \ + "0.0601938, 0.0544028, 0.0535697, 0.0527202, 0.0518183, 0.051051, 0.0506822", \ + "0.105427, 0.0968468, 0.0953376, 0.0937811, 0.0920171, 0.0908477, 0.0898666" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108998, 0.011743, 0.0117276, 0.011716, 0.0115503, 0.011229, 0.010657", \ + "0.0123267, 0.0124812, 0.0124224, 0.0124234, 0.012485, 0.0119419, 0.0114234", \ + "0.014735, 0.0142407, 0.0142342, 0.0142255, 0.0140061, 0.0138895, 0.0129708", \ + "0.0197234, 0.018307, 0.0182194, 0.0183018, 0.018291, 0.0174885, 0.0183693", \ + "0.0308074, 0.0277245, 0.0273438, 0.0271889, 0.0270816, 0.0270253, 0.0261932", \ + "0.0530579, 0.0475947, 0.0468523, 0.046182, 0.0455886, 0.0453179, 0.0448387", \ + "0.0972653, 0.0888253, 0.0874527, 0.0860288, 0.0844749, 0.0834916, 0.0829468" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0203704, 0.0199041, 0.0201068, 0.0199746, 0.0197367, 0.0193491, 0.0187146", \ + "0.0210027, 0.0200076, 0.0200093, 0.0202681, 0.0197733, 0.0198435, 0.0187252", \ + "0.0228636, 0.0216562, 0.0215486, 0.0212094, 0.0213619, 0.0206404, 0.0209216", \ + "0.0278108, 0.0257729, 0.0254965, 0.0253507, 0.0250733, 0.024484, 0.0241594", \ + "0.0387617, 0.0355648, 0.0349738, 0.0345571, 0.0342413, 0.0336795, 0.0324793", \ + "0.0615844, 0.0562333, 0.0554016, 0.0545934, 0.0536978, 0.0531279, 0.0525472", \ + "0.106906, 0.0990166, 0.0975422, 0.095976, 0.0943453, 0.0931663, 0.0921685" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114319, 0.0121337, 0.0121635, 0.0121444, 0.0119807, 0.01164, 0.0111384", \ + "0.0128044, 0.0127853, 0.0127298, 0.0127907, 0.0131014, 0.0122585, 0.0121145", \ + "0.0152708, 0.0146957, 0.0147607, 0.0146837, 0.014467, 0.0146292, 0.0135073", \ + "0.0203202, 0.0187086, 0.0186993, 0.0187827, 0.0186732, 0.0180757, 0.0187403", \ + "0.0315037, 0.0285013, 0.0279669, 0.0278549, 0.0277034, 0.0275471, 0.0270415", \ + "0.053998, 0.0485658, 0.0477866, 0.0471551, 0.0463466, 0.046061, 0.0455348", \ + "0.0986835, 0.0903934, 0.0887158, 0.0873365, 0.0859569, 0.0847564, 0.0842424" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0224636, 0.0220432, 0.0220236, 0.0219642, 0.0217923, 0.0214487, 0.0207842", \ + "0.0226548, 0.0218472, 0.0218571, 0.0220285, 0.0219035, 0.0213954, 0.0205351", \ + "0.0241883, 0.0230848, 0.0230514, 0.0228372, 0.0229072, 0.0224866, 0.021824", \ + "0.028957, 0.0271524, 0.0270015, 0.0267563, 0.0264029, 0.0258326, 0.0269007", \ + "0.0404717, 0.0373656, 0.0368095, 0.0365165, 0.0361512, 0.0358498, 0.0346787", \ + "0.0643529, 0.059513, 0.0585524, 0.0577109, 0.0568462, 0.0564386, 0.0559998", \ + "0.112269, 0.104703, 0.103432, 0.101917, 0.100455, 0.0992622, 0.0983704" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012424, 0.0130373, 0.0131743, 0.0130534, 0.012989, 0.0126351, 0.0121077", \ + "0.013798, 0.0137282, 0.0136567, 0.0136559, 0.0136854, 0.0132653, 0.0129801", \ + "0.0163447, 0.0156896, 0.0157137, 0.0157081, 0.0154726, 0.0160204, 0.0147125", \ + "0.0216318, 0.020041, 0.0199671, 0.0200128, 0.0198716, 0.0193871, 0.0198439", \ + "0.0332533, 0.0302461, 0.0298211, 0.0295731, 0.0293151, 0.0291199, 0.0285292", \ + "0.0570755, 0.051783, 0.0510427, 0.0504115, 0.0495603, 0.0491887, 0.0486287", \ + "0.104563, 0.0965793, 0.0947903, 0.0935683, 0.0922939, 0.0906081, 0.0901577" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0241476, 0.0237198, 0.0237097, 0.0236549, 0.0234394, 0.0231088, 0.022324", \ + "0.0241305, 0.0234543, 0.0234782, 0.0234642, 0.0233587, 0.0230962, 0.0220906", \ + "0.0253355, 0.0244885, 0.0244103, 0.0242218, 0.0243417, 0.023869, 0.0243798", \ + "0.0298003, 0.0281671, 0.0280511, 0.0279027, 0.0276261, 0.027023, 0.0277924", \ + "0.0416339, 0.0387722, 0.0383638, 0.0380258, 0.0376831, 0.0374024, 0.0360611", \ + "0.0671498, 0.0623078, 0.0612875, 0.0606095, 0.0599239, 0.0594602, 0.0589819", \ + "0.118176, 0.110959, 0.109494, 0.108017, 0.106264, 0.105287, 0.104215" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124249, 0.0128783, 0.0130601, 0.0129264, 0.0128155, 0.0124713, 0.0119165", \ + "0.0140424, 0.0137532, 0.0137613, 0.0137675, 0.0137974, 0.0134206, 0.0128541", \ + "0.0167595, 0.0159494, 0.0159979, 0.015784, 0.0155958, 0.0156098, 0.0148654", \ + "0.0224119, 0.0207948, 0.0205932, 0.0205819, 0.0204522, 0.0199182, 0.0202108", \ + "0.034741, 0.0317507, 0.0312172, 0.0309057, 0.0306308, 0.030493, 0.0295027", \ + "0.0603475, 0.0550924, 0.0543477, 0.0534442, 0.0526937, 0.0519935, 0.051751", \ + "0.11157, 0.103587, 0.101809, 0.100575, 0.09925, 0.0974211, 0.0966169" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00252336; + rise_capacitance : 0.002516; + rise_capacitance_range (0.002516, 0.002516); + fall_capacitance : 0.00253073; + fall_capacitance_range (0.00253073, 0.00253073); + internal_power () { + when : "(B * C * !D) + (B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000813201, -0.000795324, -0.000797223, -0.000804089, -0.000798962, -0.000797122, -0.000796725" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00120184, 0.00119917, 0.00119921, 0.0011967, 0.00120118, 0.00120632, 0.00120412" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000813201, -0.000795324, -0.000797223, -0.000804089, -0.000798962, -0.000797122, -0.000796725" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00120184, 0.00119917, 0.00119921, 0.0011967, 0.00120118, 0.00120632, 0.00120412" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00252982; + rise_capacitance : 0.00257558; + rise_capacitance_range (0.00257558, 0.00257558); + fall_capacitance : 0.00248407; + fall_capacitance_range (0.00248407, 0.00248407); + internal_power () { + when : "(A * C * !D) + (A * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000680022, -0.000676771, -0.000674956, -0.000676443, -0.000676893, -0.00067804, -0.000677039" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000775893, 0.000778435, 0.000777631, 0.000777855, 0.000781076, 0.000782216, 0.000785469" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000680022, -0.000676771, -0.000674956, -0.000676443, -0.000676893, -0.00067804, -0.000677039" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000775893, 0.000778435, 0.000777631, 0.000777855, 0.000781076, 0.000782216, 0.000785469" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00296137; + rise_capacitance : 0.00308467; + rise_capacitance_range (0.00308467, 0.00308467); + fall_capacitance : 0.00283808; + fall_capacitance_range (0.00283808, 0.00283808); + internal_power () { + when : "(A * !B * D) + (!A * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00291836; + rise_capacitance : 0.00307144; + rise_capacitance_range (0.00307144, 0.00307144); + fall_capacitance : 0.00276527; + fall_capacitance_range (0.00276527, 0.00276527); + internal_power () { + when : "(A * !B * C) + (!A * C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000999209, 0.000962195, 0.000979718, 0.00096127, 0.00101851, 0.000994196, 0.000987394" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000344034, 0.000207507, 0.000165694, 0.00013291, 0.000103135, 7.59561e-05, 5.70176e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000999209, 0.000962195, 0.000979718, 0.00096127, 0.00101851, 0.000994196, 0.000987394" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000344034, 0.000207507, 0.000165694, 0.00013291, 0.000103135, 7.59561e-05, 5.70176e-05" \ + ); + } + } + } + } + cell (sg13g2_and4_2) { + area : 16.3296; + cell_footprint : "AND4"; + cell_leakage_power : 2202.61; + leakage_power () { + value : 2006.63; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 2415.5; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 1884.43; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 2824.55; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 1879.85; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 2291.4; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 1753.46; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 3239.66; + when : "!A&B&C&D"; + } + leakage_power () { + value : 1871.06; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 2280.08; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 1747.2; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 2694.3; + when : "A&!B&C&D"; + } + leakage_power () { + value : 1742.74; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 2156.86; + when : "A&B&!C&D"; + } + leakage_power () { + value : 1619.64; + when : "A&B&C&!D"; + } + leakage_power () { + value : 2834.47; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0702422, 0.116871, 0.140303, 0.176186, 0.233766, 0.328441, 0.485889", \ + "0.0942208, 0.14099, 0.164382, 0.200273, 0.257988, 0.352685, 0.510133", \ + "0.113178, 0.160283, 0.183806, 0.219661, 0.277362, 0.372169, 0.52949", \ + "0.14445, 0.193083, 0.216754, 0.252536, 0.310461, 0.405074, 0.562522", \ + "0.192953, 0.244503, 0.269506, 0.30536, 0.362986, 0.457488, 0.614098", \ + "0.270829, 0.328025, 0.354138, 0.392149, 0.452021, 0.548398, 0.706283", \ + "0.397408, 0.462489, 0.492977, 0.536404, 0.599267, 0.699639, 0.861526" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0190887, 0.0642208, 0.0929651, 0.141163, 0.224163, 0.364339, 0.600269", \ + "0.0212284, 0.0655051, 0.0939207, 0.141879, 0.224379, 0.364471, 0.60027", \ + "0.0238733, 0.0670655, 0.0951179, 0.142892, 0.225177, 0.365034, 0.600377", \ + "0.0287737, 0.0701147, 0.0974449, 0.144376, 0.226219, 0.365921, 0.601029", \ + "0.036548, 0.0770124, 0.103593, 0.148913, 0.228924, 0.367454, 0.601945", \ + "0.050803, 0.090564, 0.115765, 0.159491, 0.238147, 0.374626, 0.607", \ + "0.074179, 0.115056, 0.140565, 0.183551, 0.259113, 0.394726, 0.625831" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0384651, 0.0714465, 0.0894184, 0.118441, 0.166809, 0.247154, 0.381092", \ + "0.060449, 0.0950092, 0.113097, 0.142237, 0.190538, 0.270904, 0.404825", \ + "0.0716123, 0.108017, 0.126157, 0.155362, 0.203647, 0.283952, 0.417867", \ + "0.0841689, 0.12435, 0.143226, 0.172491, 0.220657, 0.300589, 0.434582", \ + "0.0993446, 0.144626, 0.164825, 0.195217, 0.243771, 0.323812, 0.457286", \ + "0.110499, 0.161967, 0.185073, 0.217688, 0.268013, 0.35004, 0.484776", \ + "0.1105, 0.161968, 0.185074, 0.218801, 0.274279, 0.358868, 0.498531" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00951179, 0.0436524, 0.0673288, 0.107228, 0.174951, 0.288141, 0.476794", \ + "0.0129912, 0.0455405, 0.0684233, 0.107848, 0.17516, 0.288142, 0.477224", \ + "0.0161588, 0.0476998, 0.0698369, 0.108637, 0.175626, 0.288318, 0.477225", \ + "0.0217175, 0.0521871, 0.0732078, 0.110684, 0.176481, 0.288897, 0.477226", \ + "0.0308648, 0.0611474, 0.0807543, 0.116487, 0.180018, 0.29068, 0.478153", \ + "0.0451527, 0.077029, 0.095903, 0.129048, 0.1902, 0.298814, 0.483839", \ + "0.067693, 0.101963, 0.121983, 0.153821, 0.212866, 0.317953, 0.502908" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0764536, 0.123125, 0.146389, 0.182305, 0.239873, 0.33462, 0.491788", \ + "0.0946607, 0.141809, 0.165216, 0.201279, 0.258839, 0.35362, 0.510937", \ + "0.110289, 0.157927, 0.181727, 0.217886, 0.275547, 0.370428, 0.52764", \ + "0.137834, 0.187381, 0.211457, 0.247366, 0.305183, 0.40001, 0.55743", \ + "0.18129, 0.233776, 0.258712, 0.295476, 0.353506, 0.448269, 0.60567", \ + "0.249144, 0.307461, 0.334576, 0.37353, 0.434247, 0.53073, 0.689364", \ + "0.357255, 0.4233, 0.454534, 0.498388, 0.563864, 0.665248, 0.830451" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0191074, 0.0643335, 0.0928846, 0.141158, 0.224147, 0.3644, 0.600083", \ + "0.0207076, 0.0653983, 0.0938844, 0.141882, 0.224341, 0.364555, 0.600285", \ + "0.0227551, 0.0668289, 0.0950856, 0.142838, 0.224936, 0.364875, 0.600379", \ + "0.0268099, 0.0701647, 0.0977014, 0.144491, 0.226098, 0.365712, 0.600861", \ + "0.0340961, 0.0767403, 0.103637, 0.149268, 0.229143, 0.367308, 0.601869", \ + "0.047327, 0.089772, 0.115929, 0.160603, 0.239567, 0.37553, 0.607406", \ + "0.068473, 0.11217, 0.139487, 0.183813, 0.26154, 0.397197, 0.628562" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0411691, 0.0745049, 0.0925734, 0.121666, 0.170054, 0.250465, 0.384483", \ + "0.0645965, 0.0993921, 0.117524, 0.146655, 0.195103, 0.275488, 0.409298", \ + "0.0771544, 0.113746, 0.131993, 0.161213, 0.209561, 0.289922, 0.423845", \ + "0.0922417, 0.13252, 0.15106, 0.180366, 0.228593, 0.308456, 0.44221", \ + "0.111455, 0.156135, 0.176286, 0.206362, 0.254648, 0.334856, 0.468341", \ + "0.132869, 0.184264, 0.206939, 0.238821, 0.288968, 0.370101, 0.504661", \ + "0.135384, 0.194836, 0.2216, 0.258311, 0.311732, 0.396029, 0.534935" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00994132, 0.0439527, 0.0675179, 0.10736, 0.174937, 0.288116, 0.476861", \ + "0.0132131, 0.0456216, 0.0686294, 0.10797, 0.175225, 0.288243, 0.477269", \ + "0.0163111, 0.0476736, 0.0698881, 0.108726, 0.175701, 0.288457, 0.47727", \ + "0.021525, 0.0520852, 0.0728661, 0.110603, 0.176506, 0.288904, 0.477271", \ + "0.0302469, 0.0603304, 0.0801272, 0.115889, 0.179716, 0.29055, 0.478214", \ + "0.043525, 0.074946, 0.093675, 0.126807, 0.188698, 0.297271, 0.482702", \ + "0.065087, 0.098431, 0.117854, 0.149482, 0.207451, 0.314299, 0.499023" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0799939, 0.126544, 0.149986, 0.185782, 0.243483, 0.338138, 0.495534", \ + "0.0933806, 0.140518, 0.163976, 0.199878, 0.257525, 0.352283, 0.509617", \ + "0.104902, 0.15282, 0.176622, 0.212486, 0.270503, 0.365137, 0.522596", \ + "0.126429, 0.176177, 0.200017, 0.23642, 0.294062, 0.389003, 0.546403", \ + "0.160934, 0.213849, 0.239321, 0.276774, 0.335104, 0.430083, 0.587524", \ + "0.213704, 0.272599, 0.300495, 0.34062, 0.401786, 0.500483, 0.659738", \ + "0.293738, 0.360469, 0.39237, 0.437062, 0.504713, 0.609197, 0.776871" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0191107, 0.0642219, 0.0929538, 0.141204, 0.224366, 0.36442, 0.600111", \ + "0.0202589, 0.0652184, 0.0936997, 0.141707, 0.224367, 0.364529, 0.600241", \ + "0.0218531, 0.0666197, 0.094983, 0.142592, 0.22472, 0.364812, 0.600366", \ + "0.0251853, 0.0697802, 0.0974051, 0.144563, 0.225994, 0.365531, 0.600704", \ + "0.0323798, 0.0761538, 0.103825, 0.149941, 0.229873, 0.367553, 0.601679", \ + "0.044821, 0.089611, 0.117392, 0.162627, 0.241703, 0.377789, 0.608994", \ + "0.064716, 0.11105, 0.139944, 0.186001, 0.266345, 0.403551, 0.63418" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.043106, 0.0768985, 0.0950676, 0.124274, 0.172716, 0.253174, 0.387153", \ + "0.0677848, 0.102857, 0.121058, 0.150339, 0.198746, 0.279161, 0.413299", \ + "0.0815847, 0.118351, 0.136781, 0.165977, 0.214452, 0.294913, 0.428838", \ + "0.0993456, 0.138957, 0.15777, 0.187263, 0.235546, 0.315704, 0.449439", \ + "0.124082, 0.168263, 0.188114, 0.218263, 0.266516, 0.346823, 0.480429", \ + "0.15475, 0.205528, 0.228, 0.260114, 0.309705, 0.390827, 0.52527", \ + "0.178879, 0.237728, 0.264405, 0.300613, 0.35371, 0.43781, 0.576137" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0103607, 0.0443529, 0.0678935, 0.107674, 0.175207, 0.288298, 0.476977", \ + "0.0134441, 0.0459625, 0.0688686, 0.108208, 0.175399, 0.288454, 0.477254", \ + "0.0165061, 0.04782, 0.0700985, 0.108984, 0.175878, 0.288595, 0.477255", \ + "0.0214683, 0.051753, 0.0730034, 0.110815, 0.176798, 0.289113, 0.477357", \ + "0.0296008, 0.0597617, 0.0794368, 0.1156, 0.179748, 0.290703, 0.478411", \ + "0.04241, 0.073723, 0.092604, 0.12626, 0.18795, 0.297118, 0.482869", \ + "0.063111, 0.096554, 0.115163, 0.147505, 0.206555, 0.313326, 0.497819" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0816236, 0.128365, 0.151517, 0.187432, 0.245089, 0.339792, 0.497081", \ + "0.0919014, 0.138939, 0.162362, 0.198195, 0.255826, 0.350558, 0.507926", \ + "0.0998633, 0.147607, 0.171364, 0.207229, 0.265007, 0.359811, 0.517148", \ + "0.114654, 0.164212, 0.188529, 0.224609, 0.282513, 0.377188, 0.534281", \ + "0.136915, 0.19014, 0.2158, 0.253507, 0.312711, 0.408205, 0.565503", \ + "0.170002, 0.22966, 0.258042, 0.299773, 0.361978, 0.461082, 0.622189", \ + "0.214094, 0.281076, 0.313453, 0.359621, 0.4306, 0.537533, 0.70758" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0191126, 0.064403, 0.092932, 0.141194, 0.224139, 0.364429, 0.600192", \ + "0.0199098, 0.0650141, 0.0934616, 0.141528, 0.224172, 0.364593, 0.600221", \ + "0.021076, 0.0661505, 0.0945551, 0.14232, 0.224609, 0.364604, 0.600222", \ + "0.02394, 0.0691746, 0.0973843, 0.144234, 0.225748, 0.365237, 0.600521", \ + "0.0307537, 0.0758969, 0.103831, 0.150403, 0.230433, 0.367982, 0.601776", \ + "0.04223, 0.089128, 0.11786, 0.16546, 0.24472, 0.380406, 0.610177", \ + "0.060707, 0.109586, 0.140243, 0.188925, 0.272974, 0.410853, 0.641213" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0445356, 0.0789136, 0.0972079, 0.126575, 0.17519, 0.255727, 0.389819", \ + "0.0703905, 0.105771, 0.12415, 0.153541, 0.202101, 0.282679, 0.416796", \ + "0.0853789, 0.12232, 0.140927, 0.170148, 0.218708, 0.2992, 0.433238", \ + "0.105433, 0.145138, 0.163925, 0.193342, 0.241923, 0.322166, 0.455973", \ + "0.134848, 0.179557, 0.199518, 0.229372, 0.277989, 0.358425, 0.491837", \ + "0.1772, 0.22766, 0.249871, 0.281773, 0.331104, 0.412407, 0.546703", \ + "0.228173, 0.286869, 0.31265, 0.348295, 0.401427, 0.48598, 0.623218" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0108467, 0.0450068, 0.0684507, 0.108203, 0.175575, 0.288728, 0.477214", \ + "0.0137824, 0.046315, 0.0692691, 0.108616, 0.175759, 0.288791, 0.477501", \ + "0.0167626, 0.0481781, 0.0705786, 0.109381, 0.176155, 0.288956, 0.477502", \ + "0.0216407, 0.0520643, 0.0733158, 0.110979, 0.177092, 0.289424, 0.477617", \ + "0.0295474, 0.0596785, 0.0795727, 0.115257, 0.179795, 0.290963, 0.478769", \ + "0.04196, 0.07309, 0.091941, 0.125743, 0.187832, 0.296919, 0.482831", \ + "0.061662, 0.094591, 0.113315, 0.146094, 0.20538, 0.312619, 0.496976" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0305143, 0.0258902, 0.0258513, 0.0257513, 0.0251562, 0.0245281, 0.0232903", \ + "0.032749, 0.0266412, 0.0264023, 0.026544, 0.0256043, 0.0247472, 0.0235916", \ + "0.0361379, 0.0289647, 0.0282345, 0.0276493, 0.0275148, 0.0266819, 0.025058", \ + "0.0434084, 0.0339265, 0.033049, 0.032331, 0.0316618, 0.0304487, 0.0293995", \ + "0.0578774, 0.0449799, 0.04355, 0.0422132, 0.0410041, 0.0400274, 0.0362264", \ + "0.0864838, 0.0684694, 0.0655333, 0.0632562, 0.0611873, 0.0592008, 0.0579308", \ + "0.142387, 0.116963, 0.112047, 0.108035, 0.103912, 0.101009, 0.0973644" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0194919, 0.0201152, 0.0202586, 0.020102, 0.019845, 0.0192219, 0.0179679", \ + "0.0222244, 0.0205692, 0.0203741, 0.0204824, 0.0211813, 0.0199467, 0.0186027", \ + "0.0258808, 0.0224524, 0.0223343, 0.0222099, 0.0219547, 0.0225218, 0.0202293", \ + "0.0331593, 0.026739, 0.026377, 0.0263974, 0.0262252, 0.0246697, 0.0264486", \ + "0.0479465, 0.0370883, 0.0358314, 0.0356584, 0.0350498, 0.034667, 0.0331815", \ + "0.0760881, 0.0593584, 0.0570812, 0.0553966, 0.0536491, 0.0530702, 0.0519573", \ + "0.129889, 0.106158, 0.101632, 0.0977822, 0.0954127, 0.0922118, 0.0908196" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0334567, 0.0289511, 0.0287208, 0.0286171, 0.0281374, 0.0275397, 0.0259247", \ + "0.0348134, 0.0290713, 0.0289393, 0.0287514, 0.028374, 0.0272941, 0.0261348", \ + "0.037443, 0.0306901, 0.0303626, 0.0298264, 0.030029, 0.0290806, 0.0274603", \ + "0.0440701, 0.0355678, 0.0348805, 0.0341063, 0.0332196, 0.0323908, 0.0328999", \ + "0.0579473, 0.0465925, 0.0450877, 0.0438432, 0.0424932, 0.0417058, 0.0392565", \ + "0.0863555, 0.0693194, 0.0666818, 0.0646672, 0.0628675, 0.060581, 0.0598368", \ + "0.14136, 0.117672, 0.11338, 0.109135, 0.105716, 0.102269, 0.100288" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0201273, 0.0206176, 0.0205771, 0.0205045, 0.0203163, 0.0196019, 0.0184458", \ + "0.0228245, 0.0208999, 0.0207463, 0.0208237, 0.0213358, 0.0206174, 0.0189875", \ + "0.0263967, 0.0228227, 0.0227493, 0.0225842, 0.022306, 0.0220033, 0.0209529", \ + "0.0337525, 0.0275216, 0.0268551, 0.0267788, 0.0266951, 0.0250056, 0.026863", \ + "0.0485686, 0.0378963, 0.0367225, 0.0362887, 0.0356612, 0.0351812, 0.0329416", \ + "0.0767241, 0.0604323, 0.0582834, 0.0562547, 0.0550252, 0.0536913, 0.0530914", \ + "0.130884, 0.107566, 0.103197, 0.0994038, 0.0962469, 0.0934464, 0.0926425" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0356137, 0.0309501, 0.0309083, 0.0306053, 0.0301826, 0.0295152, 0.028325", \ + "0.036292, 0.0308872, 0.0307902, 0.031033, 0.0300064, 0.0292203, 0.0279532", \ + "0.0383552, 0.0323046, 0.031959, 0.0313371, 0.0317326, 0.0306217, 0.028952", \ + "0.0443997, 0.0367873, 0.0359512, 0.0355062, 0.0345681, 0.0335909, 0.0342485", \ + "0.0585401, 0.0476079, 0.0463174, 0.0454491, 0.0443404, 0.0433769, 0.0405498", \ + "0.0878404, 0.0721852, 0.069886, 0.067711, 0.0655551, 0.0645146, 0.0632033", \ + "0.144467, 0.122211, 0.118393, 0.114284, 0.11139, 0.10859, 0.106237" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0212519, 0.0213627, 0.0214315, 0.0214064, 0.0212474, 0.0204857, 0.0191645", \ + "0.0239606, 0.0217831, 0.0217272, 0.0218191, 0.0217038, 0.0216824, 0.0197643", \ + "0.0274866, 0.023797, 0.0237362, 0.0235386, 0.0233101, 0.0235501, 0.0222035", \ + "0.0350305, 0.028554, 0.0281303, 0.0280656, 0.027837, 0.0265752, 0.0274183", \ + "0.0500762, 0.0395806, 0.0383659, 0.0380821, 0.0373504, 0.0370019, 0.0347158", \ + "0.0794552, 0.0637516, 0.0615668, 0.0597815, 0.0579573, 0.0566823, 0.0561242", \ + "0.136176, 0.113721, 0.108948, 0.105584, 0.102988, 0.0994288, 0.0981187" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0371476, 0.0327742, 0.0323487, 0.0323244, 0.0317552, 0.0310915, 0.0299594", \ + "0.0376258, 0.0325329, 0.032357, 0.0321911, 0.0317876, 0.0309068, 0.0295517", \ + "0.0391976, 0.0336382, 0.0332356, 0.0328634, 0.0329492, 0.0324164, 0.0301801", \ + "0.0448102, 0.037766, 0.0373231, 0.0365993, 0.0359501, 0.0348684, 0.036303", \ + "0.0588463, 0.0485644, 0.04736, 0.0466566, 0.0456072, 0.0448322, 0.0429233", \ + "0.0893146, 0.0742477, 0.0721856, 0.0709018, 0.0681689, 0.0669275, 0.0665836", \ + "0.148507, 0.127569, 0.12398, 0.120315, 0.117687, 0.114316, 0.111351" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0214263, 0.021143, 0.0212405, 0.0212844, 0.0210241, 0.0203488, 0.0191782", \ + "0.0242851, 0.021857, 0.0217717, 0.0218888, 0.0217575, 0.0213244, 0.0197393", \ + "0.0279971, 0.024067, 0.0240339, 0.0235976, 0.023386, 0.0228172, 0.0223181", \ + "0.0357218, 0.0291633, 0.0286604, 0.0284645, 0.0281512, 0.0267086, 0.0281661", \ + "0.0513879, 0.0412501, 0.0400687, 0.0391365, 0.0386421, 0.0380402, 0.03569", \ + "0.0826662, 0.0669511, 0.0648587, 0.0626757, 0.0612361, 0.0596668, 0.0587823", \ + "0.142987, 0.12074, 0.116332, 0.113266, 0.110252, 0.106514, 0.104325" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0025045; + rise_capacitance : 0.00251064; + rise_capacitance_range (0.00251064, 0.00251064); + fall_capacitance : 0.00249836; + fall_capacitance_range (0.00249836, 0.00249836); + internal_power () { + when : "(B * C * !D) + (B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00081507, -0.00079861, -0.000798432, -0.00080025, -0.000798669, -0.000798095, -0.000794609" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00120196, 0.00119481, 0.00119567, 0.00119608, 0.00120102, 0.00120696, 0.00120447" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00081507, -0.00079861, -0.000798432, -0.00080025, -0.000798669, -0.000798095, -0.000794609" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00120196, 0.00119481, 0.00119567, 0.00119608, 0.00120102, 0.00120696, 0.00120447" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00251825; + rise_capacitance : 0.00257246; + rise_capacitance_range (0.00257246, 0.00257246); + fall_capacitance : 0.00246404; + fall_capacitance_range (0.00246404, 0.00246404); + internal_power () { + when : "(A * C * !D) + (A * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000680553, -0.000678778, -0.000676141, -0.000675915, -0.000675603, -0.000676623, -0.000675905" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000774217, 0.000776683, 0.000775658, 0.000776554, 0.000782317, 0.00078174, 0.000785181" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000680553, -0.000678778, -0.000676141, -0.000675915, -0.000675603, -0.000676623, -0.000675905" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000774217, 0.000776683, 0.000775658, 0.000776554, 0.000782317, 0.00078174, 0.000785181" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00295307; + rise_capacitance : 0.00308177; + rise_capacitance_range (0.00308177, 0.00308177); + fall_capacitance : 0.00282437; + fall_capacitance_range (0.00282437, 0.00282437); + internal_power () { + when : "(A * !B * D) + (!A * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00291216; + rise_capacitance : 0.0030674; + rise_capacitance_range (0.0030674, 0.0030674); + fall_capacitance : 0.00275692; + fall_capacitance_range (0.00275692, 0.00275692); + internal_power () { + when : "(A * !B * C) + (!A * C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00100104, 0.000960536, 0.000979392, 0.000961974, 0.00101862, 0.000993479, 0.000987273" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000343223, 0.000206755, 0.000165546, 0.000129937, 0.00010228, 7.50772e-05, 5.5954e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00100104, 0.000960536, 0.000979392, 0.000961974, 0.00101862, 0.000993479, 0.000987273" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000343223, 0.000206755, 0.000165546, 0.000129937, 0.00010228, 7.50772e-05, 5.5954e-05" \ + ); + } + } + } + } + cell (sg13g2_antennanp) { + area : 5.4432; + cell_footprint : "NP_ant"; + dont_touch : true; + dont_use : true; + cell_leakage_power : 8.1675; + leakage_power () { + value : 8.1675; + when : "A"; + } + leakage_power () { + value : 8.1675; + when : "!A"; + } + pin (A) { + direction : "input"; + capacitance : 0.00085757; + rise_capacitance : 0.000826026; + rise_capacitance_range (0.000826026, 0.000826026); + fall_capacitance : 0.000889114; + fall_capacitance_range (0.000889114, 0.000889114); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000682162, -0.000679637, -0.00068157, -0.000682824, -0.00068271, -0.000682526, -0.000682871" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000682162, 0.000679637, 0.00068157, 0.000682824, 0.00068271, 0.000682526, 0.000682871" \ + ); + } + } + } + } + cell (sg13g2_buf_1) { + area : 7.2576; + cell_footprint : "BU"; + cell_leakage_power : 797.553; + leakage_power () { + value : 883.216; + when : "!A&!X"; + } + leakage_power () { + value : 711.89; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0266056, 0.0582258, 0.0789211, 0.113021, 0.169972, 0.265164, 0.423125", \ + "0.0414713, 0.073913, 0.0945855, 0.128672, 0.185675, 0.280587, 0.438871", \ + "0.0507608, 0.0843959, 0.104941, 0.138892, 0.195815, 0.290907, 0.44897", \ + "0.0643722, 0.100823, 0.121405, 0.155448, 0.211871, 0.306598, 0.464705", \ + "0.0805258, 0.121826, 0.143062, 0.177629, 0.235103, 0.330042, 0.487503", \ + "0.100749, 0.148859, 0.172389, 0.208138, 0.267691, 0.364796, 0.523687", \ + "0.125189, 0.182666, 0.21026, 0.250278, 0.312708, 0.414809, 0.579888" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00811069, 0.0507747, 0.0816618, 0.132731, 0.218225, 0.36123, 0.598565", \ + "0.0111618, 0.051419, 0.0819749, 0.132926, 0.218401, 0.361231, 0.599222", \ + "0.013463, 0.0522318, 0.0823887, 0.133172, 0.218555, 0.361232, 0.599223", \ + "0.0173105, 0.0548093, 0.0839918, 0.134069, 0.219177, 0.361388, 0.599224", \ + "0.0236858, 0.0608278, 0.0887399, 0.1378, 0.221979, 0.363166, 0.599779", \ + "0.033884, 0.0724131, 0.0989241, 0.146414, 0.230084, 0.370627, 0.605332", \ + "0.050773, 0.09256, 0.118912, 0.164793, 0.246811, 0.38831, 0.623036" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0275482, 0.0557807, 0.0734534, 0.102503, 0.151036, 0.232109, 0.366519", \ + "0.043639, 0.07323, 0.0909524, 0.120106, 0.168741, 0.249565, 0.384313", \ + "0.0525684, 0.0833039, 0.100766, 0.129664, 0.178286, 0.25908, 0.393621", \ + "0.0636714, 0.0968513, 0.114953, 0.143963, 0.192552, 0.273471, 0.40818", \ + "0.0806453, 0.11826, 0.137243, 0.167119, 0.216483, 0.29724, 0.431983", \ + "0.105708, 0.149877, 0.170854, 0.202712, 0.253555, 0.336853, 0.47317", \ + "0.131885, 0.184663, 0.209997, 0.245508, 0.300213, 0.388212, 0.530344" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00750845, 0.0412461, 0.0656406, 0.10631, 0.174589, 0.288458, 0.477854", \ + "0.010478, 0.0423672, 0.0663453, 0.106741, 0.174804, 0.288459, 0.477869", \ + "0.0126438, 0.0436177, 0.0670438, 0.10716, 0.175097, 0.288608, 0.478001", \ + "0.016309, 0.0460837, 0.06889, 0.108206, 0.175701, 0.289059, 0.478216", \ + "0.0230161, 0.0523665, 0.0739774, 0.112208, 0.178591, 0.290856, 0.479097", \ + "0.0334835, 0.063939, 0.084434, 0.122003, 0.187541, 0.298766, 0.485003", \ + "0.050765, 0.084444, 0.104777, 0.140704, 0.205598, 0.318398, 0.50448" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0110637, 0.011674, 0.0117139, 0.0116416, 0.011435, 0.0111752, 0.0103678", \ + "0.0130033, 0.012993, 0.0129304, 0.0131126, 0.0127461, 0.0123889, 0.0119324", \ + "0.0158872, 0.0153673, 0.015372, 0.0151897, 0.0150941, 0.0145079, 0.0142965", \ + "0.022331, 0.0208699, 0.020741, 0.0206121, 0.020269, 0.0197847, 0.0199495", \ + "0.0357015, 0.0328435, 0.0324303, 0.0320121, 0.0317424, 0.0313669, 0.0302312", \ + "0.062717, 0.0578394, 0.0569897, 0.0560307, 0.0553989, 0.0546597, 0.0537827", \ + "0.116636, 0.108748, 0.107309, 0.105839, 0.103875, 0.102627, 0.101153" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109135, 0.0116617, 0.0117677, 0.0116136, 0.0114562, 0.0112336, 0.0104748", \ + "0.0131954, 0.0133164, 0.0133184, 0.0132532, 0.0134055, 0.0127817, 0.0122668", \ + "0.0161777, 0.0158128, 0.0157457, 0.0156285, 0.0153811, 0.0152816, 0.0143239", \ + "0.0221659, 0.0208213, 0.0208853, 0.0208655, 0.0208579, 0.020395, 0.0206089", \ + "0.0353494, 0.0324402, 0.0321294, 0.0318934, 0.0318254, 0.031548, 0.031122", \ + "0.0620233, 0.056654, 0.0558562, 0.0553872, 0.0544579, 0.0540667, 0.0535322", \ + "0.115371, 0.106963, 0.105366, 0.103881, 0.102466, 0.100866, 0.100002" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00264095; + rise_capacitance : 0.00268409; + rise_capacitance_range (0.00268409, 0.00268409); + fall_capacitance : 0.00259782; + fall_capacitance_range (0.00259782, 0.00259782); + } + } + cell (sg13g2_buf_16) { + area : 45.36; + cell_footprint : "BU"; + cell_leakage_power : 10319.3; + leakage_power () { + value : 7714.47; + when : "A&X"; + } + leakage_power () { + value : 12924.2; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 4.8; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0310307, 0.0670987, 0.0879946, 0.122279, 0.179717, 0.274785, 0.433553", \ + "0.0500191, 0.0872461, 0.107974, 0.142288, 0.199422, 0.294664, 0.453374", \ + "0.0621024, 0.100514, 0.121237, 0.155106, 0.212235, 0.307438, 0.465958", \ + "0.0797741, 0.120975, 0.14172, 0.175584, 0.232309, 0.326904, 0.485337", \ + "0.102016, 0.148082, 0.169823, 0.203965, 0.260775, 0.355708, 0.513143", \ + "0.130468, 0.183005, 0.207158, 0.242822, 0.301146, 0.397943, 0.55677", \ + "0.165753, 0.22677, 0.254899, 0.295307, 0.356587, 0.457515, 0.622005" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00813574, 0.0523748, 0.0832618, 0.134678, 0.22121, 0.364932, 0.604814", \ + "0.0116635, 0.0535024, 0.0837899, 0.134939, 0.221211, 0.366249, 0.60684", \ + "0.0146034, 0.0548023, 0.0845526, 0.135309, 0.22133, 0.36625, 0.606841", \ + "0.0198046, 0.057941, 0.0864535, 0.136351, 0.22193, 0.366251, 0.606842", \ + "0.0282293, 0.0656641, 0.0921641, 0.140216, 0.224464, 0.367009, 0.606843", \ + "0.0417161, 0.079474, 0.104565, 0.150085, 0.232663, 0.373879, 0.610943", \ + "0.063276, 0.102762, 0.127952, 0.171327, 0.250571, 0.391294, 0.62797" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0333177, 0.0667799, 0.08488, 0.1143, 0.163376, 0.244768, 0.380613", \ + "0.0540274, 0.0889291, 0.107041, 0.136546, 0.185514, 0.266938, 0.402737", \ + "0.0658849, 0.102064, 0.120392, 0.149706, 0.198647, 0.28018, 0.415992", \ + "0.081768, 0.120687, 0.139162, 0.168539, 0.217287, 0.298812, 0.434473", \ + "0.1061, 0.149134, 0.169127, 0.198761, 0.247896, 0.329257, 0.4646", \ + "0.14158, 0.190674, 0.212598, 0.244771, 0.29543, 0.378628, 0.514528", \ + "0.183037, 0.239918, 0.265796, 0.302379, 0.357215, 0.443441, 0.585432" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00848845, 0.0440087, 0.0683791, 0.109323, 0.178444, 0.293855, 0.486299", \ + "0.0123219, 0.0456913, 0.0693766, 0.109746, 0.178592, 0.293991, 0.486645", \ + "0.0152218, 0.0473152, 0.0705024, 0.11042, 0.17899, 0.294021, 0.486646", \ + "0.0203813, 0.0511384, 0.0730294, 0.111894, 0.17976, 0.294566, 0.486647", \ + "0.0289225, 0.0586332, 0.0797014, 0.116534, 0.182811, 0.296315, 0.487579", \ + "0.04237, 0.0728, 0.092677, 0.128081, 0.192246, 0.303877, 0.49257", \ + "0.063733, 0.096341, 0.115907, 0.150442, 0.212889, 0.323224, 0.511614" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.156409, 0.162809, 0.16246, 0.161495, 0.160343, 0.152033, 0.141696", \ + "0.183646, 0.170362, 0.169574, 0.173375, 0.165792, 0.165336, 0.16265", \ + "0.216019, 0.188848, 0.188861, 0.184997, 0.184545, 0.17385, 0.171499", \ + "0.283624, 0.234467, 0.234106, 0.230687, 0.223916, 0.211299, 0.21654", \ + "0.420199, 0.340241, 0.332556, 0.325446, 0.318623, 0.31365, 0.288803", \ + "0.682621, 0.559257, 0.543178, 0.529422, 0.52016, 0.509413, 0.499092", \ + "1.18935, 1.0057, 0.978889, 0.954177, 0.926687, 0.914814, 0.897403" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.154945, 0.158324, 0.160006, 0.159525, 0.157061, 0.1521, 0.140845", \ + "0.185514, 0.169184, 0.167704, 0.169612, 0.171194, 0.163184, 0.154169", \ + "0.219616, 0.188977, 0.190535, 0.187953, 0.186167, 0.185634, 0.179053", \ + "0.285208, 0.233675, 0.230759, 0.230985, 0.230214, 0.21925, 0.227689", \ + "0.41782, 0.331543, 0.327204, 0.321611, 0.320323, 0.318246, 0.302317", \ + "0.675878, 0.546613, 0.53335, 0.522201, 0.511343, 0.502662, 0.496046", \ + "1.17568, 0.991732, 0.957892, 0.934529, 0.917317, 0.890974, 0.883359" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0194505; + rise_capacitance : 0.0198083; + rise_capacitance_range (0.0198083, 0.0198083); + fall_capacitance : 0.0190927; + fall_capacitance_range (0.0190927, 0.0190927); + } + } + cell (sg13g2_buf_2) { + area : 9.072; + cell_footprint : "BU"; + cell_leakage_power : 1336.1; + leakage_power () { + value : 1643.58; + when : "!A&!X"; + } + leakage_power () { + value : 1028.62; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0302623, 0.0643393, 0.0851, 0.119235, 0.176534, 0.27114, 0.429755", \ + "0.0484574, 0.0837377, 0.104402, 0.138491, 0.195469, 0.290388, 0.448541", \ + "0.0601782, 0.0966876, 0.117195, 0.150925, 0.207759, 0.302791, 0.460527", \ + "0.0773123, 0.116726, 0.137251, 0.170703, 0.227341, 0.321761, 0.479671", \ + "0.0990653, 0.143346, 0.164405, 0.198703, 0.255169, 0.349726, 0.507036", \ + "0.126884, 0.177734, 0.202055, 0.237142, 0.295382, 0.391756, 0.550254", \ + "0.163301, 0.222542, 0.250414, 0.290519, 0.35094, 0.450824, 0.614865" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00821192, 0.0513788, 0.0828117, 0.133498, 0.219829, 0.362897, 0.601924", \ + "0.0115799, 0.0523869, 0.0828127, 0.133748, 0.21983, 0.363942, 0.602157", \ + "0.014308, 0.0535559, 0.0833407, 0.134092, 0.219933, 0.363943, 0.602158", \ + "0.0190952, 0.0566017, 0.085156, 0.135081, 0.220397, 0.363944, 0.602159", \ + "0.0268435, 0.0637259, 0.0902042, 0.138791, 0.222838, 0.365059, 0.602969", \ + "0.0395163, 0.07717, 0.102555, 0.148083, 0.230683, 0.371568, 0.607588", \ + "0.059773, 0.099382, 0.124687, 0.168925, 0.247914, 0.388406, 0.624283" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0315899, 0.0626859, 0.0804507, 0.109505, 0.157969, 0.238592, 0.373061", \ + "0.0510726, 0.0835837, 0.101526, 0.130613, 0.179051, 0.259683, 0.394065", \ + "0.0618354, 0.0958933, 0.113605, 0.142593, 0.191089, 0.271678, 0.405927", \ + "0.076106, 0.112652, 0.131017, 0.159926, 0.208326, 0.288795, 0.423089", \ + "0.0976624, 0.138527, 0.157717, 0.187384, 0.235911, 0.316277, 0.450357", \ + "0.128239, 0.175193, 0.196864, 0.228029, 0.278246, 0.36021, 0.495481", \ + "0.16175, 0.216528, 0.241886, 0.27784, 0.331405, 0.417633, 0.558345" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00809496, 0.0422812, 0.0663511, 0.106857, 0.174825, 0.28856, 0.477725", \ + "0.011783, 0.0437844, 0.067314, 0.107241, 0.175113, 0.288561, 0.477843", \ + "0.01439, 0.0456221, 0.0683454, 0.107767, 0.175402, 0.288689, 0.477844", \ + "0.0191828, 0.0487609, 0.0707457, 0.109087, 0.17603, 0.289192, 0.478222", \ + "0.0271694, 0.0561694, 0.0765046, 0.113524, 0.17886, 0.290724, 0.479112", \ + "0.039831, 0.069419, 0.089232, 0.124069, 0.187843, 0.298033, 0.484476", \ + "0.060026, 0.09187, 0.1112, 0.145577, 0.207205, 0.316629, 0.501999" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0195947, 0.0206277, 0.0209223, 0.0204563, 0.0203967, 0.0192793, 0.0183989", \ + "0.0228642, 0.021825, 0.0217228, 0.0220784, 0.0212572, 0.0209464, 0.0194026", \ + "0.0271064, 0.0244718, 0.024421, 0.0237858, 0.0237713, 0.0228303, 0.0229204", \ + "0.0360936, 0.0309768, 0.0307516, 0.0305477, 0.0298242, 0.0286375, 0.0297634", \ + "0.0548515, 0.0457796, 0.0447626, 0.0440453, 0.0432854, 0.0422744, 0.0401106", \ + "0.0911982, 0.0771368, 0.0750619, 0.0731183, 0.0715578, 0.0705701, 0.0688504", \ + "0.1623, 0.140882, 0.137315, 0.134965, 0.130564, 0.12809, 0.125387" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0193878, 0.0204129, 0.0204507, 0.0204635, 0.0200174, 0.0195089, 0.0183091", \ + "0.0231678, 0.0219881, 0.0218559, 0.0219053, 0.0218475, 0.0209226, 0.0195727", \ + "0.027653, 0.024991, 0.0248689, 0.0246526, 0.0244362, 0.024738, 0.0223166", \ + "0.0362704, 0.0309319, 0.0310043, 0.0308314, 0.0308034, 0.0297271, 0.0309625", \ + "0.0543631, 0.0452233, 0.0441569, 0.0438682, 0.043486, 0.0431109, 0.0419531", \ + "0.0900171, 0.0751173, 0.0736305, 0.0719688, 0.0706012, 0.0693648, 0.0686089", \ + "0.159728, 0.138014, 0.134116, 0.131571, 0.128981, 0.125375, 0.12439" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0029677; + rise_capacitance : 0.00301828; + rise_capacitance_range (0.00301828, 0.00301828); + fall_capacitance : 0.00291712; + fall_capacitance_range (0.00291712, 0.00291712); + } + } + cell (sg13g2_buf_4) { + area : 14.5152; + cell_footprint : "BU"; + cell_leakage_power : 2412.18; + leakage_power () { + value : 1614.29; + when : "A&X"; + } + leakage_power () { + value : 3210.06; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 1.2; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0383178, 0.0751898, 0.0960791, 0.130187, 0.187235, 0.281853, 0.439796", \ + "0.0618453, 0.0999476, 0.120701, 0.154796, 0.21166, 0.306446, 0.464283", \ + "0.0779648, 0.117503, 0.138362, 0.172075, 0.228873, 0.323462, 0.481337", \ + "0.103726, 0.145838, 0.166554, 0.200178, 0.256121, 0.350211, 0.507719", \ + "0.141225, 0.187575, 0.208957, 0.243114, 0.29962, 0.393658, 0.550251", \ + "0.198373, 0.250961, 0.275263, 0.311433, 0.369367, 0.463813, 0.622252", \ + "0.289338, 0.349441, 0.378421, 0.41858, 0.479104, 0.57856, 0.741093" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0102634, 0.052994, 0.0831691, 0.133956, 0.219481, 0.361735, 0.599239", \ + "0.0141938, 0.0544397, 0.0839662, 0.13427, 0.219482, 0.363615, 0.599993", \ + "0.0172541, 0.0561918, 0.0850491, 0.134782, 0.219747, 0.363616, 0.599994", \ + "0.0224313, 0.0599675, 0.0873517, 0.136013, 0.220325, 0.363617, 0.599995", \ + "0.0314088, 0.0677596, 0.0934076, 0.140176, 0.222889, 0.363934, 0.600305", \ + "0.045849, 0.082623, 0.107037, 0.151294, 0.231336, 0.370285, 0.604849", \ + "0.068723, 0.106915, 0.132004, 0.174114, 0.251005, 0.388223, 0.621343" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.032424, 0.0648516, 0.0828575, 0.112235, 0.161356, 0.242667, 0.378609", \ + "0.0521318, 0.0859021, 0.104001, 0.133425, 0.18237, 0.263821, 0.399454", \ + "0.0622081, 0.0975232, 0.115369, 0.14466, 0.193598, 0.275096, 0.410688", \ + "0.0737574, 0.111974, 0.130093, 0.15931, 0.208077, 0.289392, 0.42487", \ + "0.0884771, 0.130547, 0.149873, 0.179816, 0.228692, 0.309903, 0.445108", \ + "0.102189, 0.150284, 0.172196, 0.203905, 0.253962, 0.336643, 0.472856", \ + "0.10219, 0.15284, 0.178745, 0.214572, 0.268708, 0.354387, 0.495344" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00837767, 0.0432572, 0.0676896, 0.108522, 0.177348, 0.292044, 0.483525", \ + "0.0124522, 0.044909, 0.0685506, 0.108819, 0.177385, 0.292064, 0.48577", \ + "0.0155187, 0.0469955, 0.0697771, 0.109487, 0.177721, 0.292162, 0.485771", \ + "0.0210331, 0.0509327, 0.07236, 0.110942, 0.178522, 0.292693, 0.485772", \ + "0.0300543, 0.0587198, 0.0786551, 0.115648, 0.1814, 0.294387, 0.485773", \ + "0.0442863, 0.073247, 0.092447, 0.126866, 0.190316, 0.301434, 0.489613", \ + "0.066801, 0.097719, 0.11663, 0.149244, 0.209951, 0.319081, 0.50692" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0389518, 0.0384514, 0.0387298, 0.0385923, 0.0381175, 0.036431, 0.0338549", \ + "0.0457222, 0.0402205, 0.0399219, 0.040354, 0.0391966, 0.0395735, 0.0366351", \ + "0.0533292, 0.0444656, 0.0444169, 0.0430357, 0.0434352, 0.0414589, 0.038841", \ + "0.0683536, 0.0541699, 0.0531001, 0.0524099, 0.051481, 0.0483072, 0.0482761", \ + "0.0990668, 0.076619, 0.0744408, 0.0727386, 0.0710756, 0.0688276, 0.061626", \ + "0.157421, 0.123647, 0.11951, 0.116093, 0.112312, 0.109186, 0.107589", \ + "0.269206, 0.220649, 0.21289, 0.20577, 0.199011, 0.194305, 0.189734" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0380983, 0.0396029, 0.0398176, 0.0398473, 0.0393766, 0.0378722, 0.0354599", \ + "0.045103, 0.0411875, 0.0409276, 0.0413104, 0.0426202, 0.039658, 0.0411161", \ + "0.0529058, 0.045502, 0.0450411, 0.0445339, 0.0439124, 0.043583, 0.041209", \ + "0.0674241, 0.0543563, 0.0535083, 0.0534341, 0.053287, 0.0504256, 0.0512244", \ + "0.0965878, 0.0743832, 0.0721143, 0.0718775, 0.0711831, 0.0710206, 0.0678449", \ + "0.152674, 0.118573, 0.11495, 0.112525, 0.109321, 0.10833, 0.106466", \ + "0.259796, 0.211571, 0.202705, 0.196019, 0.191867, 0.186087, 0.18422" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00421503; + rise_capacitance : 0.00429091; + rise_capacitance_range (0.00429091, 0.00429091); + fall_capacitance : 0.00413914; + fall_capacitance_range (0.00413914, 0.00413914); + } + } + cell (sg13g2_buf_8) { + area : 23.5872; + cell_footprint : "BU"; + cell_leakage_power : 5159.68; + leakage_power () { + value : 3857.26; + when : "A&X"; + } + leakage_power () { + value : 6462.1; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 2.4; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0306388, 0.0661867, 0.0870341, 0.121299, 0.178724, 0.273649, 0.432576", \ + "0.0495006, 0.0863272, 0.106973, 0.141166, 0.198388, 0.2936, 0.452084", \ + "0.0615872, 0.0996062, 0.120212, 0.153997, 0.211024, 0.306013, 0.464437", \ + "0.0791648, 0.120097, 0.140683, 0.174319, 0.231013, 0.325709, 0.484085", \ + "0.10122, 0.147174, 0.168694, 0.202386, 0.259622, 0.353863, 0.512112", \ + "0.129422, 0.181943, 0.205739, 0.241162, 0.299494, 0.396038, 0.55488", \ + "0.164859, 0.225388, 0.253661, 0.293751, 0.355064, 0.45593, 0.620121" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0079589, 0.0518449, 0.0828398, 0.134054, 0.220444, 0.363794, 0.603307", \ + "0.0115606, 0.0529858, 0.0832242, 0.134295, 0.220445, 0.36425, 0.605224", \ + "0.014529, 0.0542279, 0.0839587, 0.134633, 0.220566, 0.364251, 0.605225", \ + "0.0197424, 0.0574286, 0.0858471, 0.135745, 0.221139, 0.364342, 0.605226", \ + "0.0282217, 0.0649695, 0.0915212, 0.139698, 0.223748, 0.366084, 0.605227", \ + "0.041773, 0.079079, 0.104079, 0.149679, 0.231892, 0.372836, 0.609296", \ + "0.063252, 0.102407, 0.127655, 0.170806, 0.249736, 0.390187, 0.626426" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0328392, 0.0659243, 0.0840647, 0.113638, 0.163009, 0.244829, 0.38162", \ + "0.0535584, 0.0880537, 0.106269, 0.13587, 0.185118, 0.267004, 0.403564", \ + "0.0653253, 0.101417, 0.119529, 0.148946, 0.19822, 0.280136, 0.416627", \ + "0.0804621, 0.119152, 0.137561, 0.167052, 0.216119, 0.297995, 0.434475", \ + "0.105311, 0.148331, 0.167803, 0.197691, 0.24729, 0.328891, 0.464986", \ + "0.140575, 0.189343, 0.211307, 0.243275, 0.294262, 0.377517, 0.514714", \ + "0.181709, 0.238319, 0.264291, 0.300412, 0.35567, 0.442358, 0.585014" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00828857, 0.0436129, 0.0682178, 0.109107, 0.178434, 0.293733, 0.486336", \ + "0.012204, 0.045245, 0.0689731, 0.109564, 0.178475, 0.293878, 0.486719", \ + "0.0151187, 0.0471288, 0.0701692, 0.110177, 0.178825, 0.294017, 0.48672", \ + "0.020479, 0.050858, 0.0726867, 0.111641, 0.179575, 0.294547, 0.486721", \ + "0.0288534, 0.0585666, 0.0789979, 0.116166, 0.182628, 0.296301, 0.487741", \ + "0.042293, 0.072436, 0.092324, 0.127521, 0.191846, 0.3039, 0.493045", \ + "0.063626, 0.096061, 0.11565, 0.149591, 0.212607, 0.323015, 0.511757" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0768595, 0.0804633, 0.0805867, 0.0798738, 0.0794422, 0.0754725, 0.0714873", \ + "0.0903079, 0.0837563, 0.083138, 0.0849995, 0.08157, 0.0795956, 0.0804264", \ + "0.106714, 0.0932381, 0.0931168, 0.0908718, 0.0904166, 0.0862482, 0.0851719", \ + "0.140789, 0.116056, 0.114948, 0.114374, 0.111637, 0.105415, 0.111231", \ + "0.209202, 0.167955, 0.164537, 0.162031, 0.160065, 0.154219, 0.145668", \ + "0.340714, 0.278097, 0.269931, 0.263986, 0.25806, 0.254521, 0.24744", \ + "0.593827, 0.50152, 0.48879, 0.476542, 0.461793, 0.456238, 0.447127" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.076047, 0.0781544, 0.0793009, 0.0787687, 0.077978, 0.0746664, 0.0705456", \ + "0.091394, 0.0835374, 0.0826051, 0.0835099, 0.085128, 0.0815274, 0.0753945", \ + "0.108443, 0.0940185, 0.0940868, 0.0924899, 0.092449, 0.0940395, 0.0842356", \ + "0.141366, 0.11512, 0.113663, 0.113794, 0.113875, 0.107954, 0.112687", \ + "0.207603, 0.165301, 0.161069, 0.159303, 0.159233, 0.15775, 0.150196", \ + "0.336567, 0.271202, 0.264988, 0.258621, 0.253262, 0.248016, 0.24619", \ + "0.586562, 0.494247, 0.477636, 0.4643, 0.457021, 0.443303, 0.438731" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00975204; + rise_capacitance : 0.00993022; + rise_capacitance_range (0.00993022, 0.00993022); + fall_capacitance : 0.00957387; + fall_capacitance_range (0.00957387, 0.00957387); + } + } + cell (sg13g2_decap_4) { + area : 7.2576; + cell_footprint : "DECAP"; + dont_touch : true; + dont_use : true; + is_decap_cell : true; + cell_leakage_power : 5984.39; + } + cell (sg13g2_decap_8) { + area : 12.7008; + cell_footprint : "DECAP"; + dont_touch : true; + dont_use : true; + is_decap_cell : true; + cell_leakage_power : 11968.8; + } + cell (sg13g2_dfrbp_1) { + area : 47.1744; + cell_footprint : "dffrr"; + cell_leakage_power : 4489.18; + leakage_power () { + value : 3730.32; + when : "!CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 3675.26; + when : "!CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 3938.81; + when : "CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 3883.75; + when : "CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 4669.86; + when : "!CLK&!D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 4451.23; + when : "!CLK&!D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 4995.95; + when : "!CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 4905.51; + when : "!CLK&D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 4846.19; + when : "CLK&!D&RESET_B&Q*!Q_N"; + } + leakage_power () { + value : 4659.22; + when : "CLK&!D&RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 5112.3; + when : "CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 5001.77; + when : "CLK&D&RESET_B&!Q&Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.092736, 0.121651, 0.142068, 0.175845, 0.232428, 0.32678, 0.484022", \ + "0.111777, 0.140643, 0.16104, 0.194811, 0.251376, 0.345714, 0.502848", \ + "0.123909, 0.152768, 0.173154, 0.206909, 0.263496, 0.357782, 0.515078", \ + "0.141941, 0.17072, 0.19109, 0.22484, 0.281415, 0.375726, 0.532914", \ + "0.16408, 0.192568, 0.212921, 0.246668, 0.303247, 0.397548, 0.554754", \ + "0.189674, 0.217625, 0.237856, 0.271549, 0.328104, 0.422342, 0.579534", \ + "0.215549, 0.242657, 0.262772, 0.296345, 0.352799, 0.447049, 0.604243" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0105559, 0.0509461, 0.0813843, 0.132105, 0.217041, 0.358845, 0.595154", \ + "0.0105569, 0.0509471, 0.0813853, 0.132106, 0.217042, 0.359796, 0.595155", \ + "0.0106111, 0.0509481, 0.0813863, 0.132107, 0.217043, 0.359797, 0.5952", \ + "0.0106613, 0.0509491, 0.0813873, 0.132108, 0.217044, 0.359798, 0.595201", \ + "0.0108839, 0.0509501, 0.0813883, 0.132109, 0.217081, 0.359799, 0.595202", \ + "0.011374, 0.051018, 0.081393, 0.13211, 0.217082, 0.3598, 0.595203", \ + "0.01237, 0.051228, 0.08152, 0.132141, 0.217083, 0.359801, 0.595223" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0902947, 0.116788, 0.134271, 0.163107, 0.211246, 0.291514, 0.425337", \ + "0.109002, 0.135511, 0.152993, 0.181776, 0.229925, 0.310209, 0.444014", \ + "0.120756, 0.147252, 0.164737, 0.193525, 0.241726, 0.321929, 0.455691", \ + "0.137485, 0.163983, 0.181463, 0.210244, 0.258417, 0.338674, 0.472401", \ + "0.156611, 0.183104, 0.200575, 0.229376, 0.277538, 0.357809, 0.491528", \ + "0.176511, 0.202964, 0.220446, 0.249234, 0.297401, 0.377674, 0.511521", \ + "0.193335, 0.219778, 0.237245, 0.266027, 0.314199, 0.394473, 0.528262" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0090775, 0.0414496, 0.0654996, 0.105766, 0.173425, 0.286341, 0.474742", \ + "0.0090785, 0.0414506, 0.0655006, 0.105767, 0.173426, 0.28656, 0.475168", \ + "0.0090986, 0.041459, 0.0655016, 0.105768, 0.17343, 0.286561, 0.475169", \ + "0.0090996, 0.0414688, 0.0655026, 0.105769, 0.173431, 0.286562, 0.47517", \ + "0.0091006, 0.0414698, 0.0655036, 0.10577, 0.17347, 0.286563, 0.475171", \ + "0.0091016, 0.0414708, 0.0655046, 0.105771, 0.173471, 0.286564, 0.475172", \ + "0.009107, 0.0414718, 0.0655056, 0.105772, 0.17355, 0.286565, 0.475173" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.117602, 0.144045, 0.161454, 0.190261, 0.238472, 0.318708, 0.452604", \ + "0.146221, 0.172643, 0.190134, 0.21892, 0.267189, 0.347449, 0.481378", \ + "0.165231, 0.191675, 0.209117, 0.23795, 0.286133, 0.366465, 0.500253", \ + "0.193102, 0.219545, 0.237002, 0.265829, 0.314011, 0.394358, 0.528052", \ + "0.238063, 0.26443, 0.281915, 0.310682, 0.358905, 0.439215, 0.57302", \ + "0.307809, 0.334084, 0.351515, 0.380316, 0.428492, 0.508817, 0.642596", \ + "0.408395, 0.434596, 0.452034, 0.480789, 0.528985, 0.609293, 0.743123" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0089974, 0.0414101, 0.065458, 0.105733, 0.173393, 0.286473, 0.474896", \ + "0.0090298, 0.0414111, 0.065459, 0.105734, 0.173402, 0.286474, 0.475561", \ + "0.0090426, 0.0414121, 0.06546, 0.105735, 0.173403, 0.286475, 0.475562", \ + "0.0090579, 0.0414297, 0.065461, 0.105736, 0.173415, 0.286476, 0.475563", \ + "0.0091364, 0.041447, 0.065462, 0.105745, 0.173464, 0.286477, 0.475564", \ + "0.009236, 0.041484, 0.065504, 0.105746, 0.173465, 0.286478, 0.475565", \ + "0.009352, 0.041527, 0.065505, 0.105747, 0.173467, 0.286483, 0.475566" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.062438, 0.0924606, 0.113729, 0.148814, 0.20738, 0.304873, 0.467373", \ + "0.06423, 0.0941024, 0.115407, 0.150831, 0.209442, 0.307365, 0.469015", \ + "0.0674413, 0.0973295, 0.118642, 0.153644, 0.212561, 0.310021, 0.472624", \ + "0.0753361, 0.104987, 0.126346, 0.161618, 0.220222, 0.317632, 0.481781", \ + "0.0922839, 0.121403, 0.142713, 0.177946, 0.236837, 0.334642, 0.497015", \ + "0.127475, 0.155818, 0.17673, 0.211913, 0.270602, 0.368679, 0.53185", \ + "0.198598, 0.225604, 0.2465, 0.281488, 0.339605, 0.437819, 0.600821" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0606664, 0.0910589, 0.112299, 0.147264, 0.205582, 0.302532, 0.464458", \ + "0.062828, 0.0932752, 0.114416, 0.149896, 0.207776, 0.305578, 0.46652", \ + "0.0660141, 0.0966012, 0.117963, 0.152642, 0.211217, 0.31111, 0.470128", \ + "0.0736599, 0.104098, 0.125599, 0.160847, 0.219547, 0.316169, 0.479875", \ + "0.0896875, 0.119998, 0.141446, 0.176438, 0.235624, 0.333456, 0.494625", \ + "0.122541, 0.152838, 0.174027, 0.208818, 0.268023, 0.366019, 0.529353", \ + "0.18905, 0.21928, 0.240278, 0.275285, 0.333958, 0.431038, 0.594432" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0426397, 0.0730334, 0.0942287, 0.129166, 0.187472, 0.284584, 0.446179", \ + "0.0442507, 0.0745581, 0.0958516, 0.131299, 0.189332, 0.28616, 0.44845", \ + "0.0463993, 0.0768223, 0.0979714, 0.132944, 0.191868, 0.28832, 0.450312", \ + "0.0508653, 0.081136, 0.102422, 0.137696, 0.195768, 0.293098, 0.457831", \ + "0.0593543, 0.0892727, 0.110713, 0.145807, 0.204652, 0.302546, 0.463868", \ + "0.0743859, 0.103963, 0.12497, 0.159985, 0.219053, 0.316989, 0.480381", \ + "0.100771, 0.129881, 0.150878, 0.185916, 0.244467, 0.341793, 0.505427" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0699341, 0.110963, 0.132835, 0.167351, 0.224179, 0.318552, 0.475688", \ + "0.0886503, 0.129624, 0.151613, 0.186066, 0.242796, 0.337126, 0.494384", \ + "0.100413, 0.141359, 0.163305, 0.197703, 0.254514, 0.34886, 0.505988", \ + "0.117134, 0.158032, 0.179928, 0.214361, 0.271172, 0.365567, 0.522596", \ + "0.13614, 0.176861, 0.198739, 0.233172, 0.289991, 0.384398, 0.541484", \ + "0.156226, 0.196762, 0.218556, 0.253013, 0.309771, 0.404128, 0.561194", \ + "0.172953, 0.213439, 0.235218, 0.26957, 0.32631, 0.420694, 0.577835" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0143753, 0.0577006, 0.0860371, 0.134661, 0.218413, 0.359546, 0.595423", \ + "0.0143766, 0.0577016, 0.0860381, 0.134662, 0.218414, 0.360319, 0.595488", \ + "0.0143776, 0.0577026, 0.086093, 0.134663, 0.218415, 0.36032, 0.59558", \ + "0.0143786, 0.0577036, 0.086094, 0.134664, 0.218416, 0.360321, 0.595581", \ + "0.0143796, 0.0577046, 0.086095, 0.134665, 0.218417, 0.360322, 0.595582", \ + "0.0143806, 0.0577056, 0.086096, 0.134666, 0.218418, 0.360323, 0.595583", \ + "0.014591, 0.0577066, 0.086097, 0.134667, 0.218419, 0.360324, 0.595584" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.071047, 0.112596, 0.132952, 0.163564, 0.212675, 0.293749, 0.428546", \ + "0.0901272, 0.131613, 0.151868, 0.182612, 0.231734, 0.312681, 0.447581", \ + "0.102137, 0.143691, 0.164094, 0.194698, 0.243929, 0.324874, 0.459661", \ + "0.119974, 0.161634, 0.182045, 0.212696, 0.261893, 0.342874, 0.477649", \ + "0.141464, 0.183703, 0.204178, 0.23498, 0.284206, 0.365213, 0.499984", \ + "0.165889, 0.209713, 0.230436, 0.26132, 0.310556, 0.391647, 0.526416", \ + "0.189478, 0.23666, 0.257929, 0.289079, 0.338549, 0.419639, 0.55446" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0152771, 0.0526692, 0.0746276, 0.112157, 0.177978, 0.290252, 0.47973", \ + "0.0153773, 0.0526702, 0.0746286, 0.112194, 0.177979, 0.290318, 0.480051", \ + "0.0155452, 0.0527369, 0.0747049, 0.112207, 0.17798, 0.290319, 0.480052", \ + "0.0159856, 0.0529775, 0.0748833, 0.112316, 0.178021, 0.29032, 0.480053", \ + "0.0172398, 0.0536914, 0.0753702, 0.112611, 0.178182, 0.290412, 0.480054", \ + "0.019786, 0.0554, 0.076646, 0.113379, 0.178561, 0.290575, 0.480055", \ + "0.023925, 0.059324, 0.079591, 0.115123, 0.179467, 0.291104, 0.480056" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0974444, 0.137451, 0.159142, 0.193462, 0.250244, 0.344584, 0.501649", \ + "0.126016, 0.166021, 0.187713, 0.22202, 0.278784, 0.373167, 0.530303", \ + "0.144976, 0.185004, 0.206684, 0.240943, 0.297707, 0.392052, 0.549136", \ + "0.172815, 0.212845, 0.234489, 0.268813, 0.325543, 0.419882, 0.576905", \ + "0.217571, 0.257748, 0.279408, 0.313784, 0.370563, 0.464826, 0.6219", \ + "0.287175, 0.327584, 0.349252, 0.38361, 0.440404, 0.534726, 0.691823", \ + "0.387589, 0.428127, 0.449756, 0.484075, 0.540813, 0.635135, 0.792318" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0144247, 0.0567145, 0.0853701, 0.134287, 0.218274, 0.359498, 0.595288", \ + "0.0144672, 0.0567394, 0.0853711, 0.134288, 0.218276, 0.360321, 0.595492", \ + "0.014634, 0.0568326, 0.0853721, 0.134289, 0.218277, 0.360322, 0.596145", \ + "0.0148718, 0.05691, 0.0854081, 0.13429, 0.218278, 0.360323, 0.596146", \ + "0.0152484, 0.0571303, 0.0856265, 0.134395, 0.218279, 0.360324, 0.596147", \ + "0.015882, 0.05756, 0.085814, 0.134508, 0.218311, 0.360325, 0.596148", \ + "0.01671, 0.057916, 0.086041, 0.134591, 0.218312, 0.360326, 0.596149" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0607276, 0.0912971, 0.112556, 0.147632, 0.206292, 0.303714, 0.46614", \ + "0.0627242, 0.0932867, 0.114638, 0.150203, 0.208731, 0.306421, 0.468198", \ + "0.0660358, 0.0967072, 0.118011, 0.152992, 0.211993, 0.30975, 0.473308", \ + "0.0736382, 0.104267, 0.125612, 0.160934, 0.219664, 0.316902, 0.48078", \ + "0.0896579, 0.120213, 0.141558, 0.176837, 0.23575, 0.333601, 0.49593", \ + "0.122504, 0.152996, 0.174103, 0.209345, 0.268092, 0.366296, 0.529573", \ + "0.189093, 0.219265, 0.240431, 0.275738, 0.333952, 0.43228, 0.595265" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0624452, 0.0924719, 0.113542, 0.148401, 0.20682, 0.303791, 0.465624", \ + "0.0642689, 0.0940146, 0.115223, 0.150591, 0.208524, 0.305603, 0.467373", \ + "0.0674703, 0.0974074, 0.11863, 0.153224, 0.21191, 0.309491, 0.470558", \ + "0.0752614, 0.104839, 0.126333, 0.161595, 0.220108, 0.316749, 0.480435", \ + "0.0921753, 0.121283, 0.142637, 0.177596, 0.236667, 0.334617, 0.49603", \ + "0.127393, 0.155686, 0.176772, 0.211563, 0.270643, 0.368302, 0.531803", \ + "0.198438, 0.225381, 0.246168, 0.280965, 0.339416, 0.436639, 0.599759" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0425825, 0.0731597, 0.0944977, 0.129649, 0.18821, 0.285641, 0.447829", \ + "0.0442199, 0.0747857, 0.0962096, 0.131718, 0.189945, 0.287979, 0.449859", \ + "0.0463379, 0.0769364, 0.0982514, 0.13327, 0.192554, 0.289907, 0.452324", \ + "0.0508085, 0.081246, 0.102654, 0.137858, 0.196234, 0.294263, 0.457004", \ + "0.0592055, 0.0894827, 0.110883, 0.146103, 0.204915, 0.302541, 0.465071", \ + "0.0742009, 0.104186, 0.125276, 0.160532, 0.219198, 0.317437, 0.480538", \ + "0.100561, 0.130003, 0.151137, 0.186334, 0.244651, 0.343201, 0.506071" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00311376; + rise_capacitance : 0.00329891; + rise_capacitance_range (0.00329891, 0.00329891); + fall_capacitance : 0.00283603; + fall_capacitance_range (0.00283603, 0.00283603); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0515747, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0593567, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0194199, 0.021185, 0.0242456, 0.0314534, 0.0469554, 0.0791473, 0.14402" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0365329, 0.0387542, 0.0423873, 0.0495443, 0.0657007, 0.0996264, 0.166974" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0374229, 0.0396371, 0.0431971, 0.0505227, 0.0665757, 0.100469, 0.167874" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0188607, 0.020639, 0.0236867, 0.0308412, 0.0463555, 0.0783359, 0.143505" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0208214, 0.02298, 0.0264424, 0.0334156, 0.0486697, 0.0810652, 0.145739" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0784648, 0.0797458, 0.0827699, 0.0894958, 0.104809, 0.136844, 0.202064" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0184165, 0.0202157, 0.0232517, 0.0304814, 0.0459876, 0.0781631, 0.143148" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0208011, 0.0229641, 0.0264224, 0.0334044, 0.0486749, 0.081095, 0.14586" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189047, 0.0206639, 0.0237256, 0.0308979, 0.0463593, 0.0785346, 0.14353" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0208322, 0.0229767, 0.0264361, 0.0334069, 0.0486607, 0.0810754, 0.145744" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189047, 0.0206639, 0.0237256, 0.0308979, 0.0463593, 0.0785346, 0.14353" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0365329, 0.0387542, 0.0423873, 0.0495443, 0.0657007, 0.0996264, 0.166974" \ + ); + } + } + } + pin (D) { + direction : "input"; + nextstate_type : "data"; + max_transition : 2.5074; + capacitance : 0.00192691; + rise_capacitance : 0.00177808; + rise_capacitance_range (0.00177808, 0.00177808); + fall_capacitance : 0.00207573; + fall_capacitance_range (0.00207573, 0.00207573); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0293423, -0.0127256, -0.0118599, -0.0197167", \ + "-0.0896032, -0.0789403, -0.0750829, -0.0873703", \ + "-0.121873, -0.121598, -0.124125, -0.137132", \ + "-0.139487, -0.146295, -0.156663, -0.177092" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0171163, 0.0247118, 0.0498628, 0.0639326", \ + "-0.102082, -0.066208, -0.0409916, -0.0268923", \ + "-0.170736, -0.1452, -0.126823, -0.114532", \ + "-0.239326, -0.226016, -0.213162, -0.206608" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0489038, 0.0252047, 0.022147, 0.0305101", \ + "0.124545, 0.0967655, 0.088195, 0.0983663", \ + "0.178452, 0.1452, 0.140315, 0.154081", \ + "0.217739, 0.182032, 0.179263, 0.194802" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0440134, -0.00474515, -0.0292886, -0.0423457", \ + "0.142016, 0.0967655, 0.0698381, 0.0543823", \ + "0.224744, 0.184536, 0.159203, 0.145606", \ + "0.314881, 0.275498, 0.252712, 0.239075" \ + ); + } + } + internal_power () { + when : "CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00190928, 0.0027344, 0.00406212, 0.00691537, 0.0131449, 0.0256847, 0.0512432" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00236631, 0.00332064, 0.00470113, 0.00758157, 0.0138205, 0.0267656, 0.0525278" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0192937, 0.0203356, 0.0217226, 0.0251003, 0.0325221, 0.0481071, 0.0789398" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0173916, 0.0184366, 0.0200373, 0.0235211, 0.0310762, 0.0467522, 0.078303" \ + ); + } + } + internal_power () { + when : "(!CLK * !RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000147146, 0.000154789, 0.000154103, 0.000154609, 0.000152969, 0.00015093, 0.000152272" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "7.56899e-05, 7.53651e-05, 7.6713e-05, 7.75229e-05, 7.87769e-05, 7.87607e-05, 7.95847e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00190928, 0.0027344, 0.00406212, 0.00691537, 0.0131449, 0.0256847, 0.0512432" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00236631, 0.00332064, 0.00470113, 0.00758157, 0.0138205, 0.0267656, 0.0525278" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00708451; + rise_capacitance : 0.00740418; + rise_capacitance_range (0.00740418, 0.00740418); + fall_capacitance : 0.00685618; + fall_capacitance_range (0.00685618, 0.00685618); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.051349, 0.0301964, 0.0247187, 0.0332085", \ + "0.129536, 0.104405, 0.101307, 0.112111", \ + "0.214457, 0.189781, 0.188886, 0.20493", \ + "0.314881, 0.294741, 0.295086, 0.315815" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0440134, -0.0252047, -0.022147, -0.0305101", \ + "-0.119553, -0.0993119, -0.0986846, -0.109362", \ + "-0.201598, -0.184536, -0.183489, -0.199281", \ + "-0.29869, -0.283745, -0.289436, -0.309912" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0541687, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00482547, 0.0051873, 0.00627022, 0.00900554, 0.0151583, 0.0278749, 0.0536668" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0555343, 0.0575807, 0.061593, 0.0704506, 0.0891377, 0.125636, 0.193027" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.864e-05, 7.84195e-05, 8.60262e-05, 7.77623e-05, 7.86553e-05, 7.4543e-05, 6.46644e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.864e-05, -7.84195e-05, -8.60262e-05, -7.77623e-05, -7.86553e-05, -7.4543e-05, -6.46644e-05" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0225488, 0.0230312, 0.0245676, 0.0288436, 0.0385419, 0.0592093, 0.100744" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0145373, 0.0149114, 0.0167726, 0.021138, 0.0308673, 0.0517541, 0.0930943" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000175333, 0.000176583, 0.000185664, 0.000175365, 0.000175173, 0.000179123, 0.000174524" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000175333, -0.000176583, -0.000185664, -0.000175365, -0.000175173, -0.000179123, -0.000174524" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00482547, 0.0051873, 0.00627022, 0.00900554, 0.0151583, 0.0278749, 0.0536668" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0145373, 0.0149114, 0.0167726, 0.021138, 0.0308673, 0.0517541, 0.0930943" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clocked_on : "CLK"; + next_state : "D"; + } + } + cell (sg13g2_dfrbp_2) { + area : 54.432; + cell_footprint : "dffrr"; + cell_leakage_power : 5625.51; + leakage_power () { + value : 4838.52; + when : "!CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 4783.36; + when : "!CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 5159.74; + when : "CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 5104.58; + when : "CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 5861.42; + when : "!CLK&!D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 5559.4; + when : "!CLK&!D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 6075.74; + when : "!CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 5906.81; + when : "!CLK&D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 5922.7; + when : "CLK&!D&RESET_B&Q*!Q_N"; + } + leakage_power () { + value : 5881.55; + when : "CLK&!D&RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 6189.41; + when : "CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 6222.84; + when : "CLK&D&RESET_B&!Q&Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.113761, 0.140891, 0.16107, 0.194901, 0.25206, 0.347514, 0.50668", \ + "0.132958, 0.159995, 0.180191, 0.214095, 0.271172, 0.366654, 0.525935", \ + "0.145625, 0.172743, 0.192878, 0.226763, 0.283848, 0.379291, 0.538521", \ + "0.16491, 0.191968, 0.212099, 0.246022, 0.303104, 0.398568, 0.557657", \ + "0.190446, 0.217266, 0.237327, 0.271152, 0.328225, 0.423589, 0.582895", \ + "0.223916, 0.24996, 0.269962, 0.303734, 0.360755, 0.45613, 0.615253", \ + "0.266474, 0.291268, 0.31094, 0.344539, 0.401397, 0.496698, 0.655877" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116816, 0.0523063, 0.0826, 0.133655, 0.219505, 0.362724, 0.601362", \ + "0.0116948, 0.0523073, 0.082601, 0.133656, 0.219506, 0.362742, 0.601663", \ + "0.0116958, 0.0523238, 0.082602, 0.133657, 0.219507, 0.362743, 0.601664", \ + "0.0117322, 0.0523248, 0.082603, 0.133658, 0.219508, 0.362744, 0.601665", \ + "0.0118455, 0.0523751, 0.0826058, 0.133659, 0.219509, 0.362745, 0.601666", \ + "0.012147, 0.052479, 0.082636, 0.13366, 0.21951, 0.362746, 0.601667", \ + "0.012869, 0.052809, 0.082767, 0.133697, 0.219547, 0.362747, 0.601668" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.102522, 0.128963, 0.146443, 0.175364, 0.223793, 0.30459, 0.439239", \ + "0.121302, 0.147703, 0.165182, 0.194078, 0.242459, 0.323266, 0.458009", \ + "0.133386, 0.159823, 0.177278, 0.206175, 0.254612, 0.335342, 0.470038", \ + "0.151128, 0.177556, 0.195013, 0.223925, 0.272386, 0.353105, 0.48778", \ + "0.172464, 0.198831, 0.216265, 0.24522, 0.293641, 0.374428, 0.50908", \ + "0.197839, 0.224209, 0.241657, 0.270546, 0.318995, 0.399793, 0.534548", \ + "0.227136, 0.253411, 0.270887, 0.299973, 0.348414, 0.429191, 0.563671" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0101868, 0.0431575, 0.06696, 0.107229, 0.175355, 0.289062, 0.478804", \ + "0.010188, 0.0431611, 0.066961, 0.10723, 0.175356, 0.289118, 0.479972", \ + "0.010189, 0.0431621, 0.066962, 0.107231, 0.175357, 0.289126, 0.479973", \ + "0.01019, 0.0431652, 0.066963, 0.10726, 0.175358, 0.289127, 0.479974", \ + "0.010191, 0.0431662, 0.066964, 0.107261, 0.175359, 0.289128, 0.479975", \ + "0.010192, 0.0431672, 0.066965, 0.107262, 0.17536, 0.289129, 0.479976", \ + "0.010193, 0.0431682, 0.066966, 0.107263, 0.175361, 0.28913, 0.479977" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.134539, 0.160704, 0.178096, 0.206907, 0.255727, 0.336205, 0.47144", \ + "0.163877, 0.190381, 0.207858, 0.236753, 0.28521, 0.36599, 0.500766", \ + "0.183454, 0.209768, 0.227243, 0.256185, 0.304582, 0.385459, 0.520243", \ + "0.21219, 0.238582, 0.256027, 0.284893, 0.333391, 0.41421, 0.548884", \ + "0.258453, 0.28479, 0.30224, 0.331142, 0.379646, 0.460403, 0.59512", \ + "0.329758, 0.356, 0.37344, 0.402338, 0.45072, 0.531592, 0.666356", \ + "0.431964, 0.458035, 0.475477, 0.504295, 0.552731, 0.633533, 0.76838" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.010053, 0.0431581, 0.0669203, 0.107178, 0.175283, 0.289053, 0.478796", \ + "0.010054, 0.0431591, 0.0669213, 0.107197, 0.175322, 0.289054, 0.478971", \ + "0.010055, 0.0431601, 0.0669223, 0.107198, 0.175323, 0.289055, 0.478972", \ + "0.0100606, 0.0431611, 0.0669233, 0.107199, 0.175324, 0.289056, 0.478973", \ + "0.0101139, 0.0431621, 0.0669243, 0.107205, 0.175343, 0.289057, 0.478974", \ + "0.010233, 0.043188, 0.066934, 0.107214, 0.175344, 0.289132, 0.478975", \ + "0.010326, 0.043213, 0.066965, 0.107226, 0.175345, 0.289133, 0.478976" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0844765, 0.141109, 0.183613, 0.253609, 0.370976, 0.566067, 0.890892", \ + "0.0866044, 0.14293, 0.185571, 0.255816, 0.372822, 0.567963, 0.893116", \ + "0.0901959, 0.146636, 0.189182, 0.259101, 0.376716, 0.571678, 0.896258", \ + "0.0985578, 0.154613, 0.197206, 0.267583, 0.384753, 0.579738, 0.905496", \ + "0.117097, 0.172329, 0.214592, 0.285211, 0.402809, 0.598269, 0.922383", \ + "0.155711, 0.209055, 0.25106, 0.321369, 0.438448, 0.635326, 0.961694", \ + "0.233903, 0.284535, 0.326157, 0.395901, 0.512507, 0.708759, 1.03498" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0817379, 0.14158, 0.183994, 0.253962, 0.370659, 0.564723, 0.888065", \ + "0.0840658, 0.143771, 0.18628, 0.257161, 0.373771, 0.567001, 0.892656", \ + "0.0875743, 0.147763, 0.190414, 0.259966, 0.37721, 0.572091, 0.893958", \ + "0.0957845, 0.155741, 0.198499, 0.269257, 0.386117, 0.58035, 0.907605", \ + "0.112953, 0.172598, 0.215482, 0.285621, 0.403704, 0.599638, 0.922222", \ + "0.148346, 0.207891, 0.250059, 0.319939, 0.438431, 0.634208, 0.961353", \ + "0.219683, 0.278355, 0.320625, 0.391118, 0.508156, 0.70304, 1.0296" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0642237, 0.123373, 0.165696, 0.235307, 0.35285, 0.546053, 0.87023", \ + "0.0657671, 0.125476, 0.168026, 0.239348, 0.355354, 0.5485, 0.872279", \ + "0.0680595, 0.127619, 0.169911, 0.239611, 0.35792, 0.550725, 0.874288", \ + "0.0725868, 0.132106, 0.174798, 0.245155, 0.36197, 0.556163, 0.882371", \ + "0.0816857, 0.140524, 0.183099, 0.253531, 0.371323, 0.566792, 0.889055", \ + "0.0974706, 0.155532, 0.19742, 0.267522, 0.385489, 0.581546, 0.908428", \ + "0.124312, 0.181352, 0.223278, 0.293386, 0.409906, 0.605075, 0.932407" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0697872, 0.114585, 0.137099, 0.172029, 0.229088, 0.323672, 0.481053", \ + "0.0885573, 0.133274, 0.155823, 0.190668, 0.247713, 0.342328, 0.499713", \ + "0.100654, 0.145378, 0.167943, 0.202769, 0.259838, 0.354376, 0.511766", \ + "0.118457, 0.163074, 0.185604, 0.220471, 0.277502, 0.372059, 0.529416", \ + "0.14003, 0.18444, 0.206913, 0.241828, 0.298864, 0.393415, 0.550809", \ + "0.16537, 0.209526, 0.232037, 0.266892, 0.323948, 0.418488, 0.575833", \ + "0.194518, 0.238826, 0.261246, 0.296011, 0.352861, 0.447352, 0.604811" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.013491, 0.0592113, 0.0877558, 0.136153, 0.219716, 0.361047, 0.597664", \ + "0.013492, 0.059218, 0.0877568, 0.136154, 0.219717, 0.361057, 0.597665", \ + "0.013493, 0.0592314, 0.087774, 0.136155, 0.219718, 0.361058, 0.597666", \ + "0.013494, 0.0592324, 0.087775, 0.136156, 0.219719, 0.361059, 0.597667", \ + "0.013495, 0.0592334, 0.0878143, 0.136172, 0.21972, 0.36106, 0.597668", \ + "0.013496, 0.0592344, 0.0878153, 0.136173, 0.219721, 0.361061, 0.597669", \ + "0.013629, 0.0592354, 0.0878163, 0.136174, 0.219722, 0.361062, 0.59767" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0758046, 0.123804, 0.145658, 0.177626, 0.227481, 0.308685, 0.443288", \ + "0.0949912, 0.142972, 0.164895, 0.196726, 0.246589, 0.327812, 0.462618", \ + "0.107652, 0.15559, 0.177506, 0.209347, 0.259266, 0.340435, 0.475077", \ + "0.126882, 0.174807, 0.19676, 0.228597, 0.278488, 0.359758, 0.494387", \ + "0.151753, 0.200002, 0.222004, 0.253993, 0.303897, 0.385203, 0.519808", \ + "0.183711, 0.233124, 0.255286, 0.28729, 0.337317, 0.418581, 0.553352", \ + "0.22275, 0.275187, 0.297851, 0.330142, 0.380302, 0.461643, 0.596244" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0173161, 0.0579546, 0.0801582, 0.116881, 0.181515, 0.292728, 0.481273", \ + "0.0173447, 0.0579561, 0.0801994, 0.116882, 0.181516, 0.292729, 0.481368", \ + "0.0174317, 0.0580314, 0.0802004, 0.116916, 0.181517, 0.29273, 0.481369", \ + "0.0177213, 0.0581649, 0.0802749, 0.116997, 0.181518, 0.292731, 0.48137", \ + "0.0187809, 0.0588254, 0.0807253, 0.117362, 0.181587, 0.292965, 0.481371", \ + "0.02131, 0.060323, 0.081888, 0.118059, 0.181991, 0.293033, 0.481372", \ + "0.02556, 0.063991, 0.084692, 0.119852, 0.182976, 0.293452, 0.481837" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.102421, 0.146078, 0.168315, 0.203038, 0.26008, 0.35468, 0.511965", \ + "0.131698, 0.175279, 0.197602, 0.232316, 0.289335, 0.383934, 0.541533", \ + "0.151186, 0.194808, 0.217101, 0.251815, 0.308806, 0.403352, 0.560764", \ + "0.179882, 0.223521, 0.245849, 0.280549, 0.337572, 0.432105, 0.589432", \ + "0.225811, 0.26954, 0.29182, 0.326607, 0.383586, 0.478193, 0.635526", \ + "0.297, 0.340927, 0.363264, 0.398022, 0.454991, 0.549596, 0.706973", \ + "0.398937, 0.442988, 0.465234, 0.499906, 0.556915, 0.651644, 0.808944" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0135211, 0.0583667, 0.0871047, 0.13584, 0.219544, 0.361046, 0.597496", \ + "0.0135924, 0.0583682, 0.0871057, 0.135841, 0.219545, 0.361047, 0.597922", \ + "0.0136647, 0.0584164, 0.0871067, 0.135842, 0.219546, 0.361048, 0.6", \ + "0.0138947, 0.0584929, 0.0871161, 0.135843, 0.219547, 0.361049, 0.600001", \ + "0.014266, 0.0587256, 0.0872919, 0.135872, 0.219548, 0.36105, 0.600002", \ + "0.01489, 0.05913, 0.087577, 0.13603, 0.219608, 0.361051, 0.600003", \ + "0.015709, 0.059563, 0.087873, 0.136131, 0.219683, 0.361052, 0.600004" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.081847, 0.141671, 0.184309, 0.254657, 0.371868, 0.56709, 0.892028", \ + "0.0841383, 0.144026, 0.18665, 0.257358, 0.374297, 0.569218, 0.894032", \ + "0.0876594, 0.147798, 0.190469, 0.260477, 0.378448, 0.572697, 0.899634", \ + "0.0958342, 0.155897, 0.198602, 0.269346, 0.386648, 0.581435, 0.90749", \ + "0.11283, 0.172681, 0.215497, 0.286101, 0.403965, 0.599786, 0.923678", \ + "0.148238, 0.208063, 0.250307, 0.320797, 0.438589, 0.63469, 0.961209", \ + "0.219383, 0.278766, 0.321263, 0.391807, 0.508425, 0.70525, 1.03118" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0845805, 0.140812, 0.183155, 0.252965, 0.369599, 0.564044, 0.88756", \ + "0.0866617, 0.142726, 0.185198, 0.256188, 0.37269, 0.565675, 0.889497", \ + "0.0902906, 0.146619, 0.188994, 0.258633, 0.375619, 0.570924, 0.892927", \ + "0.0985732, 0.154421, 0.197005, 0.267509, 0.384109, 0.577907, 0.906157", \ + "0.116957, 0.171976, 0.214478, 0.284427, 0.402377, 0.598828, 0.921706", \ + "0.155648, 0.208981, 0.250705, 0.320638, 0.43868, 0.634642, 0.961591", \ + "0.23382, 0.284174, 0.325664, 0.395296, 0.511862, 0.706646, 1.03318" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0641627, 0.124212, 0.166743, 0.23658, 0.354219, 0.548545, 0.873849", \ + "0.065731, 0.125665, 0.168501, 0.239617, 0.35599, 0.550924, 0.876092", \ + "0.0680182, 0.127994, 0.170435, 0.240632, 0.359184, 0.553412, 0.881016", \ + "0.0725527, 0.132363, 0.175202, 0.245616, 0.362146, 0.558558, 0.883079", \ + "0.0814877, 0.140861, 0.183175, 0.253936, 0.371596, 0.567149, 0.891458", \ + "0.0972516, 0.155745, 0.197945, 0.26844, 0.385704, 0.581619, 0.908503", \ + "0.124112, 0.181587, 0.223955, 0.294291, 0.410745, 0.607184, 0.933577" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.003367; + rise_capacitance : 0.00356013; + rise_capacitance_range (0.00356013, 0.00356013); + fall_capacitance : 0.00307729; + fall_capacitance_range (0.00307729, 0.00307729); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0619507, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0593567, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189704, 0.0210008, 0.0243338, 0.0321663, 0.0490601, 0.0833302, 0.1532" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0383604, 0.0407885, 0.0445257, 0.0523392, 0.0693235, 0.105298, 0.177067" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0400971, 0.0423561, 0.0462615, 0.0539858, 0.0710009, 0.107002, 0.178732" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0200096, 0.0220256, 0.0252774, 0.0331735, 0.0499163, 0.0841972, 0.154037" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0201187, 0.022537, 0.0261526, 0.0336963, 0.0499432, 0.0844045, 0.153442" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.101405, 0.100098, 0.103292, 0.110618, 0.126811, 0.160941, 0.230324" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0186161, 0.0206456, 0.0238826, 0.0317906, 0.04861, 0.0827985, 0.152682" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0200929, 0.022528, 0.0261351, 0.0336866, 0.049948, 0.0844415, 0.153583" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0200627, 0.022057, 0.0253122, 0.0332113, 0.0499208, 0.084172, 0.154219" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0201125, 0.0225328, 0.0261438, 0.0336888, 0.0499324, 0.0843859, 0.153403" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189704, 0.0210008, 0.0243338, 0.0321663, 0.0490601, 0.0833302, 0.1532" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0383604, 0.0407885, 0.0445257, 0.0523392, 0.0693235, 0.105298, 0.177067" \ + ); + } + } + } + pin (D) { + direction : "input"; + nextstate_type : "data"; + max_transition : 2.5074; + capacitance : 0.00180398; + rise_capacitance : 0.00165688; + rise_capacitance_range (0.00165688, 0.00165688); + fall_capacitance : 0.00195108; + fall_capacitance_range (0.00195108, 0.00195108); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0293423, -0.0102298, -0.00157274, 0.00456862", \ + "-0.0896032, -0.0763938, -0.0672157, -0.0653783", \ + "-0.124444, -0.121598, -0.113331, -0.117357", \ + "-0.139487, -0.151793, -0.151013, -0.159383" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0171163, 0.0272076, 0.0575781, 0.0828212", \ + "-0.102082, -0.0636615, -0.0357467, -0.0103983", \ + "-0.170736, -0.1452, -0.118728, -0.0975823", \ + "-0.236628, -0.223267, -0.201863, -0.185947" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.051349, 0.0252047, 0.0144316, 0.0089232", \ + "0.129536, 0.0967655, 0.0829502, 0.0791233", \ + "0.183595, 0.147822, 0.134918, 0.134307", \ + "0.225834, 0.18753, 0.176438, 0.180044" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0464586, -0.00474515, -0.0370039, -0.0612343", \ + "0.144511, 0.0967655, 0.0619709, 0.0351393", \ + "0.227315, 0.184536, 0.151108, 0.125832", \ + "0.317579, 0.272749, 0.241412, 0.218414" \ + ); + } + } + internal_power () { + when : "CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00168543, 0.00255508, 0.0038859, 0.00677115, 0.0130333, 0.0256002, 0.0511938" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00208798, 0.00308113, 0.00448911, 0.00737724, 0.0136584, 0.0266213, 0.0524122" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0223256, 0.0233104, 0.0246738, 0.0280484, 0.035446, 0.0511227, 0.0824369" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0184935, 0.0195438, 0.0211258, 0.0246211, 0.0322327, 0.0481063, 0.0800163" \ + ); + } + } + internal_power () { + when : "(!CLK * !RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-7.57851e-05, -6.9181e-05, -6.83659e-05, -6.8403e-05, -6.88625e-05, -6.84145e-05, -6.82207e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000244245, 0.000243877, 0.000243373, 0.000243973, 0.000245746, 0.000245834, 0.000247238" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00168543, 0.00255508, 0.0038859, 0.00677115, 0.0130333, 0.0256002, 0.0511938" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00208798, 0.00308113, 0.00448911, 0.00737724, 0.0136584, 0.0266213, 0.0524122" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00660617; + rise_capacitance : 0.00692397; + rise_capacitance_range (0.00692397, 0.00692397); + fall_capacitance : 0.00637917; + fall_capacitance_range (0.00637917, 0.00637917); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0537942, 0.0277006, 0.0170034, 0.0116216", \ + "0.134528, 0.104405, 0.0934398, 0.0928683", \ + "0.2196, 0.189781, 0.18079, 0.185156", \ + "0.322976, 0.294741, 0.286611, 0.295154" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0464586, -0.0252047, -0.0144316, -0.0089232", \ + "-0.124545, -0.0993119, -0.0908174, -0.0873703", \ + "-0.206741, -0.184536, -0.178092, -0.182331", \ + "-0.306785, -0.283745, -0.283786, -0.292202" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0593567, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00414987, 0.00455735, 0.00566093, 0.00844239, 0.0146419, 0.0274174, 0.0532564" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0793025, 0.081377, 0.0855008, 0.0944478, 0.113554, 0.150936, 0.219464" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.025054, 0.0255333, 0.0270694, 0.0313513, 0.0411303, 0.0615474, 0.103242" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161499, 0.0166172, 0.0184388, 0.0227188, 0.0324013, 0.0533404, 0.0950226" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.025054, 0.0255333, 0.0270694, 0.0313513, 0.0411303, 0.0615474, 0.103242" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161499, 0.0166172, 0.0184388, 0.0227188, 0.0324013, 0.0533404, 0.0950226" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clocked_on : "CLK"; + next_state : "D"; + } + } + cell (sg13g2_dlhq_1) { + area : 30.8448; + cell_footprint : "DLHQ"; + cell_leakage_power : 3037.36; + leakage_power () { + value : 3269.41; + when : "!D&!GATE&!Q"; + } + leakage_power () { + value : 2780.75; + when : "!D&!GATE&Q"; + } + leakage_power () { + value : 3638.71; + when : "!D&GATE&!Q"; + } + leakage_power () { + value : 3174.57; + when : "D&!GATE&!Q"; + } + leakage_power () { + value : 2628.78; + when : "D&!GATE&Q"; + } + leakage_power () { + value : 2731.95; + when : "D&GATE&Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0843468, 0.116504, 0.137094, 0.17103, 0.227701, 0.321933, 0.479132", \ + "0.0991152, 0.131283, 0.151842, 0.185748, 0.24237, 0.336648, 0.493754", \ + "0.108735, 0.140895, 0.161487, 0.19535, 0.251962, 0.346247, 0.503349", \ + "0.123418, 0.155555, 0.17614, 0.210018, 0.266635, 0.36096, 0.518008", \ + "0.141538, 0.173728, 0.194312, 0.228203, 0.284816, 0.379165, 0.536242", \ + "0.16502, 0.197274, 0.217863, 0.25175, 0.308409, 0.402721, 0.559848", \ + "0.194707, 0.227132, 0.247725, 0.281617, 0.338288, 0.432603, 0.589759" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0091175, 0.0504148, 0.0809007, 0.131644, 0.216574, 0.358263, 0.594483", \ + "0.0091185, 0.0504158, 0.0809017, 0.131645, 0.216612, 0.360365, 0.594484", \ + "0.009127, 0.05042, 0.0809027, 0.131646, 0.216613, 0.360366, 0.594485", \ + "0.0091813, 0.0504266, 0.0809037, 0.131647, 0.216614, 0.360367, 0.594486", \ + "0.009267, 0.050444, 0.0809076, 0.131648, 0.216615, 0.360368, 0.594487", \ + "0.009494, 0.050488, 0.080923, 0.131649, 0.216616, 0.360369, 0.594497", \ + "0.009887, 0.050567, 0.080965, 0.13165, 0.216617, 0.36037, 0.594498" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0762168, 0.105101, 0.122529, 0.151145, 0.198915, 0.278521, 0.411129", \ + "0.0922967, 0.12117, 0.138614, 0.167208, 0.214985, 0.29457, 0.42717", \ + "0.101506, 0.130381, 0.147828, 0.176423, 0.224206, 0.303761, 0.436301", \ + "0.113498, 0.142421, 0.159865, 0.188463, 0.236253, 0.315844, 0.448381", \ + "0.132176, 0.161094, 0.178552, 0.207163, 0.254947, 0.334512, 0.467086", \ + "0.160206, 0.189195, 0.206688, 0.235294, 0.283063, 0.362677, 0.49522", \ + "0.189375, 0.218615, 0.236074, 0.264712, 0.312518, 0.392106, 0.524724" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0083155, 0.0407187, 0.0644737, 0.104402, 0.171478, 0.283435, 0.470007", \ + "0.0083165, 0.0407197, 0.0644814, 0.104403, 0.171578, 0.283505, 0.470008", \ + "0.0083539, 0.0407207, 0.0644824, 0.104404, 0.171579, 0.283506, 0.470059", \ + "0.0083876, 0.0407231, 0.0644834, 0.104405, 0.17158, 0.283507, 0.47006", \ + "0.0084906, 0.0407879, 0.0645108, 0.104406, 0.171581, 0.283508, 0.470061", \ + "0.008687, 0.04083, 0.064518, 0.104407, 0.171582, 0.283509, 0.470062", \ + "0.009099, 0.040968, 0.064604, 0.104442, 0.171583, 0.28351, 0.470105" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0724689, 0.104534, 0.125139, 0.159062, 0.215655, 0.310071, 0.467103", \ + "0.0877809, 0.119913, 0.140453, 0.174312, 0.230994, 0.325304, 0.483629", \ + "0.097133, 0.129233, 0.14981, 0.183669, 0.240302, 0.334557, 0.491645", \ + "0.110026, 0.142136, 0.162728, 0.196623, 0.253237, 0.347509, 0.504593", \ + "0.122489, 0.154693, 0.175302, 0.209195, 0.265799, 0.360132, 0.517241", \ + "0.13053, 0.16296, 0.183564, 0.217469, 0.274132, 0.36848, 0.525535", \ + "0.130531, 0.162961, 0.183565, 0.21747, 0.274133, 0.368481, 0.525536" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0090391, 0.0504449, 0.0808856, 0.131627, 0.216558, 0.358314, 0.59442", \ + "0.0090633, 0.0504459, 0.0808866, 0.131628, 0.216561, 0.359869, 0.59565", \ + "0.0090967, 0.0504469, 0.0808876, 0.131629, 0.216562, 0.35987, 0.595651", \ + "0.0092402, 0.0504479, 0.0809166, 0.13163, 0.216563, 0.359871, 0.595652", \ + "0.0095051, 0.0504939, 0.0809497, 0.131631, 0.216596, 0.359872, 0.595653", \ + "0.010066, 0.050616, 0.081036, 0.131721, 0.21672, 0.359873, 0.595654", \ + "0.011097, 0.050878, 0.081145, 0.131757, 0.216784, 0.359874, 0.595655" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.078416, 0.107202, 0.124653, 0.153259, 0.201013, 0.280689, 0.413195", \ + "0.0936746, 0.122387, 0.139828, 0.16843, 0.216218, 0.295792, 0.428374", \ + "0.1026, 0.13136, 0.148794, 0.177399, 0.225178, 0.304743, 0.437266", \ + "0.114394, 0.14314, 0.160579, 0.189171, 0.236946, 0.316522, 0.449034", \ + "0.124718, 0.153444, 0.170899, 0.199485, 0.247247, 0.326827, 0.459347", \ + "0.129848, 0.158603, 0.17601, 0.204602, 0.252381, 0.331977, 0.464469", \ + "0.129849, 0.158604, 0.176011, 0.204603, 0.252382, 0.331978, 0.46447" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0081749, 0.0406769, 0.0644789, 0.104397, 0.171512, 0.283449, 0.470024", \ + "0.0081786, 0.0406779, 0.0644799, 0.104398, 0.171513, 0.283503, 0.470025", \ + "0.0081796, 0.0406789, 0.0644809, 0.104399, 0.171514, 0.283504, 0.470026", \ + "0.0081806, 0.0406799, 0.0644819, 0.1044, 0.171515, 0.283505, 0.470027", \ + "0.0081816, 0.0406809, 0.0644829, 0.104401, 0.171516, 0.283506, 0.470028", \ + "0.0081826, 0.040694, 0.064487, 0.104415, 0.171517, 0.283507, 0.470116", \ + "0.008208, 0.040695, 0.064488, 0.104419, 0.171518, 0.283549, 0.470117" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0313093, 0.0317281, 0.0317736, 0.0317851, 0.0316343, 0.0311635, 0.0304973", \ + "0.0311649, 0.0316342, 0.0316971, 0.031906, 0.0315836, 0.0316704, 0.0303993", \ + "0.0311013, 0.0316556, 0.031704, 0.0315812, 0.0317173, 0.0314423, 0.0312682", \ + "0.031247, 0.0317369, 0.0318113, 0.0319061, 0.0318181, 0.0313353, 0.0312503", \ + "0.0315876, 0.0319907, 0.0321279, 0.032206, 0.0322323, 0.0322009, 0.0311052", \ + "0.0331366, 0.0336038, 0.0334675, 0.0335395, 0.0335359, 0.0336625, 0.0335598", \ + "0.0362713, 0.0364383, 0.0365433, 0.0366933, 0.0362513, 0.0364003, 0.0362783" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0308944, 0.0315827, 0.0316181, 0.0315595, 0.031416, 0.0310784, 0.0303987", \ + "0.030878, 0.0315338, 0.0315559, 0.0316118, 0.0316699, 0.03107, 0.0303001", \ + "0.0309169, 0.0316806, 0.0317848, 0.0316266, 0.0315769, 0.0317907, 0.0309596", \ + "0.0308712, 0.0316137, 0.0317042, 0.031767, 0.031721, 0.0311337, 0.0318222", \ + "0.031277, 0.0319795, 0.031976, 0.0321177, 0.0321846, 0.0321245, 0.0314657", \ + "0.0323921, 0.0329093, 0.0330396, 0.0330973, 0.0329111, 0.0330206, 0.0329141", \ + "0.0356158, 0.0362328, 0.0362908, 0.0360978, 0.0361268, 0.0357518, 0.0361168" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0278793, 0.0283602, 0.0284027, 0.0283888, 0.0281716, 0.027885, 0.0271518", \ + "0.0278489, 0.0283469, 0.0283486, 0.0285652, 0.0281692, 0.0282205, 0.0277028", \ + "0.0280275, 0.0285784, 0.0286514, 0.0285208, 0.0286394, 0.0282148, 0.0273735", \ + "0.0285825, 0.029024, 0.0291619, 0.0291861, 0.0290767, 0.0286587, 0.0291128", \ + "0.0299642, 0.0302098, 0.0303007, 0.030286, 0.0303278, 0.0301307, 0.0292472", \ + "0.0326208, 0.0328438, 0.0327008, 0.0325528, 0.0324448, 0.0323858, 0.0321398", \ + "0.037266, 0.037076, 0.037048, 0.036912, 0.036344, 0.036014, 0.03541" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0298305, 0.0305517, 0.0305783, 0.0305304, 0.030363, 0.0300859, 0.0293636", \ + "0.0300977, 0.0307468, 0.0307733, 0.0308832, 0.0305552, 0.0302747, 0.0295552", \ + "0.0300628, 0.0308448, 0.0309495, 0.0308967, 0.030625, 0.0311727, 0.0295612", \ + "0.0302849, 0.0310021, 0.0311591, 0.0312255, 0.0311631, 0.030713, 0.0304779", \ + "0.0304819, 0.0311312, 0.0312198, 0.0312901, 0.0312858, 0.0313304, 0.0307696", \ + "0.0304172, 0.0310739, 0.0311658, 0.0309336, 0.0309934, 0.0306576, 0.0308475", \ + "0.029427, 0.030201, 0.030203, 0.030078, 0.030115, 0.02944, 0.029696" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00259778; + rise_capacitance : 0.00263825; + rise_capacitance_range (0.00263825, 0.00263825); + fall_capacitance : 0.00255731; + fall_capacitance_range (0.00255731, 0.00255731); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0440134, -0.0227089, 0.00614259, 0.0450441", \ + "-0.0896032, -0.066208, -0.0357467, 0.0033467", \ + "-0.124444, -0.0953739, -0.0647607, -0.0269585", \ + "-0.152979, -0.121554, -0.0916895, -0.0501761" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0171163, 0.0471742, 0.101298, 0.169169", \ + "-0.0671408, 0.00254646, 0.0612825, 0.127052", \ + "-0.101298, -0.0298136, 0.0323804, 0.100164", \ + "-0.128693, -0.0528287, 0.00718383, 0.0796915" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0489038, 0.0376839, 0.0401494, 0.0574938", \ + "0.0945949, 0.0763938, 0.0645933, 0.0626293", \ + "0.127016, 0.103241, 0.0863477, 0.0778076", \ + "0.155677, 0.129801, 0.108639, 0.0914977" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0220067, -0.0421825, -0.0987266, -0.161074", \ + "0.0721324, 0.00254646, -0.0560377, -0.121554", \ + "0.10387, 0.032436, -0.0269836, -0.0973394", \ + "0.13409, 0.0583267, -0.00435888, -0.0737885" \ + ); + } + } + internal_power () { + when : "(!GATE * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00505029, 0.00643576, 0.00881966, 0.0141186, 0.0257336, 0.0492374, 0.0970664" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00668117, 0.00847356, 0.0109715, 0.0163022, 0.0275452, 0.0511492, 0.0984004" \ + ); + } + } + internal_power () { + when : "(!GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00510065, 0.00657078, 0.00894568, 0.0143483, 0.0258781, 0.0493296, 0.0972317" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00685377, 0.00859659, 0.0110311, 0.0163255, 0.0275694, 0.0511415, 0.0984492" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00510065, 0.00657078, 0.00894568, 0.0143483, 0.0258781, 0.0493296, 0.0972317" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00685377, 0.00859659, 0.0110311, 0.0163255, 0.0275694, 0.0511415, 0.0984492" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00266221; + rise_capacitance : 0.00302522; + rise_capacitance_range (0.00302522, 0.00302522); + fall_capacitance : 0.0019362; + fall_capacitance_range (0.0019362, 0.0019362); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0386047, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0130127, 0.0147902, 0.0178383, 0.0245581, 0.0387566, 0.0682002, 0.127409" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0290818, 0.031482, 0.0346378, 0.0412613, 0.0557071, 0.0856785, 0.145647" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0130127, 0.0147902, 0.0178383, 0.0245581, 0.0387566, 0.0682002, 0.127409" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0290818, 0.031482, 0.0346378, 0.0412613, 0.0557071, 0.0856785, 0.145647" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dlhr_1) { + area : 32.6592; + cell_footprint : "DLHR"; + cell_leakage_power : 4395.13; + leakage_power () { + value : 4049.92; + when : "!RESET_B*!Q*Q_N*!D*!GATE"; + } + leakage_power () { + value : 4307.9; + when : "!RESET_B*!Q*Q_N*!D*GATE"; + } + leakage_power () { + value : 4061.11; + when : "!RESET_B*!Q*Q_N*D*!GATE"; + } + leakage_power () { + value : 3709.29; + when : "!RESET_B*!Q*Q_N*D*GATE"; + } + leakage_power () { + value : 4529.51; + when : "RESET_B*!GATE*!D*!Q*!Q_N"; + } + leakage_power () { + value : 4529.51; + when : "RESET_B*!GATE*!D*!Q*Q_N"; + } + leakage_power () { + value : 4529.51; + when : "RESET_B*!GATE*!D*Q*!Q_N"; + } + leakage_power () { + value : 4529.51; + when : "RESET_B*!GATE*!D*Q*Q_N"; + } + leakage_power () { + value : 4540.78; + when : "RESET_B*!GATE*D*!Q*!Q_N"; + } + leakage_power () { + value : 4540.78; + when : "RESET_B*!GATE*D*!Q*Q_N"; + } + leakage_power () { + value : 4540.78; + when : "RESET_B*!GATE*D*Q*!Q_N"; + } + leakage_power () { + value : 4540.78; + when : "RESET_B*!GATE*D*Q*Q_N"; + } + leakage_power () { + value : 4343.17; + when : "D*GATE*RESET_B*Q*!Q_N"; + } + leakage_power () { + value : 4779.32; + when : "!D*GATE*RESET_B*!Q*Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0977555, 0.136289, 0.157603, 0.19171, 0.248487, 0.342831, 0.500048", \ + "0.112073, 0.150704, 0.171994, 0.206101, 0.262815, 0.357202, 0.514386", \ + "0.121531, 0.160148, 0.181407, 0.215524, 0.272253, 0.366604, 0.523838", \ + "0.135815, 0.174434, 0.195717, 0.229833, 0.286569, 0.380903, 0.53807", \ + "0.153433, 0.192093, 0.213343, 0.247516, 0.304237, 0.398635, 0.5558", \ + "0.175789, 0.214516, 0.235785, 0.269934, 0.326673, 0.421012, 0.578177", \ + "0.203656, 0.242489, 0.263785, 0.29794, 0.354663, 0.449067, 0.606315" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0128701, 0.0546696, 0.0836315, 0.133131, 0.217619, 0.35929, 0.595722", \ + "0.0128711, 0.0546706, 0.0836325, 0.133132, 0.21762, 0.359291, 0.595823", \ + "0.0128832, 0.0546716, 0.0836335, 0.133133, 0.217621, 0.359292, 0.595824", \ + "0.0129143, 0.0546726, 0.0836345, 0.133134, 0.217622, 0.359293, 0.595825", \ + "0.0129793, 0.0546965, 0.0836355, 0.133142, 0.217624, 0.359308, 0.595826", \ + "0.013115, 0.054752, 0.08369, 0.133161, 0.217625, 0.359309, 0.595827", \ + "0.013384, 0.054906, 0.083782, 0.133211, 0.217659, 0.35931, 0.595828" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.083122, 0.114806, 0.132718, 0.16156, 0.209794, 0.289965, 0.423698", \ + "0.098933, 0.13064, 0.148483, 0.177372, 0.225559, 0.305792, 0.439509", \ + "0.107964, 0.139693, 0.157509, 0.186431, 0.234584, 0.314782, 0.448402", \ + "0.119625, 0.151363, 0.169253, 0.19816, 0.246326, 0.326531, 0.460102", \ + "0.138497, 0.170268, 0.188132, 0.217045, 0.265238, 0.345492, 0.479061", \ + "0.166126, 0.198011, 0.215846, 0.244784, 0.292998, 0.373215, 0.506819", \ + "0.195906, 0.227979, 0.245854, 0.274758, 0.322987, 0.403212, 0.536874" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0093519, 0.0426767, 0.0659764, 0.105563, 0.172998, 0.285825, 0.474014", \ + "0.0093528, 0.0426777, 0.0659774, 0.105592, 0.172999, 0.285838, 0.474058", \ + "0.0093625, 0.0426787, 0.0659784, 0.105593, 0.173, 0.285839, 0.474059", \ + "0.0094097, 0.0426797, 0.0659794, 0.105594, 0.173001, 0.28584, 0.47406", \ + "0.0094776, 0.0426811, 0.0659804, 0.105595, 0.173002, 0.285841, 0.474061", \ + "0.009653, 0.042725, 0.0659814, 0.105596, 0.173003, 0.28585, 0.474062", \ + "0.010008, 0.042901, 0.066076, 0.105602, 0.173004, 0.285851, 0.474063" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0895615, 0.128053, 0.149303, 0.183474, 0.240198, 0.334563, 0.491737", \ + "0.105092, 0.143588, 0.164865, 0.198964, 0.255694, 0.350095, 0.507249", \ + "0.114594, 0.153077, 0.174313, 0.208434, 0.265166, 0.359511, 0.516704", \ + "0.127623, 0.166156, 0.187421, 0.22154, 0.278271, 0.372614, 0.529754", \ + "0.14038, 0.17895, 0.200193, 0.234341, 0.291097, 0.385489, 0.542729", \ + "0.148918, 0.187602, 0.208905, 0.243045, 0.299786, 0.394168, 0.55144", \ + "0.148919, 0.187603, 0.208906, 0.243046, 0.299787, 0.394169, 0.551441" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0127661, 0.0546001, 0.0836176, 0.133139, 0.21765, 0.35928, 0.595738", \ + "0.0127732, 0.0546169, 0.0836186, 0.13314, 0.217651, 0.359664, 0.595739", \ + "0.0127959, 0.0546179, 0.0836196, 0.133141, 0.217652, 0.359665, 0.59574", \ + "0.0128432, 0.0546689, 0.0836326, 0.133162, 0.217653, 0.359666, 0.595741", \ + "0.0130338, 0.0547416, 0.0836885, 0.133163, 0.217654, 0.359667, 0.595767", \ + "0.013295, 0.054883, 0.083786, 0.133209, 0.217655, 0.359668, 0.595768", \ + "0.013799, 0.055176, 0.083949, 0.133293, 0.217692, 0.359669, 0.595769" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0865977, 0.118335, 0.136163, 0.165057, 0.213297, 0.293454, 0.42722", \ + "0.102131, 0.133949, 0.15176, 0.180702, 0.2289, 0.309124, 0.442769", \ + "0.11134, 0.143131, 0.160974, 0.18985, 0.23803, 0.318211, 0.451814", \ + "0.123589, 0.155391, 0.173227, 0.202136, 0.250298, 0.330487, 0.464061", \ + "0.134716, 0.16651, 0.184363, 0.21323, 0.261454, 0.341628, 0.475241", \ + "0.141261, 0.173059, 0.190925, 0.219803, 0.267997, 0.348197, 0.481805", \ + "0.141262, 0.17306, 0.190926, 0.219804, 0.267998, 0.348198, 0.481806" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0094926, 0.042636, 0.0659154, 0.105549, 0.17296, 0.285744, 0.474013", \ + "0.0095027, 0.0426396, 0.0659164, 0.10555, 0.172961, 0.285852, 0.474568", \ + "0.0095037, 0.0426504, 0.0659242, 0.105551, 0.172962, 0.285853, 0.474569", \ + "0.0095047, 0.0426523, 0.0659252, 0.105552, 0.172963, 0.285854, 0.47457", \ + "0.0095057, 0.0426533, 0.0659262, 0.105581, 0.172964, 0.285855, 0.474571", \ + "0.0095067, 0.0426543, 0.0659272, 0.105582, 0.172965, 0.285856, 0.474572", \ + "0.009514, 0.0426553, 0.0659282, 0.105583, 0.172966, 0.285939, 0.474573" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0364808, 0.0682644, 0.0861211, 0.115052, 0.163388, 0.243522, 0.377462", \ + "0.0575959, 0.0913803, 0.109478, 0.138468, 0.186736, 0.266984, 0.400791", \ + "0.0692083, 0.105104, 0.123349, 0.15244, 0.200692, 0.280972, 0.414695", \ + "0.0842949, 0.123777, 0.14253, 0.171687, 0.220016, 0.300289, 0.43399", \ + "0.106897, 0.151995, 0.171951, 0.202391, 0.25115, 0.331021, 0.464745", \ + "0.138103, 0.192633, 0.215183, 0.247165, 0.297465, 0.379438, 0.514297", \ + "0.171537, 0.238276, 0.265198, 0.301272, 0.354741, 0.440647, 0.580539" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00929777, 0.0426744, 0.0660349, 0.105628, 0.173158, 0.285886, 0.47416", \ + "0.0121722, 0.0442146, 0.0669118, 0.106095, 0.173238, 0.285893, 0.474771", \ + "0.0143584, 0.0458713, 0.0679247, 0.106656, 0.173505, 0.286087, 0.474772", \ + "0.0181772, 0.0492786, 0.0702278, 0.107886, 0.174113, 0.286454, 0.474773", \ + "0.024233, 0.0564092, 0.0757166, 0.11153, 0.176335, 0.287697, 0.475227", \ + "0.033708, 0.068741, 0.087032, 0.120375, 0.183366, 0.293185, 0.478777", \ + "0.048986, 0.089328, 0.106752, 0.137732, 0.198084, 0.307387, 0.493158" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0105974, 0.010755, 0.0108232, 0.01077, 0.0106805, 0.0104548, 0.0100292", \ + "0.010592, 0.0107393, 0.0108539, 0.010875, 0.0107297, 0.0104176, 0.0100766", \ + "0.0106139, 0.0108295, 0.0109146, 0.0108838, 0.010903, 0.0106818, 0.0105226", \ + "0.0107098, 0.0109266, 0.0110184, 0.0111041, 0.0109751, 0.010729, 0.0105724", \ + "0.0106574, 0.0108436, 0.01093, 0.0110316, 0.0110534, 0.0109507, 0.0105648", \ + "0.0108053, 0.0109609, 0.0109561, 0.0110672, 0.0110281, 0.0110839, 0.0109602", \ + "0.0109499, 0.0110198, 0.0110874, 0.0111855, 0.0109224, 0.0110422, 0.0108388" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00310545, 0.00350935, 0.00355875, 0.00341275, 0.00320205, 0.00273565, 0.00183075", \ + "0.00206115, 0.00245675, 0.00249985, 0.00260865, 0.00222015, 0.00262785, 0.00087375", \ + "0.00202025, 0.00254715, 0.00261875, 0.00249035, 0.00234795, 0.00218145, 0.00104995", \ + "0.0019458, 0.0024622, 0.0025899, 0.0026487, 0.0024528, 0.0019931, 0.0032337", \ + "0.0019037, 0.0023585, 0.0023903, 0.002557, 0.0026158, 0.0025129, 0.0012206", \ + "0.00179115, 0.00214825, 0.00222395, 0.00228905, 0.00214735, 0.00225755, 0.00211085", \ + "0.0018152, 0.0020956, 0.0020767, 0.001993, 0.0019973, 0.0016565, 0.0018603" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0223823, 0.0225721, 0.0226183, 0.0225794, 0.0225232, 0.0222569, 0.0218342", \ + "0.0223409, 0.0224849, 0.0226163, 0.0226936, 0.0224626, 0.0223517, 0.0218203", \ + "0.0224303, 0.0226468, 0.0227075, 0.0226371, 0.0227668, 0.0225721, 0.0228307", \ + "0.0226572, 0.0228716, 0.0229346, 0.0230733, 0.0229749, 0.0226681, 0.0230921", \ + "0.0232973, 0.023454, 0.023553, 0.0236202, 0.0236739, 0.023556, 0.0230337", \ + "0.0245331, 0.0246847, 0.0246468, 0.0247024, 0.0247283, 0.0247129, 0.0247027", \ + "0.0267964, 0.0267835, 0.0268801, 0.0268641, 0.0266934, 0.026711, 0.0265663" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0222194, 0.0226088, 0.0226263, 0.022517, 0.0223022, 0.0218274, 0.0209576", \ + "0.0222872, 0.0227126, 0.0227179, 0.0228816, 0.0224064, 0.0220187, 0.0213579", \ + "0.0223478, 0.0228748, 0.0229743, 0.0228193, 0.0226172, 0.0233391, 0.0212284", \ + "0.0224381, 0.0228999, 0.0230764, 0.0231645, 0.0229633, 0.0223648, 0.0221157", \ + "0.0225643, 0.022975, 0.0231041, 0.0230421, 0.02325, 0.0231468, 0.0221897", \ + "0.0225371, 0.0230071, 0.0230679, 0.0228929, 0.023028, 0.0229019, 0.0230061", \ + "0.0220164, 0.0224442, 0.0224267, 0.0224135, 0.0223416, 0.0219207, 0.022115" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153027, 0.0157397, 0.0157557, 0.0156454, 0.0154357, 0.0149345, 0.0141482", \ + "0.0166794, 0.0166849, 0.0165962, 0.0166464, 0.0168177, 0.0158612, 0.0152739", \ + "0.0186446, 0.0182844, 0.0183639, 0.0180943, 0.0179619, 0.0182826, 0.0165288", \ + "0.0228772, 0.0218214, 0.0217934, 0.0217953, 0.0217017, 0.0209078, 0.0223495", \ + "0.0315442, 0.0295722, 0.0293155, 0.0291515, 0.0291543, 0.0290679, 0.0278273", \ + "0.0494258, 0.0458743, 0.0453551, 0.0448899, 0.0444926, 0.0440445, 0.0440953", \ + "0.0847657, 0.079269, 0.0781258, 0.0771294, 0.0764425, 0.0751707, 0.0751617" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.101003, 0.13096, 0.15148, 0.185374, 0.242027, 0.336338, 0.49341", \ + "0.116767, 0.146792, 0.167269, 0.20116, 0.257787, 0.352043, 0.509204", \ + "0.12585, 0.155822, 0.176348, 0.210197, 0.266854, 0.361089, 0.518265", \ + "0.137673, 0.167662, 0.188156, 0.222008, 0.278667, 0.372926, 0.530039", \ + "0.156402, 0.186354, 0.206859, 0.240721, 0.29733, 0.391626, 0.54877", \ + "0.184035, 0.213981, 0.234518, 0.268344, 0.325021, 0.419279, 0.576402", \ + "0.214109, 0.243964, 0.264458, 0.298302, 0.354975, 0.449291, 0.606491" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0086769, 0.0504797, 0.0810879, 0.131839, 0.216881, 0.358631, 0.595002", \ + "0.0086779, 0.0505091, 0.0810889, 0.13184, 0.216882, 0.362679, 0.595003", \ + "0.0086809, 0.0505101, 0.0810899, 0.131841, 0.216883, 0.36268, 0.597443", \ + "0.0086879, 0.0505111, 0.0810909, 0.131842, 0.216884, 0.362681, 0.597444", \ + "0.0087019, 0.0505121, 0.0810967, 0.131843, 0.21692, 0.362682, 0.597445", \ + "0.008717, 0.0505131, 0.081098, 0.131884, 0.216921, 0.362683, 0.597446", \ + "0.008789, 0.0505141, 0.081104, 0.131885, 0.216956, 0.362684, 0.597447" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.118091, 0.144351, 0.161839, 0.19066, 0.238816, 0.319108, 0.452923", \ + "0.132292, 0.158675, 0.176118, 0.204973, 0.253125, 0.333459, 0.467099", \ + "0.141877, 0.168214, 0.185683, 0.214481, 0.262662, 0.342886, 0.476549", \ + "0.156171, 0.182514, 0.199983, 0.228754, 0.276965, 0.357212, 0.490872", \ + "0.173828, 0.200156, 0.217624, 0.246463, 0.294602, 0.374859, 0.508554", \ + "0.196222, 0.22252, 0.239989, 0.268824, 0.316936, 0.397218, 0.530901", \ + "0.224217, 0.250477, 0.267928, 0.296728, 0.344906, 0.425162, 0.558959" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0086831, 0.0412654, 0.0653363, 0.105625, 0.173301, 0.286133, 0.474492", \ + "0.0086841, 0.0412664, 0.0653373, 0.105647, 0.173302, 0.286565, 0.474493", \ + "0.0086851, 0.0412674, 0.0653383, 0.105648, 0.173303, 0.286566, 0.474494", \ + "0.00869, 0.0412684, 0.0653393, 0.105649, 0.173304, 0.286567, 0.474495", \ + "0.008691, 0.0412694, 0.0653403, 0.10565, 0.173305, 0.286568, 0.47453", \ + "0.008706, 0.0412704, 0.065353, 0.105651, 0.173306, 0.286569, 0.474531", \ + "0.008747, 0.0412714, 0.065354, 0.105652, 0.173307, 0.28657, 0.474532" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.104543, 0.134491, 0.155014, 0.188845, 0.24548, 0.339751, 0.496971", \ + "0.12014, 0.150098, 0.170601, 0.204414, 0.261001, 0.355332, 0.512469", \ + "0.129252, 0.159254, 0.1798, 0.21361, 0.27024, 0.364555, 0.521737", \ + "0.141506, 0.171501, 0.192031, 0.225894, 0.282502, 0.376813, 0.533906", \ + "0.152543, 0.182517, 0.203067, 0.236874, 0.293531, 0.387819, 0.545091", \ + "0.159175, 0.189182, 0.209729, 0.243573, 0.30024, 0.394496, 0.551639", \ + "0.159176, 0.189183, 0.20973, 0.243574, 0.300241, 0.394497, 0.55164" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.008686, 0.0505048, 0.0811403, 0.131835, 0.216883, 0.3586, 0.594841", \ + "0.0086862, 0.0505058, 0.0811413, 0.131836, 0.216884, 0.358701, 0.594842", \ + "0.0086872, 0.0505068, 0.0811423, 0.131837, 0.216885, 0.358702, 0.594843", \ + "0.0086882, 0.0505078, 0.0811433, 0.131838, 0.216886, 0.358703, 0.594844", \ + "0.0086892, 0.0505088, 0.0811443, 0.131839, 0.216887, 0.358704, 0.594905", \ + "0.0086902, 0.0505098, 0.0811453, 0.13184, 0.216888, 0.358705, 0.594906", \ + "0.0086912, 0.0505108, 0.0811463, 0.131841, 0.216889, 0.358706, 0.594907" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.109764, 0.136115, 0.15358, 0.182485, 0.230571, 0.310942, 0.444544", \ + "0.125243, 0.151675, 0.169109, 0.197946, 0.246108, 0.326348, 0.460163", \ + "0.134808, 0.161159, 0.178638, 0.207479, 0.255608, 0.335862, 0.469545", \ + "0.147894, 0.174244, 0.191711, 0.220543, 0.268717, 0.348977, 0.482587", \ + "0.160712, 0.187043, 0.204476, 0.233302, 0.281489, 0.361743, 0.495403", \ + "0.169381, 0.19564, 0.213084, 0.241866, 0.29007, 0.370285, 0.504033", \ + "0.169382, 0.195641, 0.213085, 0.241867, 0.290071, 0.370286, 0.504034" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0086559, 0.0412265, 0.0653517, 0.105615, 0.173306, 0.286239, 0.474455", \ + "0.0086569, 0.0412275, 0.0653527, 0.105616, 0.173307, 0.28624, 0.474456", \ + "0.0086706, 0.0412408, 0.0653537, 0.105617, 0.173308, 0.286241, 0.474457", \ + "0.0086769, 0.041242, 0.0653547, 0.105618, 0.173309, 0.286242, 0.474458", \ + "0.0087042, 0.0412534, 0.0653557, 0.105619, 0.17331, 0.286243, 0.474459", \ + "0.008735, 0.041265, 0.0653567, 0.10562, 0.173311, 0.286244, 0.47446", \ + "0.008837, 0.04129, 0.0653577, 0.105621, 0.173312, 0.286245, 0.474461" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0543725, 0.0844369, 0.104976, 0.138847, 0.195488, 0.289898, 0.446926", \ + "0.0772146, 0.106519, 0.126969, 0.160792, 0.217429, 0.311758, 0.468868", \ + "0.0904574, 0.119063, 0.1394, 0.173216, 0.229801, 0.32411, 0.481228", \ + "0.10805, 0.135575, 0.155732, 0.189423, 0.245931, 0.340173, 0.497265", \ + "0.134155, 0.160186, 0.179958, 0.213495, 0.269848, 0.364155, 0.521285", \ + "0.171467, 0.195381, 0.214864, 0.24785, 0.304034, 0.398005, 0.555118", \ + "0.212744, 0.234236, 0.253129, 0.28548, 0.340993, 0.434576, 0.591446" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00866712, 0.0504805, 0.0810789, 0.131832, 0.216865, 0.358619, 0.59479", \ + "0.0092384, 0.0505499, 0.0811143, 0.131833, 0.216866, 0.358999, 0.595552", \ + "0.0097826, 0.0506421, 0.0811716, 0.131885, 0.216867, 0.359, 0.595553", \ + "0.0107377, 0.0508314, 0.0812513, 0.131886, 0.216868, 0.359001, 0.595554", \ + "0.0123146, 0.0511974, 0.0814274, 0.132006, 0.216888, 0.359002, 0.595555", \ + "0.01475, 0.051908, 0.08173, 0.132203, 0.217081, 0.359003, 0.595556", \ + "0.018526, 0.053926, 0.082817, 0.13265, 0.217301, 0.359004, 0.595557" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00312955, 0.00359565, 0.00366525, 0.00369445, 0.00356525, 0.00331605, 0.00294795", \ + "0.00209645, 0.00258535, 0.00266575, 0.00282125, 0.00256555, 0.00376525, 0.00177795", \ + "0.00205975, 0.00259675, 0.00266135, 0.00260685, 0.00274585, 0.00216915, 0.00265735", \ + "0.002121, 0.0026214, 0.0027433, 0.0027999, 0.0026917, 0.0026526, 0.0018422", \ + "0.0020232, 0.0024712, 0.0026086, 0.0026586, 0.0027624, 0.0026066, 0.002062", \ + "0.00187965, 0.00228695, 0.00243025, 0.00239885, 0.00248955, 0.00241495, 0.00249045", \ + "0.0018983, 0.0022227, 0.0022719, 0.002322, 0.0023606, 0.0021798, 0.0020967" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0105948, 0.0106896, 0.0106877, 0.0106014, 0.0104007, 0.0099432, 0.0091251", \ + "0.0105963, 0.0106791, 0.010677, 0.0109583, 0.0104509, 0.0100734, 0.00920905", \ + "0.0106208, 0.0108071, 0.0108815, 0.0106725, 0.0105904, 0.0110241, 0.00916445", \ + "0.0107137, 0.0108569, 0.0109588, 0.0110337, 0.0109791, 0.0103647, 0.0103492", \ + "0.010665, 0.0107654, 0.0108877, 0.0108352, 0.0109914, 0.0108342, 0.0102221", \ + "0.0108215, 0.010906, 0.0108785, 0.0108148, 0.0109208, 0.0108217, 0.0110256", \ + "0.0109611, 0.0109185, 0.0109216, 0.0109688, 0.0107859, 0.0105176, 0.0105468" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0288302, 0.0293223, 0.0294163, 0.029375, 0.0292682, 0.029011, 0.0285772", \ + "0.0297992, 0.0302681, 0.0303742, 0.0304698, 0.0303236, 0.0299967, 0.0295265", \ + "0.0313469, 0.0318952, 0.0319836, 0.0319212, 0.0320505, 0.0318725, 0.0317244", \ + "0.0347857, 0.0353384, 0.0354336, 0.0355336, 0.0354508, 0.0351848, 0.0354507", \ + "0.0419961, 0.0424943, 0.0426157, 0.042681, 0.0427118, 0.0425811, 0.0423021", \ + "0.0566418, 0.0571879, 0.057199, 0.0572264, 0.0572365, 0.0572811, 0.0572159", \ + "0.0857832, 0.0862831, 0.086389, 0.086363, 0.0862188, 0.0863015, 0.0861276" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.022399, 0.0224943, 0.0224872, 0.0224377, 0.0222054, 0.0217406, 0.021007", \ + "0.0223566, 0.0224546, 0.0224543, 0.0225741, 0.022278, 0.021742, 0.0209672", \ + "0.022424, 0.0226295, 0.0226869, 0.0225361, 0.0223829, 0.0223981, 0.0209772", \ + "0.0226652, 0.0227896, 0.0229046, 0.0229835, 0.0228053, 0.0224479, 0.0220235", \ + "0.0233077, 0.023367, 0.0234811, 0.0233871, 0.0236103, 0.0235274, 0.0224677", \ + "0.0245532, 0.0245973, 0.0246202, 0.0244552, 0.024548, 0.0244872, 0.0245797", \ + "0.0267661, 0.0266901, 0.0266301, 0.0265582, 0.0265602, 0.0261554, 0.0263198" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153362, 0.0158423, 0.0158838, 0.0158885, 0.0157696, 0.0155455, 0.0150036", \ + "0.0166998, 0.0166919, 0.0167401, 0.0168898, 0.0166561, 0.016514, 0.0163147", \ + "0.0187253, 0.0182907, 0.0183309, 0.0182635, 0.0183335, 0.0179891, 0.017521", \ + "0.0228951, 0.021837, 0.0218125, 0.0217763, 0.0216333, 0.0215691, 0.0207902", \ + "0.0316883, 0.0295775, 0.0293267, 0.0291604, 0.0291158, 0.0290407, 0.0284519", \ + "0.0494613, 0.0458924, 0.0453892, 0.0448178, 0.0446374, 0.0443574, 0.0441714", \ + "0.0848422, 0.0793601, 0.0782245, 0.0771943, 0.0764917, 0.0756612, 0.075139" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00238754; + rise_capacitance : 0.00258639; + rise_capacitance_range (0.00258639, 0.00258639); + fall_capacitance : 0.00218869; + fall_capacitance_range (0.00218869, 0.00218869); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0464586, -0.0202131, 0.00871436, 0.0477424", \ + "-0.0896032, -0.061115, -0.0331243, 0.0060957", \ + "-0.124444, -0.0901291, -0.0620624, -0.0213086", \ + "-0.15028, -0.118805, -0.0860396, -0.0472246" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0195615, 0.0471742, 0.10387, 0.169169", \ + "-0.0696366, 0.00254646, 0.0612825, 0.127052", \ + "-0.10387, -0.0298136, 0.029682, 0.100164", \ + "-0.13409, -0.0555777, 0.00435888, 0.07674" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.051349, 0.035188, 0.0298623, 0.0359068", \ + "0.0970907, 0.0738473, 0.0593484, 0.0516333", \ + "0.129588, 0.100619, 0.0809509, 0.0665078", \ + "0.155677, 0.127052, 0.102989, 0.0826431" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0244519, -0.0421825, -0.0987266, -0.161074", \ + "0.0746283, 0.00254646, -0.0560377, -0.121554", \ + "0.109014, 0.0350584, -0.0269836, -0.0945144", \ + "0.136788, 0.0610757, -0.00153393, -0.0708369" \ + ); + } + } + internal_power () { + when : "(!GATE * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00180966, 0.0032357, 0.00571362, 0.0110553, 0.0227731, 0.0463441, 0.0943626" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00359198, 0.00542556, 0.00793191, 0.0133174, 0.0246026, 0.0482601, 0.0957365" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0316504, 0.0330343, 0.0354079, 0.0408104, 0.0527846, 0.0774877, 0.128183" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0451367, 0.0488973, 0.0514549, 0.0568248, 0.0685762, 0.0933403, 0.143896" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0316504, 0.0330343, 0.0354079, 0.0408104, 0.0527846, 0.0774877, 0.128183" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0451367, 0.0488973, 0.0514549, 0.0568248, 0.0685762, 0.0933403, 0.143896" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00262003; + rise_capacitance : 0.00299879; + rise_capacitance_range (0.00299879, 0.00299879); + fall_capacitance : 0.00195721; + fall_capacitance_range (0.00195721, 0.00195721); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0437927, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.01899, 0.0207181, 0.0239008, 0.0309954, 0.0464604, 0.0778413, 0.141526" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0238442, 0.0263056, 0.0298901, 0.0370519, 0.0526756, 0.0845807, 0.147628" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0291124, 0.0314754, 0.0347058, 0.0411775, 0.0555699, 0.0856376, 0.145443" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.013191, 0.0149513, 0.0179658, 0.0246503, 0.038813, 0.068151, 0.127421" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0291603, 0.0315218, 0.034702, 0.0412247, 0.0556122, 0.0856973, 0.145978" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.013191, 0.0149513, 0.0179658, 0.0246503, 0.038813, 0.068151, 0.127421" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0291124, 0.0314754, 0.0347058, 0.0411775, 0.0555699, 0.0856376, 0.145443" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00350567; + rise_capacitance : 0.0037197; + rise_capacitance_range (0.0037197, 0.0037197); + fall_capacitance : 0.00337725; + fall_capacitance_range (0.00337725, 0.00337725); + timing () { + related_pin : "GATE"; + timing_type : recovery_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.00244519, -0.064645, -0.119301, -0.185359", \ + "0.0321992, -0.0356504, -0.100619, -0.179283", \ + "0.0678652, -0.00165537, -0.0701575, -0.151013", \ + "0.10171, 0.0308367, -0.0382583, -0.121013" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : removal_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.00978076, 0.0746283, 0.139875, 0.217739", \ + "-0.0272076, 0.0432898, 0.111108, 0.190279", \ + "-0.0627217, 0.00952261, 0.0782526, 0.156663", \ + "-0.0936146, -0.0253387, 0.0467332, 0.126916" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0904846, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dlhrq_1) { + area : 27.216; + cell_footprint : "DLHRQ"; + cell_leakage_power : 3583.85; + leakage_power () { + value : 3295.81; + when : "!RESET_B*!Q*!D*!GATE"; + } + leakage_power () { + value : 3554.97; + when : "!RESET_B*!Q*!D*GATE"; + } + leakage_power () { + value : 3306.89; + when : "!RESET_B*!Q*D*!GATE"; + } + leakage_power () { + value : 2977.27; + when : "!RESET_B*!Q*D*GATE"; + } + leakage_power () { + value : 3796.43; + when : "RESET_B*!GATE*!D*!Q"; + } + leakage_power () { + value : 3796.43; + when : "RESET_B*!GATE*!D*Q"; + } + leakage_power () { + value : 3807.63; + when : "RESET_B*!GATE*D*!Q"; + } + leakage_power () { + value : 3807.63; + when : "RESET_B*!GATE*D*Q"; + } + leakage_power () { + value : 3449.13; + when : "D*GATE*RESET_B*Q"; + } + leakage_power () { + value : 4046.29; + when : "!D*GATE*RESET_B*!Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.090297, 0.125164, 0.1459, 0.179786, 0.236375, 0.330441, 0.48708", \ + "0.104786, 0.139656, 0.160394, 0.194248, 0.250767, 0.344847, 0.501585", \ + "0.114507, 0.149381, 0.170129, 0.203955, 0.26048, 0.35453, 0.511284", \ + "0.128889, 0.163787, 0.184542, 0.218404, 0.274891, 0.368992, 0.525642", \ + "0.146806, 0.181691, 0.202439, 0.236295, 0.292825, 0.386938, 0.543671", \ + "0.169287, 0.204257, 0.225028, 0.258912, 0.315392, 0.409506, 0.56626", \ + "0.197059, 0.232158, 0.252939, 0.286835, 0.343321, 0.437455, 0.594128" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114004, 0.0522886, 0.0821162, 0.132253, 0.216945, 0.358217, 0.593891", \ + "0.0114169, 0.0522896, 0.0821172, 0.132254, 0.216946, 0.359566, 0.593892", \ + "0.0114199, 0.0522951, 0.0821182, 0.132255, 0.216947, 0.359567, 0.593893", \ + "0.011461, 0.0523041, 0.0821192, 0.132261, 0.216948, 0.359568, 0.593894", \ + "0.0115448, 0.0523343, 0.0821378, 0.132262, 0.216949, 0.359569, 0.593917", \ + "0.011699, 0.052404, 0.082175, 0.132325, 0.21695, 0.35957, 0.593918", \ + "0.01199, 0.052529, 0.082255, 0.132357, 0.216951, 0.359571, 0.593919" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0802628, 0.10993, 0.127686, 0.156864, 0.205544, 0.286528, 0.421451", \ + "0.0963659, 0.126001, 0.143829, 0.172906, 0.221549, 0.302532, 0.437437", \ + "0.105546, 0.135245, 0.153025, 0.182138, 0.230759, 0.311707, 0.446581", \ + "0.117734, 0.147411, 0.165193, 0.194321, 0.242943, 0.323938, 0.458747", \ + "0.136981, 0.166692, 0.184476, 0.213631, 0.262235, 0.343228, 0.478078", \ + "0.165108, 0.194913, 0.212706, 0.241867, 0.290504, 0.371479, 0.506349", \ + "0.195388, 0.22537, 0.243205, 0.272328, 0.321034, 0.40201, 0.536922" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.008602, 0.0418826, 0.0660236, 0.106645, 0.175043, 0.289177, 0.479562", \ + "0.0086131, 0.0418883, 0.0660297, 0.106646, 0.175044, 0.289178, 0.479563", \ + "0.0086159, 0.0419046, 0.0660345, 0.106649, 0.175051, 0.289179, 0.479564", \ + "0.0086889, 0.0419195, 0.0660642, 0.10665, 0.175056, 0.289181, 0.479565", \ + "0.0087779, 0.0419555, 0.0660808, 0.106655, 0.175057, 0.289182, 0.479566", \ + "0.008953, 0.042024, 0.066115, 0.10668, 0.175086, 0.289288, 0.479567", \ + "0.009327, 0.042174, 0.066202, 0.106766, 0.175087, 0.289289, 0.479568" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0818105, 0.116585, 0.137313, 0.171189, 0.227774, 0.321757, 0.478549", \ + "0.0974038, 0.132163, 0.152931, 0.186791, 0.243303, 0.337386, 0.494147", \ + "0.107016, 0.14173, 0.162504, 0.196371, 0.252843, 0.346921, 0.503619", \ + "0.119958, 0.154769, 0.175507, 0.209375, 0.26586, 0.359954, 0.516669", \ + "0.132658, 0.167542, 0.188283, 0.22216, 0.27864, 0.372783, 0.529467", \ + "0.140946, 0.175911, 0.19668, 0.230594, 0.287082, 0.38119, 0.537875", \ + "0.140947, 0.175912, 0.196681, 0.230595, 0.287083, 0.381191, 0.537876" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011285, 0.0522542, 0.0820732, 0.132277, 0.216935, 0.358156, 0.593889", \ + "0.0113131, 0.0522552, 0.0820766, 0.132278, 0.216936, 0.358739, 0.59389", \ + "0.0113443, 0.0522672, 0.0820905, 0.132279, 0.216937, 0.35874, 0.593891", \ + "0.0114418, 0.0523095, 0.0821174, 0.132281, 0.216938, 0.358741, 0.593892", \ + "0.0115955, 0.0523983, 0.0821679, 0.132325, 0.216939, 0.358742, 0.593893", \ + "0.011949, 0.052545, 0.082283, 0.132371, 0.21694, 0.358743, 0.593894", \ + "0.01266, 0.052847, 0.08242, 0.132442, 0.216942, 0.358744, 0.593895" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0836268, 0.113339, 0.131152, 0.160188, 0.208853, 0.289834, 0.424829", \ + "0.0994679, 0.129175, 0.146982, 0.176088, 0.224687, 0.305643, 0.440569", \ + "0.108548, 0.138275, 0.156082, 0.185195, 0.233795, 0.314727, 0.4496", \ + "0.120831, 0.150601, 0.168396, 0.197509, 0.246091, 0.327045, 0.461897", \ + "0.132276, 0.162037, 0.179831, 0.208945, 0.257576, 0.338526, 0.473357", \ + "0.138686, 0.168424, 0.186214, 0.215322, 0.263941, 0.344962, 0.479765", \ + "0.138687, 0.168425, 0.186215, 0.215323, 0.263942, 0.344963, 0.479766" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0088126, 0.0419684, 0.0661081, 0.106673, 0.175102, 0.289157, 0.479436", \ + "0.0088136, 0.0419694, 0.0661096, 0.106674, 0.175103, 0.289352, 0.479769", \ + "0.0088146, 0.0419704, 0.0661106, 0.106675, 0.175104, 0.289353, 0.47977", \ + "0.0088156, 0.0419714, 0.0661116, 0.106676, 0.175105, 0.289354, 0.479771", \ + "0.0088166, 0.0419724, 0.0661126, 0.106677, 0.175106, 0.289355, 0.479772", \ + "0.0088176, 0.0419734, 0.0661136, 0.106678, 0.175107, 0.289356, 0.479773", \ + "0.008819, 0.0419744, 0.0661146, 0.106679, 0.175108, 0.289357, 0.479774" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0336736, 0.0634378, 0.0812478, 0.110408, 0.159107, 0.240084, 0.375155", \ + "0.0535003, 0.0849303, 0.102819, 0.132069, 0.180826, 0.261835, 0.396817", \ + "0.0647327, 0.0975376, 0.1156, 0.144825, 0.1936, 0.274638, 0.409546", \ + "0.0789865, 0.114773, 0.133034, 0.162353, 0.210965, 0.292057, 0.427153", \ + "0.102498, 0.142637, 0.161631, 0.191893, 0.241278, 0.322022, 0.456966", \ + "0.137135, 0.183788, 0.205344, 0.237101, 0.287787, 0.370846, 0.507154", \ + "0.179467, 0.235212, 0.260328, 0.295581, 0.349488, 0.436784, 0.578227" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00858774, 0.0419808, 0.0661408, 0.106711, 0.175088, 0.289242, 0.479709", \ + "0.0113865, 0.0432064, 0.066913, 0.107129, 0.175307, 0.289385, 0.481735", \ + "0.0132992, 0.0444066, 0.0676632, 0.107564, 0.175655, 0.289523, 0.481736", \ + "0.0168241, 0.0473251, 0.0694893, 0.108639, 0.1762, 0.289863, 0.481737", \ + "0.022302, 0.0528078, 0.0741068, 0.11191, 0.178367, 0.291287, 0.481738", \ + "0.030836, 0.062741, 0.083523, 0.120153, 0.185471, 0.2972, 0.484886", \ + "0.04426, 0.079788, 0.100084, 0.135564, 0.199817, 0.312258, 0.500205" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0042831, 0.0043066, 0.0043381, 0.004253, 0.0041279, 0.0036845, 0.0030424", \ + "0.0042843, 0.0043453, 0.0044265, 0.0046798, 0.0042074, 0.0041628, 0.0030851", \ + "0.0043227, 0.0045164, 0.0045456, 0.0043709, 0.0045603, 0.004244, 0.0034519", \ + "0.0044437, 0.0046231, 0.0047099, 0.0047855, 0.0046202, 0.0041647, 0.0040496", \ + "0.0045253, 0.0045898, 0.0046656, 0.0047288, 0.0048066, 0.0046109, 0.0035926", \ + "0.0041808, 0.0043062, 0.0041528, 0.0042096, 0.0042024, 0.004275, 0.0041763", \ + "0.00475301, 0.004648, 0.004776, 0.004904, 0.00434901, 0.004512, 0.004336" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.0042831, -0.0043066, -0.0043381, -0.004253, -0.0041279, -0.0036845, -0.0030424", \ + "-0.0042843, -0.0043453, -0.0044265, -0.0046798, -0.0042074, -0.0041628, -0.0030851", \ + "-0.0043227, -0.0045164, -0.0045456, -0.0043709, -0.0045603, -0.004244, -0.0034519", \ + "-0.0044437, -0.0046231, -0.0047099, -0.0047855, -0.0046202, -0.0041647, -0.0040496", \ + "-0.0045253, -0.0045898, -0.0046656, -0.0047288, -0.0048066, -0.0046109, -0.0035926", \ + "-0.0041808, -0.0043062, -0.0041528, -0.0042096, -0.0042024, -0.004275, -0.0041763", \ + "-0.00475301, -0.004648, -0.004776, -0.004904, -0.00434901, -0.004512, -0.004336" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0282243, 0.0283608, 0.0283792, 0.0282983, 0.0282137, 0.0277312, 0.0271247", \ + "0.0281749, 0.0283082, 0.0283736, 0.0285648, 0.0282996, 0.0278895, 0.0270731", \ + "0.0283369, 0.0285469, 0.0285806, 0.0283789, 0.0284465, 0.0279993, 0.0280004", \ + "0.0288475, 0.0290041, 0.0290879, 0.0291328, 0.0290578, 0.0285377, 0.0292517", \ + "0.029914, 0.029959, 0.0300512, 0.0300809, 0.030156, 0.0300044, 0.0293472", \ + "0.0324746, 0.0324386, 0.0323866, 0.0323746, 0.0324146, 0.0324956, 0.0323186", \ + "0.036943, 0.036831, 0.036823, 0.036775, 0.036498, 0.036561, 0.03629" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0281487, 0.0287801, 0.0288518, 0.0287567, 0.0286403, 0.028233, 0.0276319", \ + "0.0284396, 0.0290929, 0.0290825, 0.0292026, 0.0288891, 0.0286132, 0.0279374", \ + "0.0284038, 0.0291385, 0.0292632, 0.0291097, 0.0289286, 0.0290459, 0.0279972", \ + "0.0284918, 0.0291724, 0.0293471, 0.0294099, 0.0294104, 0.0287922, 0.0287415", \ + "0.0286831, 0.0293147, 0.0294601, 0.029395, 0.0295755, 0.0295297, 0.0291975", \ + "0.028692, 0.0292703, 0.029508, 0.0292286, 0.0293795, 0.0292742, 0.0294254", \ + "0.027691, 0.028322, 0.028295, 0.028233, 0.028399, 0.02783, 0.028034" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01484, 0.0155112, 0.015507, 0.0154595, 0.0152869, 0.0149592, 0.0144224", \ + "0.0172234, 0.0171769, 0.0171014, 0.0171349, 0.0170909, 0.0167422, 0.0169411", \ + "0.0205656, 0.0200213, 0.0200892, 0.0200116, 0.0199039, 0.0202665, 0.0189065", \ + "0.0276045, 0.0262708, 0.0261298, 0.0261628, 0.0260519, 0.0253776, 0.0254658", \ + "0.0424693, 0.0397098, 0.0393383, 0.0390381, 0.0390043, 0.0389768, 0.0378619", \ + "0.0732067, 0.0682588, 0.0675958, 0.0668263, 0.066023, 0.0655083, 0.0652944", \ + "0.134505, 0.126888, 0.125328, 0.123756, 0.122393, 0.120678, 0.120143" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0024345; + rise_capacitance : 0.00267555; + rise_capacitance_range (0.00267555, 0.00267555); + fall_capacitance : 0.00219345; + fall_capacitance_range (0.00219345, 0.00219345); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0415682, -0.0177173, 0.0112861, 0.0531392", \ + "-0.0871074, -0.0585686, -0.0305019, 0.0115937", \ + "-0.119301, -0.0875066, -0.0566657, -0.0184836", \ + "-0.147582, -0.113307, -0.0832146, -0.0413215" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0195615, 0.04967, 0.106442, 0.171867", \ + "-0.0696366, 0.00254646, 0.0612825, 0.129801", \ + "-0.10387, -0.0298136, 0.029682, 0.100164", \ + "-0.13409, -0.0583267, 0.00435888, 0.07674" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0464586, 0.0326922, 0.0298623, 0.0386052", \ + "0.092099, 0.0713009, 0.056726, 0.0488843", \ + "0.124444, 0.0979963, 0.0782526, 0.0636829", \ + "0.152979, 0.121554, 0.100164, 0.0796915" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0244519, -0.0446784, -0.101298, -0.163772", \ + "0.0746283, 0.00254646, -0.0560377, -0.124303", \ + "0.109014, 0.0350584, -0.0269836, -0.0973394", \ + "0.139487, 0.0638247, -0.00153393, -0.0708369" \ + ); + } + } + internal_power () { + when : "(!GATE * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000346034, 0.00172415, 0.00418576, 0.00950686, 0.021096, 0.0446734, 0.0923847" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00222576, 0.00401819, 0.00650644, 0.0118558, 0.0230728, 0.0466475, 0.0939116" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0323645, 0.0336488, 0.035989, 0.0413963, 0.0532304, 0.0784551, 0.128575" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0455652, 0.0492044, 0.0517401, 0.0571162, 0.0688211, 0.0935077, 0.144064" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0323645, 0.0336488, 0.035989, 0.0413963, 0.0532304, 0.0784551, 0.128575" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0455652, 0.0492044, 0.0517401, 0.0571162, 0.0688211, 0.0935077, 0.144064" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00254989; + rise_capacitance : 0.00302025; + rise_capacitance_range (0.00302025, 0.00302025); + fall_capacitance : 0.00196194; + fall_capacitance_range (0.00196194, 0.00196194); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0411987, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.019484, 0.0212213, 0.0243136, 0.0314837, 0.0467867, 0.0783189, 0.14141" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0232903, 0.025707, 0.0292788, 0.0364454, 0.0519813, 0.0837449, 0.146636" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0294275, 0.0317803, 0.0350367, 0.0415109, 0.0559402, 0.0858195, 0.145701" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0136789, 0.0153786, 0.0183958, 0.0250858, 0.0392994, 0.0684854, 0.127338" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0294728, 0.0318169, 0.0350133, 0.041596, 0.0560049, 0.0858327, 0.145611" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0136789, 0.0153786, 0.0183958, 0.0250858, 0.0392994, 0.0684854, 0.127338" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0294275, 0.0317803, 0.0350367, 0.0415109, 0.0559402, 0.0858195, 0.145701" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00332509; + rise_capacitance : 0.00350271; + rise_capacitance_range (0.00350271, 0.00350271); + fall_capacitance : 0.00319188; + fall_capacitance_range (0.00319188, 0.00319188); + timing () { + related_pin : "GATE"; + timing_type : recovery_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.00733557, -0.0696366, -0.127016, -0.196152", \ + "0.0172243, -0.0534757, -0.118976, -0.195777", \ + "0.0344321, -0.0357467, -0.102538, -0.182088", \ + "0.0477424, -0.0213943, -0.0919324, -0.171189" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : removal_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0146711, 0.0821157, 0.14759, 0.225834", \ + "-0.0097368, 0.061115, 0.126843, 0.206773", \ + "-0.0292886, 0.0409916, 0.107935, 0.190563", \ + "-0.0423457, 0.0296413, 0.0975823, 0.180044" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0904846, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dllr_1) { + area : 34.4736; + cell_footprint : "DLLR"; + cell_leakage_power : 4417.04; + leakage_power () { + value : 4299.66; + when : "!RESET_B*!Q*Q_N*!D*!GATE_N"; + } + leakage_power () { + value : 4050.26; + when : "!RESET_B*!Q*Q_N*!D*GATE_N"; + } + leakage_power () { + value : 3709.89; + when : "!RESET_B*!Q*Q_N*D*!GATE_N"; + } + leakage_power () { + value : 4067.97; + when : "!RESET_B*!Q*Q_N*D*GATE_N"; + } + leakage_power () { + value : 4779.26; + when : "RESET_B*!GATE_N*!D*!Q*!Q_N"; + } + leakage_power () { + value : 4779.26; + when : "RESET_B*!GATE_N*!D*!Q*Q_N"; + } + leakage_power () { + value : 4779.26; + when : "RESET_B*!GATE_N*!D*Q*!Q_N"; + } + leakage_power () { + value : 4779.26; + when : "RESET_B*!GATE_N*!D*Q*Q_N"; + } + leakage_power () { + value : 4380.87; + when : "RESET_B*!GATE_N*D*!Q*!Q_N"; + } + leakage_power () { + value : 4380.87; + when : "RESET_B*!GATE_N*D*!Q*Q_N"; + } + leakage_power () { + value : 4380.87; + when : "RESET_B*!GATE_N*D*Q*!Q_N"; + } + leakage_power () { + value : 4380.87; + when : "RESET_B*!GATE_N*D*Q*Q_N"; + } + leakage_power () { + value : 4540.72; + when : "D*GATE_N*RESET_B*Q*!Q_N"; + } + leakage_power () { + value : 4529.59; + when : "!D*GATE_N*RESET_B*!Q*Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0983158, 0.136784, 0.158109, 0.192129, 0.248841, 0.343236, 0.50038", \ + "0.112543, 0.151035, 0.172297, 0.206407, 0.263097, 0.357442, 0.514589", \ + "0.122026, 0.160509, 0.181749, 0.21589, 0.272552, 0.366901, 0.524027", \ + "0.13604, 0.17457, 0.195819, 0.229915, 0.286653, 0.380955, 0.538083", \ + "0.15357, 0.192101, 0.213339, 0.24746, 0.30419, 0.398553, 0.555666", \ + "0.175534, 0.214122, 0.235389, 0.26949, 0.326288, 0.420601, 0.577743", \ + "0.20271, 0.241416, 0.262711, 0.296839, 0.353565, 0.447942, 0.605147" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130518, 0.0547094, 0.0837126, 0.133174, 0.217594, 0.359142, 0.595405", \ + "0.0130528, 0.0547104, 0.0837136, 0.133175, 0.217595, 0.359143, 0.595474", \ + "0.013062, 0.0547234, 0.0837146, 0.133176, 0.217596, 0.359144, 0.595475", \ + "0.0130927, 0.0547628, 0.0837156, 0.133177, 0.217597, 0.359145, 0.595476", \ + "0.0131487, 0.054793, 0.0837166, 0.133178, 0.217598, 0.359164, 0.595477", \ + "0.01329, 0.054859, 0.083767, 0.133179, 0.217599, 0.359165, 0.595478", \ + "0.013562, 0.05499, 0.083852, 0.133255, 0.217629, 0.359166, 0.595479" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0840808, 0.115725, 0.133569, 0.16249, 0.210683, 0.290898, 0.42467", \ + "0.0998919, 0.131513, 0.149362, 0.178254, 0.226452, 0.306705, 0.440456", \ + "0.108954, 0.140594, 0.158418, 0.18733, 0.235539, 0.31574, 0.449367", \ + "0.12088, 0.152518, 0.170372, 0.199267, 0.247457, 0.327677, 0.461301", \ + "0.139738, 0.17142, 0.189265, 0.218176, 0.266381, 0.346621, 0.480241", \ + "0.167642, 0.199417, 0.217285, 0.246206, 0.294433, 0.374671, 0.508311", \ + "0.198265, 0.230264, 0.248155, 0.277067, 0.325298, 0.405541, 0.539238" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0095018, 0.0427396, 0.0660708, 0.105676, 0.173138, 0.285907, 0.474205", \ + "0.0095028, 0.0427406, 0.0660718, 0.105677, 0.173171, 0.286, 0.47424", \ + "0.0095095, 0.042748, 0.0660728, 0.105678, 0.173172, 0.286001, 0.474241", \ + "0.0095524, 0.0427634, 0.0660738, 0.105679, 0.173173, 0.286002, 0.474242", \ + "0.0096352, 0.0427867, 0.0660775, 0.105697, 0.173174, 0.286003, 0.474243", \ + "0.009811, 0.042856, 0.066113, 0.105711, 0.173208, 0.28601, 0.474244", \ + "0.010164, 0.042991, 0.066183, 0.105744, 0.173213, 0.286011, 0.474245" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.107836, 0.146177, 0.167425, 0.201546, 0.258276, 0.352613, 0.509732", \ + "0.127729, 0.166015, 0.187277, 0.2214, 0.278047, 0.372492, 0.529567", \ + "0.140108, 0.178481, 0.199685, 0.233802, 0.290483, 0.384832, 0.541962", \ + "0.157475, 0.195835, 0.217061, 0.251178, 0.307879, 0.402194, 0.559317", \ + "0.187222, 0.225581, 0.246814, 0.280936, 0.337607, 0.432017, 0.589153", \ + "0.235895, 0.274274, 0.295497, 0.329651, 0.386349, 0.480701, 0.637806", \ + "0.306517, 0.344854, 0.366102, 0.40023, 0.456948, 0.551318, 0.70844" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012918, 0.054789, 0.0837033, 0.133176, 0.217602, 0.359142, 0.5954", \ + "0.0129513, 0.05479, 0.0837043, 0.133177, 0.217629, 0.359375, 0.595401", \ + "0.012957, 0.054791, 0.0837053, 0.133178, 0.21763, 0.359376, 0.597178", \ + "0.012958, 0.054792, 0.0837063, 0.133179, 0.217631, 0.359377, 0.597179", \ + "0.012959, 0.054793, 0.0837073, 0.13318, 0.217632, 0.359378, 0.59718", \ + "0.01296, 0.054794, 0.0837083, 0.133181, 0.217633, 0.359379, 0.597181", \ + "0.012961, 0.054795, 0.0837093, 0.133182, 0.217634, 0.35938, 0.597182" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.08094, 0.112681, 0.130514, 0.159483, 0.207639, 0.287847, 0.421593", \ + "0.102247, 0.134025, 0.151862, 0.180744, 0.228924, 0.309175, 0.442854", \ + "0.115534, 0.147308, 0.165133, 0.194073, 0.242218, 0.322437, 0.456083", \ + "0.134932, 0.166731, 0.184598, 0.21349, 0.261683, 0.341953, 0.475506", \ + "0.167555, 0.199443, 0.217301, 0.24623, 0.29441, 0.374646, 0.508344", \ + "0.220543, 0.252516, 0.270522, 0.299324, 0.347664, 0.42776, 0.561426", \ + "0.29528, 0.327977, 0.345914, 0.374832, 0.423034, 0.503227, 0.636937" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0096675, 0.0427671, 0.0660511, 0.105699, 0.17311, 0.285907, 0.474204", \ + "0.0097065, 0.0427681, 0.0660521, 0.1057, 0.173111, 0.286001, 0.474258", \ + "0.0097596, 0.0427783, 0.0660534, 0.105701, 0.173112, 0.286002, 0.474259", \ + "0.0098328, 0.042832, 0.066059, 0.105702, 0.173113, 0.286003, 0.47426", \ + "0.0100378, 0.0429103, 0.0661137, 0.105703, 0.173114, 0.286004, 0.474261", \ + "0.010499, 0.043081, 0.066198, 0.105745, 0.173129, 0.286005, 0.474262", \ + "0.011451, 0.043476, 0.06641, 0.105822, 0.173157, 0.286006, 0.474263" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0363556, 0.0692853, 0.0875558, 0.116736, 0.164819, 0.244975, 0.378594", \ + "0.0576335, 0.0927875, 0.111069, 0.140072, 0.188209, 0.268405, 0.402137", \ + "0.0696211, 0.106941, 0.125187, 0.154135, 0.202246, 0.282406, 0.416004", \ + "0.0853375, 0.125743, 0.143904, 0.172709, 0.220672, 0.300763, 0.434421", \ + "0.109317, 0.154295, 0.172155, 0.200769, 0.248458, 0.32835, 0.461925", \ + "0.142498, 0.191768, 0.209215, 0.236915, 0.284557, 0.363979, 0.497117", \ + "0.177893, 0.229456, 0.245699, 0.2725, 0.319509, 0.398634, 0.530995" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00967604, 0.0439802, 0.067321, 0.106183, 0.172977, 0.285427, 0.473654", \ + "0.0128492, 0.0453471, 0.0675673, 0.106184, 0.172978, 0.285563, 0.474272", \ + "0.0153514, 0.0467926, 0.0682216, 0.106274, 0.172979, 0.285564, 0.474273", \ + "0.0195346, 0.0494047, 0.0693447, 0.106546, 0.173028, 0.285599, 0.474274", \ + "0.0259619, 0.0531724, 0.0708442, 0.106871, 0.173047, 0.28571, 0.474275", \ + "0.035512, 0.057884, 0.072718, 0.107237, 0.17306, 0.285711, 0.474276", \ + "0.04861, 0.062174, 0.07461, 0.107627, 0.17313, 0.285752, 0.474277" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0219181, 0.0527638, 0.074137, 0.109234, 0.16784, 0.265332, 0.427897", \ + "0.0220097, 0.0527813, 0.0742559, 0.109656, 0.167949, 0.265349, 0.428021", \ + "0.0219963, 0.052915, 0.0743148, 0.109303, 0.168361, 0.265856, 0.42793", \ + "0.0220931, 0.0530712, 0.0744642, 0.109718, 0.16835, 0.265908, 0.429413", \ + "0.0220826, 0.0529729, 0.0743349, 0.109691, 0.168501, 0.266406, 0.428468", \ + "0.0224957, 0.0533747, 0.0745437, 0.109884, 0.168651, 0.266759, 0.430087", \ + "0.022427, 0.053034, 0.074336, 0.10969, 0.168039, 0.266239, 0.429221" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0069216, 0.0382717, 0.0595761, 0.0944751, 0.152771, 0.249867, 0.411474", \ + "0.000627197, 0.0319766, 0.0532102, 0.0885392, 0.146797, 0.245402, 0.405287", \ + "0.000587501, 0.0321183, 0.0535499, 0.0882769, 0.146946, 0.244361, 0.406163", \ + "0.0004186, 0.0319547, 0.0534163, 0.0886843, 0.147084, 0.243903, 0.406601", \ + "0.000289202, 0.0316678, 0.0529878, 0.0884158, 0.147346, 0.245147, 0.406165", \ + "0.000117801, 0.0312837, 0.0527337, 0.0879087, 0.146537, 0.24454, 0.407849", \ + "-0.000256002, 0.030823, 0.052068, 0.086984, 0.145884, 0.243025, 0.406917" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0454777, 0.0762404, 0.0977546, 0.132735, 0.191432, 0.28883, 0.451256", \ + "0.0455102, 0.0763483, 0.0977739, 0.133114, 0.191671, 0.289169, 0.451372", \ + "0.0454155, 0.0763784, 0.0976113, 0.132722, 0.1919, 0.28913, 0.452566", \ + "0.0454544, 0.0764215, 0.0979015, 0.13308, 0.191618, 0.289553, 0.451319", \ + "0.0451859, 0.076087, 0.097565, 0.132833, 0.191561, 0.28942, 0.451697", \ + "0.0442919, 0.0752539, 0.0966199, 0.131691, 0.190709, 0.288563, 0.451714", \ + "0.043474, 0.07437, 0.095593, 0.13086, 0.189415, 0.287375, 0.450683" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0419447, 0.0732862, 0.0945716, 0.129509, 0.187832, 0.284908, 0.446469", \ + "0.0419043, 0.0733066, 0.0945179, 0.129805, 0.187891, 0.285876, 0.446594", \ + "0.042102, 0.0735538, 0.0949963, 0.129731, 0.188556, 0.286491, 0.447398", \ + "0.0425523, 0.0740145, 0.0955365, 0.130812, 0.189089, 0.285899, 0.450958", \ + "0.0433138, 0.074489, 0.095951, 0.131257, 0.190214, 0.288013, 0.449069", \ + "0.0450989, 0.0761759, 0.0975779, 0.132358, 0.191462, 0.28939, 0.452889", \ + "0.048678, 0.079248, 0.100555, 0.135542, 0.194473, 0.291573, 0.455419" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0471783, 0.0785961, 0.100085, 0.13488, 0.193153, 0.290135, 0.451808", \ + "0.0493802, 0.0799127, 0.100972, 0.136163, 0.195145, 0.291568, 0.453595", \ + "0.0532241, 0.0828449, 0.104267, 0.139031, 0.197496, 0.296664, 0.45617", \ + "0.0613563, 0.0893728, 0.110524, 0.145665, 0.204022, 0.300676, 0.463892", \ + "0.0769493, 0.102801, 0.123235, 0.158377, 0.216973, 0.31477, 0.475418", \ + "0.105357, 0.127992, 0.148094, 0.182176, 0.240374, 0.337726, 0.500528", \ + "0.15343, 0.172857, 0.191581, 0.224811, 0.282523, 0.378804, 0.54181" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.101772, 0.131761, 0.152216, 0.186076, 0.242619, 0.336608, 0.493441", \ + "0.117613, 0.14756, 0.168005, 0.201791, 0.258277, 0.352438, 0.509233", \ + "0.126678, 0.156629, 0.177101, 0.210864, 0.267431, 0.361487, 0.518316", \ + "0.13846, 0.168417, 0.188875, 0.222677, 0.279169, 0.373259, 0.530046", \ + "0.157415, 0.187323, 0.207815, 0.241593, 0.298123, 0.392235, 0.548992", \ + "0.185417, 0.215319, 0.235804, 0.269529, 0.326112, 0.420171, 0.576996", \ + "0.216321, 0.246135, 0.266616, 0.300363, 0.356915, 0.45103, 0.607893" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0086737, 0.0504193, 0.0809285, 0.131585, 0.216441, 0.357897, 0.593668", \ + "0.0087015, 0.0504238, 0.0809366, 0.131586, 0.216442, 0.359436, 0.593669", \ + "0.0087073, 0.0504248, 0.0809376, 0.131587, 0.216443, 0.359437, 0.594447", \ + "0.0087083, 0.0504258, 0.0809386, 0.131588, 0.216444, 0.359438, 0.594448", \ + "0.0087267, 0.0504268, 0.080945, 0.131589, 0.216479, 0.359439, 0.594449", \ + "0.008756, 0.0504278, 0.080946, 0.13159, 0.21648, 0.35944, 0.59445", \ + "0.008817, 0.0504288, 0.080948, 0.13162, 0.216481, 0.359441, 0.594451" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.118455, 0.144853, 0.162377, 0.191072, 0.239336, 0.319539, 0.45342", \ + "0.132785, 0.159187, 0.176688, 0.205456, 0.253689, 0.33395, 0.467774", \ + "0.1422, 0.168592, 0.18607, 0.214893, 0.263075, 0.343308, 0.477006", \ + "0.156201, 0.182581, 0.200049, 0.228883, 0.277069, 0.357335, 0.491005", \ + "0.173755, 0.200153, 0.217599, 0.246408, 0.294636, 0.3749, 0.508611", \ + "0.195779, 0.222123, 0.239587, 0.268428, 0.316628, 0.396916, 0.530621", \ + "0.22308, 0.249367, 0.266834, 0.295678, 0.343826, 0.424091, 0.557935" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0087063, 0.0412901, 0.0653588, 0.10568, 0.17328, 0.286203, 0.474592", \ + "0.0087073, 0.0412911, 0.0653598, 0.105684, 0.173289, 0.286204, 0.474756", \ + "0.0087083, 0.0412921, 0.0653608, 0.105685, 0.173333, 0.286205, 0.474757", \ + "0.0087093, 0.0412931, 0.0653618, 0.105686, 0.173334, 0.286206, 0.474758", \ + "0.008717, 0.0412941, 0.0653628, 0.105687, 0.173335, 0.286214, 0.474759", \ + "0.008739, 0.0412951, 0.0653638, 0.105688, 0.173336, 0.286258, 0.47476", \ + "0.008785, 0.0412961, 0.0653648, 0.105689, 0.173344, 0.286259, 0.474761" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0987423, 0.128738, 0.14916, 0.183005, 0.239465, 0.33358, 0.490455", \ + "0.120064, 0.149992, 0.170457, 0.20423, 0.260707, 0.354808, 0.511631", \ + "0.133376, 0.163271, 0.183749, 0.217539, 0.274032, 0.368115, 0.524952", \ + "0.152763, 0.182702, 0.203187, 0.23695, 0.29348, 0.387548, 0.54432", \ + "0.185688, 0.21556, 0.236044, 0.269804, 0.326332, 0.4204, 0.577284", \ + "0.23861, 0.268404, 0.288853, 0.322636, 0.379156, 0.473286, 0.630101", \ + "0.313942, 0.343538, 0.363994, 0.397746, 0.454264, 0.548352, 0.705188" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0087112, 0.0504824, 0.0809457, 0.131577, 0.216416, 0.357892, 0.593589", \ + "0.0087214, 0.0504834, 0.0809508, 0.131578, 0.216427, 0.357963, 0.593619", \ + "0.008724, 0.0504844, 0.0809518, 0.131579, 0.216428, 0.357964, 0.596781", \ + "0.008725, 0.0504854, 0.0809528, 0.13158, 0.216429, 0.357965, 0.596782", \ + "0.0087391, 0.0504864, 0.0809538, 0.131581, 0.216491, 0.357966, 0.596783", \ + "0.008831, 0.0504874, 0.0809548, 0.13162, 0.216492, 0.357967, 0.596784", \ + "0.008987, 0.0504884, 0.0809558, 0.131621, 0.216493, 0.357968, 0.596785" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.127845, 0.15408, 0.171536, 0.200295, 0.24844, 0.328809, 0.462535", \ + "0.147685, 0.174161, 0.191592, 0.220432, 0.268657, 0.34896, 0.482687", \ + "0.16012, 0.186527, 0.203983, 0.232795, 0.280931, 0.361181, 0.494921", \ + "0.177494, 0.203917, 0.221396, 0.250185, 0.298371, 0.378626, 0.512326", \ + "0.20701, 0.233439, 0.250883, 0.279742, 0.327902, 0.408264, 0.541887", \ + "0.255845, 0.282393, 0.299835, 0.328689, 0.376841, 0.457118, 0.59074", \ + "0.326548, 0.352943, 0.370416, 0.399259, 0.447411, 0.527719, 0.661533" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0086985, 0.0413039, 0.0653491, 0.105632, 0.173255, 0.286306, 0.474592", \ + "0.0086995, 0.0413049, 0.0653574, 0.105665, 0.17335, 0.286317, 0.474652", \ + "0.0087005, 0.0413059, 0.0653584, 0.105666, 0.173351, 0.286318, 0.474653", \ + "0.0087015, 0.0413069, 0.0653594, 0.105667, 0.173352, 0.286319, 0.474654", \ + "0.0087025, 0.0413079, 0.0653604, 0.105668, 0.173353, 0.28632, 0.474655", \ + "0.0087035, 0.0413089, 0.0653614, 0.105669, 0.173354, 0.286321, 0.474656", \ + "0.0087045, 0.0413099, 0.0653624, 0.10567, 0.173355, 0.286322, 0.474657" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.054464, 0.0845231, 0.104972, 0.138813, 0.195303, 0.289414, 0.446314", \ + "0.0776591, 0.106949, 0.127312, 0.161094, 0.21758, 0.311711, 0.468503", \ + "0.0913314, 0.119946, 0.140214, 0.173947, 0.230434, 0.324541, 0.481322", \ + "0.109704, 0.136951, 0.157143, 0.190678, 0.247063, 0.341175, 0.497924", \ + "0.137073, 0.16318, 0.182897, 0.216144, 0.272403, 0.366478, 0.523154", \ + "0.17569, 0.199634, 0.218939, 0.25144, 0.307391, 0.40113, 0.557807", \ + "0.216278, 0.237065, 0.255651, 0.287525, 0.342944, 0.436072, 0.592726" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00881674, 0.0504508, 0.0809645, 0.131598, 0.216441, 0.35788, 0.5936", \ + "0.0094631, 0.050538, 0.0809911, 0.131599, 0.216442, 0.358235, 0.594348", \ + "0.0100775, 0.0506152, 0.0810408, 0.1316, 0.216443, 0.358236, 0.594349", \ + "0.0110566, 0.0507983, 0.0810898, 0.131601, 0.216444, 0.358237, 0.59435", \ + "0.012646, 0.0511614, 0.0812179, 0.131627, 0.216445, 0.358238, 0.594351", \ + "0.014617, 0.051524, 0.081337, 0.13166, 0.216447, 0.358239, 0.594352", \ + "0.016311, 0.051907, 0.08148, 0.131736, 0.216448, 0.35824, 0.594353" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0069757, 0.0384812, 0.0598061, 0.0950141, 0.153597, 0.25103, 0.413552", \ + "0.000729498, 0.032157, 0.0535512, 0.0889472, 0.14767, 0.245871, 0.407035", \ + "0.0006597, 0.0322092, 0.0535139, 0.0885889, 0.147801, 0.244652, 0.407788", \ + "0.000683699, 0.0322067, 0.0536813, 0.0888803, 0.147641, 0.24538, 0.407149", \ + "0.000512101, 0.0319098, 0.0534328, 0.0886258, 0.147699, 0.245306, 0.407464", \ + "0.000248305, 0.0315767, 0.0530737, 0.0880577, 0.147117, 0.245056, 0.408333", \ + "-3.99947e-05, 0.031096, 0.052437, 0.087639, 0.146424, 0.244199, 0.40751" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0219165, 0.052655, 0.073878, 0.108852, 0.167157, 0.264296, 0.426056", \ + "0.0219741, 0.0526564, 0.0739439, 0.109479, 0.167617, 0.264349, 0.426436", \ + "0.0220168, 0.0529281, 0.0742828, 0.109021, 0.167639, 0.265187, 0.426079", \ + "0.0221306, 0.0529165, 0.0743582, 0.109699, 0.168355, 0.265233, 0.428984", \ + "0.0221052, 0.0528209, 0.0742629, 0.109341, 0.168402, 0.266348, 0.427558", \ + "0.0225387, 0.0531867, 0.0744057, 0.109398, 0.168489, 0.266499, 0.429922", \ + "0.022407, 0.052899, 0.074155, 0.109318, 0.167755, 0.265248, 0.42846" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0581516, 0.0897466, 0.111017, 0.146191, 0.204701, 0.302267, 0.464637", \ + "0.0604891, 0.0919753, 0.113392, 0.148904, 0.207589, 0.304662, 0.466999", \ + "0.0640105, 0.0955437, 0.116853, 0.151974, 0.211151, 0.308148, 0.472645", \ + "0.0710897, 0.102606, 0.124062, 0.15932, 0.217945, 0.315829, 0.477945", \ + "0.0863844, 0.117718, 0.139222, 0.174469, 0.233419, 0.331187, 0.493441", \ + "0.118045, 0.149254, 0.170642, 0.205863, 0.264847, 0.362632, 0.526056", \ + "0.181046, 0.211783, 0.23318, 0.2684, 0.327017, 0.425106, 0.588322" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0455098, 0.0760741, 0.0972946, 0.132236, 0.190504, 0.287665, 0.449382", \ + "0.0455169, 0.0762398, 0.0974739, 0.13301, 0.190999, 0.287971, 0.449863", \ + "0.0454204, 0.0763367, 0.0975783, 0.132281, 0.1911, 0.28787, 0.45093", \ + "0.0454876, 0.0763085, 0.0977775, 0.132967, 0.19126, 0.288312, 0.452159", \ + "0.0450794, 0.075807, 0.097261, 0.132299, 0.191318, 0.289289, 0.450778", \ + "0.0443179, 0.0751239, 0.0964609, 0.131312, 0.190422, 0.288344, 0.451633", \ + "0.043453, 0.074102, 0.095317, 0.130435, 0.189324, 0.286267, 0.450134" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0472168, 0.0789262, 0.100168, 0.135261, 0.193918, 0.291411, 0.45365", \ + "0.0494325, 0.0799162, 0.101207, 0.136532, 0.194984, 0.292747, 0.455719", \ + "0.0532626, 0.08291, 0.104167, 0.139225, 0.19817, 0.295501, 0.457607", \ + "0.061356, 0.0894101, 0.110602, 0.14563, 0.204001, 0.301976, 0.463865", \ + "0.077146, 0.103008, 0.123736, 0.15832, 0.216998, 0.314653, 0.476859", \ + "0.105361, 0.128231, 0.148343, 0.182174, 0.240506, 0.337826, 0.500912", \ + "0.153462, 0.172961, 0.192194, 0.22547, 0.282785, 0.379195, 0.541543" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0024594; + rise_capacitance : 0.00266082; + rise_capacitance_range (0.00266082, 0.00266082); + fall_capacitance : 0.00225799; + fall_capacitance_range (0.00225799, 0.00225799); + timing () { + related_pin : "GATE_N"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0366779, 0.00474515, 0.0112861, -0.00352648", \ + "-0.0821157, -0.0381969, -0.0305019, -0.0406373", \ + "-0.114157, -0.0691498, -0.059364, -0.0693328", \ + "-0.142185, -0.0968127, -0.0832146, -0.0914977" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0415682, -0.0476672, -0.0710107, -0.114159", \ + "-0.0896032, -0.0916726, -0.114419, -0.156095", \ + "-0.124444, -0.12422, -0.145712, -0.185156", \ + "-0.152979, -0.151793, -0.170788, -0.212511" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0415682, 0.000246497, -0.00614259, 0.0089232", \ + "0.0871074, 0.0432898, 0.0357467, 0.0461353", \ + "0.119301, 0.0743946, 0.0647607, 0.0749827", \ + "0.147582, 0.102311, 0.0888645, 0.0974008" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0464586, 0.0626421, 0.114731, 0.205904", \ + "0.0945949, 0.104405, 0.143266, 0.219322", \ + "0.129588, 0.13471, 0.167299, 0.23318", \ + "0.158375, 0.162789, 0.193388, 0.253832" \ + ); + } + } + internal_power () { + when : "(GATE_N * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00192005, 0.00335085, 0.00582615, 0.0111443, 0.0228427, 0.046397, 0.0941599" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00449995, 0.00637583, 0.0088495, 0.0142043, 0.0254839, 0.0491161, 0.096448" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.033041, 0.0343661, 0.0367752, 0.0422038, 0.0541051, 0.0785953, 0.129473" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0455139, 0.0535098, 0.0560821, 0.0614717, 0.0731942, 0.0978703, 0.148518" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.033041, 0.0343661, 0.0367752, 0.0422038, 0.0541051, 0.0785953, 0.129473" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0455139, 0.0535098, 0.0560821, 0.0614717, 0.0731942, 0.0978703, 0.148518" \ + ); + } + } + } + pin (GATE_N) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00263608; + rise_capacitance : 0.00214318; + rise_capacitance_range (0.00214318, 0.00214318); + fall_capacitance : 0.00291774; + fall_capacitance_range (0.00291774, 0.00291774); + timing () { + related_pin : "GATE_N"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0541687, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.023046, 0.0247534, 0.0276395, 0.0341158, 0.04819, 0.0773945, 0.135955" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0238078, 0.0261401, 0.0293494, 0.0360426, 0.0502588, 0.079798, 0.1384" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0266984, 0.0292398, 0.0321718, 0.0388466, 0.0531505, 0.0822755, 0.143308" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0267068, 0.0293099, 0.0321829, 0.0388867, 0.0531576, 0.082309, 0.143484" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161634, 0.0185241, 0.0218377, 0.0284825, 0.042864, 0.0728111, 0.132299" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0266984, 0.0292398, 0.0321718, 0.0388466, 0.0531505, 0.0822755, 0.143308" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161634, 0.0185241, 0.0218377, 0.0284825, 0.042864, 0.0728111, 0.132299" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00346843; + rise_capacitance : 0.00368436; + rise_capacitance_range (0.00368436, 0.00368436); + fall_capacitance : 0.00333887; + fall_capacitance_range (0.00333887, 0.00333887); + timing () { + related_pin : "GATE_N"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.012226, -0.04967, -0.0575781, -0.0477424", \ + "0.0197201, -0.0178252, -0.0271912, -0.0170917", \ + "0.0524346, 0.0167015, 0.00539673, 0.0156587", \ + "0.0828212, 0.0500797, 0.0408403, 0.0501761" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0195615, 0.0571575, 0.0652935, 0.0558375", \ + "-0.0147285, 0.0229181, 0.032436, 0.0225897", \ + "-0.047291, -0.0114567, 0, -0.0128337", \ + "-0.0774245, -0.0418327, -0.0323655, -0.0442731" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0904846, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE_N'"; + } + } + cell (sg13g2_dllrq_1) { + area : 29.0304; + cell_footprint : "DLLRQ"; + cell_leakage_power : 3585.19; + leakage_power () { + value : 3545.58; + when : "!RESET_B*!Q*!D*!GATE_N"; + } + leakage_power () { + value : 3296.15; + when : "!RESET_B*!Q*!D*GATE_N"; + } + leakage_power () { + value : 2977.19; + when : "!RESET_B*!Q*D*!GATE_N"; + } + leakage_power () { + value : 3314.05; + when : "!RESET_B*!Q*D*GATE_N"; + } + leakage_power () { + value : 3797.06; + when : "RESET_B*GATE_N*!D*!Q"; + } + leakage_power () { + value : 3797.06; + when : "RESET_B*GATE_N*!D*Q"; + } + leakage_power () { + value : 3814.75; + when : "RESET_B*GATE_N*D*!Q"; + } + leakage_power () { + value : 3814.75; + when : "RESET_B*GATE_N*D*Q"; + } + leakage_power () { + value : 3449.04; + when : "D*!GATE_N*RESET_B*Q"; + } + leakage_power () { + value : 4046.29; + when : "!D*!GATE_N*RESET_B*!Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0895656, 0.12436, 0.145208, 0.179103, 0.235806, 0.330097, 0.487135", \ + "0.104033, 0.138854, 0.159632, 0.19358, 0.250229, 0.344609, 0.50161", \ + "0.113517, 0.148307, 0.169112, 0.203038, 0.259669, 0.353953, 0.511091", \ + "0.127729, 0.162556, 0.183361, 0.217306, 0.273957, 0.368274, 0.525318", \ + "0.145305, 0.180134, 0.20093, 0.234866, 0.291515, 0.385811, 0.543011", \ + "0.167275, 0.202171, 0.222984, 0.256956, 0.313578, 0.407884, 0.564978", \ + "0.194176, 0.229175, 0.250003, 0.283995, 0.340607, 0.434964, 0.592082" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115768, 0.0525845, 0.082538, 0.132809, 0.217635, 0.359281, 0.595461", \ + "0.011628, 0.0525855, 0.082539, 0.13281, 0.217636, 0.359548, 0.595462", \ + "0.011629, 0.0525865, 0.08254, 0.132812, 0.217637, 0.359549, 0.595463", \ + "0.011639, 0.0525919, 0.082541, 0.132813, 0.217638, 0.35955, 0.595464", \ + "0.0117179, 0.0526235, 0.082542, 0.132814, 0.217672, 0.359551, 0.595465", \ + "0.01189, 0.052698, 0.082556, 0.13283, 0.217673, 0.359552, 0.595466", \ + "0.012194, 0.05282, 0.082633, 0.132862, 0.217674, 0.359553, 0.595467" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0796732, 0.108959, 0.126565, 0.155411, 0.203657, 0.283849, 0.417595", \ + "0.095669, 0.124941, 0.142566, 0.171416, 0.219626, 0.29985, 0.433621", \ + "0.10485, 0.134124, 0.151737, 0.180566, 0.228754, 0.308969, 0.442662", \ + "0.116625, 0.145953, 0.163589, 0.19245, 0.240648, 0.320838, 0.45449", \ + "0.13581, 0.165163, 0.182809, 0.211658, 0.259875, 0.340101, 0.473758", \ + "0.163855, 0.1933, 0.210934, 0.239842, 0.288007, 0.368279, 0.501946", \ + "0.194502, 0.224131, 0.241788, 0.27064, 0.318839, 0.399076, 0.532844" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0087254, 0.0416527, 0.0655082, 0.105752, 0.173384, 0.286301, 0.474362", \ + "0.0087338, 0.0416537, 0.0655092, 0.105753, 0.173385, 0.286302, 0.47452", \ + "0.0087549, 0.0416547, 0.0655149, 0.105754, 0.173386, 0.286303, 0.474521", \ + "0.008769, 0.0416557, 0.0655263, 0.105755, 0.173387, 0.286304, 0.474522", \ + "0.0088578, 0.0416884, 0.0655608, 0.105756, 0.173388, 0.286305, 0.474523", \ + "0.009055, 0.041756, 0.065591, 0.105768, 0.173389, 0.286343, 0.474524", \ + "0.009415, 0.041909, 0.065678, 0.105769, 0.17339, 0.286344, 0.474525" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0989932, 0.133663, 0.154464, 0.188434, 0.245075, 0.339402, 0.496454", \ + "0.118852, 0.153523, 0.174316, 0.208235, 0.264911, 0.35919, 0.516377", \ + "0.131241, 0.16594, 0.186709, 0.22068, 0.277315, 0.371606, 0.528772", \ + "0.148624, 0.183282, 0.20408, 0.238041, 0.294656, 0.388951, 0.545992", \ + "0.178392, 0.213061, 0.233846, 0.267789, 0.324408, 0.418698, 0.575799", \ + "0.226908, 0.261577, 0.282379, 0.316337, 0.372981, 0.467303, 0.624439", \ + "0.297482, 0.332134, 0.352951, 0.386925, 0.443557, 0.537896, 0.694947" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115086, 0.0525493, 0.082479, 0.132803, 0.217631, 0.35925, 0.595461", \ + "0.0115096, 0.052558, 0.08248, 0.132806, 0.217632, 0.360256, 0.595462", \ + "0.0115176, 0.052559, 0.082481, 0.132807, 0.217633, 0.360257, 0.597789", \ + "0.0115186, 0.05256, 0.082482, 0.132808, 0.217634, 0.360258, 0.59779", \ + "0.0115196, 0.052561, 0.082483, 0.132809, 0.217635, 0.360259, 0.597791", \ + "0.0115206, 0.052562, 0.082484, 0.13281, 0.217636, 0.36026, 0.597792", \ + "0.0115216, 0.052563, 0.082485, 0.132811, 0.217637, 0.360261, 0.597793" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0760339, 0.105443, 0.12306, 0.151917, 0.200089, 0.280326, 0.414033", \ + "0.0973302, 0.12672, 0.144381, 0.173179, 0.221382, 0.301615, 0.435307", \ + "0.110483, 0.139918, 0.157546, 0.186393, 0.234541, 0.31476, 0.448404", \ + "0.129747, 0.159172, 0.176808, 0.205668, 0.253859, 0.334138, 0.467676", \ + "0.162053, 0.191601, 0.209215, 0.238112, 0.286262, 0.366482, 0.500214", \ + "0.214259, 0.244048, 0.26169, 0.290603, 0.338766, 0.419034, 0.552683", \ + "0.288111, 0.318553, 0.336229, 0.365076, 0.413233, 0.493505, 0.627222" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0089238, 0.0416709, 0.0655336, 0.105698, 0.173324, 0.286198, 0.474352", \ + "0.0089614, 0.0416856, 0.0655346, 0.105699, 0.173325, 0.286284, 0.476934", \ + "0.0090236, 0.041687, 0.0655356, 0.1057, 0.173346, 0.286285, 0.476935", \ + "0.0091389, 0.0417193, 0.0655716, 0.105718, 0.173347, 0.286286, 0.476936", \ + "0.0093423, 0.0418043, 0.0656393, 0.105736, 0.173364, 0.286287, 0.476937", \ + "0.009848, 0.04197, 0.065724, 0.105763, 0.173394, 0.286307, 0.476938", \ + "0.010838, 0.042465, 0.065928, 0.105836, 0.173395, 0.286308, 0.476939" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0338884, 0.063316, 0.080978, 0.109873, 0.15811, 0.238372, 0.37229", \ + "0.0538078, 0.0847523, 0.102555, 0.131563, 0.179843, 0.260132, 0.393957", \ + "0.0650718, 0.0974557, 0.115338, 0.14442, 0.192699, 0.273015, 0.406635", \ + "0.0798082, 0.114503, 0.132689, 0.161945, 0.210291, 0.290541, 0.424302", \ + "0.103119, 0.142893, 0.161971, 0.191985, 0.240645, 0.321181, 0.455146", \ + "0.138115, 0.184554, 0.205906, 0.23749, 0.287895, 0.370318, 0.505556", \ + "0.181276, 0.236812, 0.261928, 0.29723, 0.351089, 0.437806, 0.578192" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00874017, 0.0417573, 0.0656342, 0.105794, 0.173386, 0.286393, 0.474623", \ + "0.0115333, 0.0429591, 0.0664304, 0.106146, 0.173608, 0.286394, 0.474624", \ + "0.0134678, 0.044199, 0.0671882, 0.106621, 0.17389, 0.286539, 0.474625", \ + "0.0169176, 0.0469361, 0.0690344, 0.107755, 0.174547, 0.286981, 0.474933", \ + "0.022504, 0.0527459, 0.0735736, 0.111216, 0.176873, 0.28833, 0.475853", \ + "0.031174, 0.062997, 0.083382, 0.119617, 0.184188, 0.294646, 0.480173", \ + "0.044768, 0.080151, 0.100317, 0.135518, 0.199061, 0.310093, 0.496068" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.041389, 0.0761577, 0.0969274, 0.130875, 0.187483, 0.281785, 0.438981", \ + "0.0537527, 0.0894983, 0.110398, 0.144402, 0.201049, 0.295347, 0.452493", \ + "0.0629531, 0.0997915, 0.120774, 0.154803, 0.211529, 0.30584, 0.462951", \ + "0.0773615, 0.116883, 0.138189, 0.172583, 0.229331, 0.323681, 0.480779", \ + "0.095251, 0.13967, 0.162287, 0.197664, 0.255442, 0.350497, 0.507476", \ + "0.116768, 0.167877, 0.193385, 0.230336, 0.290942, 0.38903, 0.549074", \ + "0.139325, 0.200087, 0.229226, 0.270748, 0.335156, 0.439679, 0.606916" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112609, 0.0524572, 0.0824211, 0.13276, 0.217559, 0.359254, 0.595473", \ + "0.0127912, 0.0532833, 0.0829751, 0.133072, 0.217723, 0.360355, 0.597425", \ + "0.0145971, 0.0542784, 0.0835479, 0.133391, 0.21795, 0.360356, 0.597426", \ + "0.017932, 0.0569615, 0.0854255, 0.134556, 0.218597, 0.360357, 0.597427", \ + "0.0230893, 0.0630522, 0.0907775, 0.13904, 0.221795, 0.361825, 0.597428", \ + "0.0306504, 0.0730315, 0.101314, 0.148449, 0.231582, 0.370904, 0.603434", \ + "0.042438, 0.090285, 0.11882, 0.166264, 0.2494, 0.39161, 0.625593" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157427, 0.0159229, 0.0159868, 0.015937, 0.0157739, 0.0154067, 0.014709", \ + "0.0157892, 0.0159531, 0.0159975, 0.0162895, 0.0158318, 0.0154331, 0.0146739", \ + "0.0158302, 0.0160768, 0.0161409, 0.0160671, 0.0160414, 0.0157633, 0.0150902", \ + "0.015982, 0.0162225, 0.0162939, 0.0164052, 0.0162504, 0.0157723, 0.016323", \ + "0.0164511, 0.0165989, 0.0166962, 0.0167642, 0.0168448, 0.0167272, 0.015664", \ + "0.0178754, 0.0180877, 0.0179529, 0.0180114, 0.0180331, 0.0180719, 0.0179885", \ + "0.020685, 0.020583, 0.020746, 0.02073, 0.020342, 0.020539, 0.020391" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0032003, 0.0038158, 0.0038343, 0.0038027, 0.0036838, 0.0032719, 0.0025796", \ + "0.000701301, 0.0013151, 0.0013224, 0.0013897, 0.0013901, 0.0016839, 7.23004e-05", \ + "0.000643902, 0.0013386, 0.0014277, 0.0012972, 0.0012384, 0.0010707, 0.000739902", \ + "0.000440899, 0.0011577, 0.0012335, 0.0013096, 0.0012427, 0.000730097, 0.0013695", \ + "0.0003068, 0.000976097, 0.000966199, 0.0011201, 0.0011675, 0.0010956, 0.000415999", \ + "0.000165202, 0.000705704, 0.000761501, 0.000875905, 0.000726402, 0.000866301, 0.000573099", \ + "-2.89977e-05, 0.000438988, 0.000491992, 0.000285, 0.000386998, 2.29925e-05, 0.000300989" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0138181, 0.0140122, 0.0140415, 0.0140045, 0.0138262, 0.0133924, 0.0127651", \ + "0.0137919, 0.0139454, 0.0140232, 0.0141216, 0.0141557, 0.0137091, 0.0127598", \ + "0.0138372, 0.0141337, 0.0141021, 0.014035, 0.014324, 0.0135668, 0.0135658", \ + "0.013905, 0.0141772, 0.0142659, 0.0143379, 0.0140438, 0.0140608, 0.0130801", \ + "0.0138327, 0.0140338, 0.01419, 0.0142294, 0.0142542, 0.0140519, 0.0133714", \ + "0.0130824, 0.0133347, 0.0133634, 0.0133176, 0.0134017, 0.0133361, 0.01324", \ + "0.011583, 0.011767, 0.011776, 0.011826, 0.011564, 0.011589, 0.011581" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0113126, 0.0119872, 0.0120254, 0.0119693, 0.011768, 0.0114018, 0.0107512", \ + "0.0113343, 0.0120121, 0.0119752, 0.0120839, 0.0118707, 0.0126153, 0.0121165", \ + "0.0117256, 0.0124501, 0.0125474, 0.0124377, 0.0123865, 0.0120773, 0.0114668", \ + "0.0122214, 0.0128862, 0.0129684, 0.0130814, 0.0129458, 0.0124129, 0.012355", \ + "0.0131303, 0.0136596, 0.0137394, 0.0138817, 0.013975, 0.0138146, 0.0133809", \ + "0.0151523, 0.0156233, 0.0157533, 0.0155793, 0.0156953, 0.0156333, 0.0157313", \ + "0.01775, 0.017941, 0.017994, 0.017891, 0.018016, 0.017491, 0.017771" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194584, 0.0198101, 0.0198356, 0.0197479, 0.0195366, 0.0191345, 0.018427", \ + "0.0203572, 0.0202729, 0.0202837, 0.0203344, 0.0199477, 0.0198505, 0.0197455", \ + "0.0228363, 0.0223131, 0.0223689, 0.0222864, 0.0222823, 0.0216153, 0.0221264", \ + "0.0293808, 0.0280182, 0.0279552, 0.0279344, 0.0277913, 0.02738, 0.0270551", \ + "0.0442224, 0.0417043, 0.0413884, 0.0411179, 0.040986, 0.0408609, 0.0399585", \ + "0.074691, 0.0705273, 0.0698078, 0.068844, 0.068287, 0.067971, 0.0676907", \ + "0.135923, 0.129615, 0.128411, 0.126976, 0.125065, 0.12426, 0.123018" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0150336, 0.0157235, 0.0156831, 0.0156479, 0.0154607, 0.0151839, 0.0145273", \ + "0.017367, 0.0173409, 0.0172733, 0.0173392, 0.0173497, 0.0167719, 0.0161455", \ + "0.0208116, 0.02022, 0.0202745, 0.0203051, 0.0200502, 0.020334, 0.0189925", \ + "0.0278504, 0.0264092, 0.0263437, 0.0264278, 0.0264322, 0.0258039, 0.0257371", \ + "0.0427082, 0.040049, 0.0395324, 0.0395431, 0.0393407, 0.0392615, 0.0386757", \ + "0.0735184, 0.0687565, 0.0680895, 0.0674574, 0.0667, 0.0662552, 0.0659603", \ + "0.13479, 0.127482, 0.126049, 0.124625, 0.123481, 0.12191, 0.12173" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00234755; + rise_capacitance : 0.00258791; + rise_capacitance_range (0.00258791, 0.00258791); + fall_capacitance : 0.0021072; + fall_capacitance_range (0.0021072, 0.0021072); + timing () { + related_pin : "GATE_N"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0317875, 0.00724098, 0.0138579, -0.000828112", \ + "-0.0771241, -0.0356504, -0.0278795, -0.0406373", \ + "-0.109014, -0.0665273, -0.0566657, -0.0665078", \ + "-0.136788, -0.0913147, -0.0775647, -0.0885461" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.039123, -0.0451714, -0.068439, -0.108763", \ + "-0.0896032, -0.0916726, -0.114419, -0.153346", \ + "-0.121873, -0.121598, -0.143013, -0.185156", \ + "-0.152979, -0.151793, -0.170788, -0.212511" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0366779, -0.00224933, -0.00871436, 0.0089232", \ + "0.0821157, 0.0407434, 0.0331243, 0.0461353", \ + "0.114157, 0.0717722, 0.059364, 0.0721577", \ + "0.142185, 0.0968127, 0.0832146, 0.0944492" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0440134, 0.0626421, 0.112159, 0.203205", \ + "0.092099, 0.101858, 0.140643, 0.216573", \ + "0.127016, 0.132088, 0.1646, 0.23318", \ + "0.158375, 0.16004, 0.190563, 0.253832" \ + ); + } + } + internal_power () { + when : "(GATE_N * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000149377, 0.00154932, 0.00402733, 0.00936525, 0.0210233, 0.0446289, 0.0925622" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00218071, 0.00401696, 0.00651805, 0.0118848, 0.0231534, 0.0468306, 0.094269" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0206037, 0.0219732, 0.0243293, 0.0297258, 0.0412277, 0.0647843, 0.112962" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0323246, 0.0365384, 0.0390846, 0.0444975, 0.0562921, 0.0809901, 0.131776" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0206037, 0.0219732, 0.0243293, 0.0297258, 0.0412277, 0.0647843, 0.112962" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0323246, 0.0365384, 0.0390846, 0.0444975, 0.0562921, 0.0809901, 0.131776" \ + ); + } + } + } + pin (GATE_N) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00250553; + rise_capacitance : 0.00301606; + rise_capacitance_range (0.00301606, 0.00301606); + fall_capacitance : 0.00225026; + fall_capacitance_range (0.00225026, 0.00225026); + timing () { + related_pin : "GATE_N"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0489807, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0228135, 0.0244768, 0.0274352, 0.0339348, 0.0480478, 0.0772947, 0.135817" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0234831, 0.0258124, 0.0290611, 0.0357501, 0.0500351, 0.0796256, 0.138328" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0294186, 0.0318101, 0.0349558, 0.0416129, 0.0558811, 0.0857467, 0.146028" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0122684, 0.0140064, 0.0170315, 0.0236746, 0.038079, 0.0670606, 0.126369" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0294639, 0.0319176, 0.0350234, 0.0415923, 0.0559385, 0.0856965, 0.146126" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0122684, 0.0140064, 0.0170315, 0.0236746, 0.038079, 0.0670606, 0.126369" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0294186, 0.0318101, 0.0349558, 0.0416129, 0.0558811, 0.0857467, 0.146028" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00334836; + rise_capacitance : 0.00351938; + rise_capacitance_range (0.00351938, 0.00351938); + fall_capacitance : 0.00317734; + fall_capacitance_range (0.00317734, 0.00317734); + timing () { + related_pin : "GATE_N"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0171163, -0.0546617, -0.0627217, -0.0531392", \ + "0.00474515, -0.033104, -0.0429256, -0.0308367", \ + "0.0215733, -0.0147674, -0.0242853, -0.0154158", \ + "0.0315523, -0.00490029, -0.0128337, -0.00295154" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0244519, 0.0596533, 0.070437, 0.0612343", \ + "0.000246497, 0.0381969, 0.0481705, 0.0390837", \ + "-0.0164297, 0.0200123, 0.029682, 0.0210657", \ + "-0.0261555, 0.0103983, 0.0184836, 0.00885461" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0904846, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE_N'"; + } + } + cell (sg13g2_dlygate4sd1_1) { + area : 14.5152; + cell_footprint : "DLY1"; + cell_leakage_power : 1219.16; + leakage_power () { + value : 1348.41; + when : "!A&!X"; + } + leakage_power () { + value : 1089.91; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0566695, 0.0888678, 0.109435, 0.143299, 0.199955, 0.294349, 0.451384", \ + "0.0708164, 0.102974, 0.12355, 0.15743, 0.214013, 0.308283, 0.465331", \ + "0.0783101, 0.110458, 0.131025, 0.164962, 0.221583, 0.315809, 0.47283", \ + "0.0876328, 0.119756, 0.140339, 0.17426, 0.230856, 0.325116, 0.482145", \ + "0.0931505, 0.125335, 0.145931, 0.179768, 0.236442, 0.330743, 0.487728", \ + "0.0931515, 0.125336, 0.145932, 0.179769, 0.236443, 0.330744, 0.487729", \ + "0.0931525, 0.125337, 0.145933, 0.17977, 0.236444, 0.330745, 0.48773" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00892926, 0.0508243, 0.0813373, 0.132045, 0.217025, 0.358696, 0.59475", \ + "0.0089859, 0.0508476, 0.0813383, 0.132046, 0.217026, 0.359276, 0.594751", \ + "0.0090459, 0.0508486, 0.0813391, 0.132047, 0.217027, 0.359277, 0.594752", \ + "0.009168, 0.050861, 0.0813478, 0.132048, 0.21709, 0.359278, 0.594753", \ + "0.0093408, 0.0509069, 0.0813646, 0.132049, 0.217091, 0.359279, 0.594794", \ + "0.0097361, 0.050971, 0.0813954, 0.132073, 0.217092, 0.35928, 0.594811", \ + "0.010438, 0.051143, 0.081449, 0.132085, 0.217093, 0.359281, 0.594903" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0651513, 0.0931476, 0.110803, 0.13984, 0.188517, 0.269362, 0.40398", \ + "0.087949, 0.115956, 0.133586, 0.162632, 0.211145, 0.291971, 0.42668", \ + "0.102408, 0.130418, 0.148091, 0.177145, 0.225655, 0.306462, 0.441094", \ + "0.123895, 0.15193, 0.169592, 0.198694, 0.247214, 0.328032, 0.462671", \ + "0.161457, 0.189581, 0.207272, 0.236364, 0.284894, 0.365803, 0.500457", \ + "0.224862, 0.25319, 0.270848, 0.29992, 0.348544, 0.429432, 0.563996", \ + "0.322224, 0.350859, 0.368531, 0.397601, 0.446117, 0.527114, 0.66189" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0071691, 0.0410063, 0.0654276, 0.106097, 0.174469, 0.288225, 0.477709", \ + "0.0072303, 0.041012, 0.065444, 0.106112, 0.17447, 0.288226, 0.47771", \ + "0.007281, 0.0410322, 0.065445, 0.106127, 0.174471, 0.288227, 0.477711", \ + "0.0074378, 0.0410477, 0.0654567, 0.106128, 0.174472, 0.288228, 0.477712", \ + "0.0076539, 0.041101, 0.0654855, 0.106137, 0.174473, 0.288229, 0.477773", \ + "0.008095, 0.041242, 0.065536, 0.106138, 0.174474, 0.28823, 0.477774", \ + "0.008843, 0.041528, 0.065673, 0.106212, 0.174475, 0.288231, 0.477775" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0245662, 0.0251679, 0.0251347, 0.0250505, 0.0248872, 0.0245296, 0.0238919", \ + "0.0255181, 0.026093, 0.026088, 0.0261143, 0.0257744, 0.0255371, 0.0247324", \ + "0.0270853, 0.0276396, 0.0277251, 0.0276071, 0.0276428, 0.0271246, 0.0277736", \ + "0.030691, 0.0311245, 0.0312703, 0.0313199, 0.0312495, 0.0308632, 0.0317524", \ + "0.0385151, 0.0388758, 0.0389991, 0.0390077, 0.0391084, 0.0390795, 0.038066", \ + "0.0547137, 0.0549347, 0.0550305, 0.0549559, 0.0550477, 0.0551018, 0.0550448", \ + "0.0869624, 0.0870518, 0.0869764, 0.0869962, 0.0869206, 0.0868143, 0.0866933" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0234155, 0.0241567, 0.024162, 0.0240898, 0.024041, 0.0237369, 0.0230098", \ + "0.0245499, 0.0252343, 0.0252319, 0.0253143, 0.0251261, 0.0249225, 0.0240384", \ + "0.0262616, 0.0270333, 0.0270976, 0.0269529, 0.026871, 0.0266262, 0.0265525", \ + "0.0298497, 0.0305529, 0.0306243, 0.0307162, 0.0306954, 0.0300711, 0.030956", \ + "0.0376248, 0.0382137, 0.0383004, 0.038431, 0.0384652, 0.0383828, 0.0375764", \ + "0.0537713, 0.0542683, 0.0543936, 0.0542193, 0.0544197, 0.0544583, 0.0544575", \ + "0.0865227, 0.0868682, 0.086858, 0.0867999, 0.0868994, 0.0864775, 0.0867756" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00168509; + rise_capacitance : 0.00172628; + rise_capacitance_range (0.00172628, 0.00172628); + fall_capacitance : 0.0016439; + fall_capacitance_range (0.0016439, 0.0016439); + } + } + cell (sg13g2_dlygate4sd2_1) { + area : 14.5152; + cell_footprint : "DLY2"; + cell_leakage_power : 1671.65; + leakage_power () { + value : 1800.9; + when : "!A&!X"; + } + leakage_power () { + value : 1542.4; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0866919, 0.119503, 0.140097, 0.174104, 0.230669, 0.325018, 0.482022", \ + "0.103544, 0.136385, 0.157, 0.19088, 0.247532, 0.341841, 0.498856", \ + "0.113609, 0.146415, 0.167038, 0.200905, 0.257494, 0.351721, 0.508766", \ + "0.127217, 0.16011, 0.180725, 0.214635, 0.271262, 0.365549, 0.522588", \ + "0.139781, 0.172755, 0.19334, 0.227195, 0.283917, 0.378243, 0.535226", \ + "0.145801, 0.178787, 0.19952, 0.233431, 0.290096, 0.384441, 0.54153", \ + "0.145802, 0.178788, 0.199521, 0.233432, 0.290097, 0.384442, 0.541531" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0099178, 0.0513759, 0.0816638, 0.132354, 0.217288, 0.358926, 0.595016", \ + "0.0099977, 0.0513769, 0.0816755, 0.132355, 0.217289, 0.359491, 0.595017", \ + "0.0100016, 0.0513779, 0.0816765, 0.132356, 0.21729, 0.359492, 0.595018", \ + "0.0101236, 0.0513789, 0.0816766, 0.132357, 0.217291, 0.359493, 0.595019", \ + "0.0103089, 0.0514366, 0.081713, 0.132358, 0.217292, 0.359494, 0.59502", \ + "0.010644, 0.051547, 0.081745, 0.132359, 0.217293, 0.359495, 0.595021", \ + "0.011216, 0.051719, 0.081854, 0.132383, 0.217294, 0.359496, 0.595022" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0958488, 0.12777, 0.145911, 0.175205, 0.223813, 0.30481, 0.439612", \ + "0.122012, 0.153934, 0.172061, 0.201285, 0.249885, 0.330861, 0.465548", \ + "0.138792, 0.170687, 0.188845, 0.218122, 0.266765, 0.347622, 0.48238", \ + "0.163892, 0.195809, 0.213942, 0.243258, 0.291833, 0.372745, 0.50756", \ + "0.205939, 0.237905, 0.256106, 0.285372, 0.334033, 0.414919, 0.549702", \ + "0.274303, 0.306335, 0.324503, 0.353876, 0.402469, 0.483437, 0.618186", \ + "0.376323, 0.408728, 0.426963, 0.456296, 0.504946, 0.585857, 0.720673" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0104297, 0.0441185, 0.0677949, 0.107888, 0.175688, 0.289259, 0.479005", \ + "0.0104325, 0.0441195, 0.0677959, 0.107889, 0.175689, 0.289301, 0.479006", \ + "0.0104998, 0.0441395, 0.0678088, 0.10789, 0.17569, 0.289302, 0.479007", \ + "0.0105837, 0.0441633, 0.0678098, 0.107891, 0.175691, 0.289303, 0.479008", \ + "0.0107324, 0.0442636, 0.0679108, 0.107892, 0.175696, 0.289304, 0.479009", \ + "0.011015, 0.044447, 0.068005, 0.107907, 0.175697, 0.289305, 0.47901", \ + "0.011546, 0.044806, 0.068278, 0.108032, 0.175766, 0.289366, 0.479011" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0299501, 0.0302592, 0.0302605, 0.0302656, 0.0299983, 0.0296411, 0.0289756", \ + "0.0305278, 0.030869, 0.0309289, 0.0309523, 0.0307459, 0.0304441, 0.0295927", \ + "0.0318543, 0.0322461, 0.0322724, 0.0321738, 0.0322836, 0.0315409, 0.0310367", \ + "0.0351313, 0.0354974, 0.0355377, 0.0356602, 0.0355143, 0.0350795, 0.0359937", \ + "0.0423021, 0.042564, 0.0426477, 0.0426354, 0.0427413, 0.0426013, 0.0415886", \ + "0.0572849, 0.0574859, 0.0573817, 0.0573615, 0.0573907, 0.057481, 0.057414", \ + "0.0878391, 0.0877448, 0.0877455, 0.0877205, 0.0875738, 0.0875552, 0.0874423" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.02913, 0.0294192, 0.0294461, 0.0294128, 0.0292329, 0.0289332, 0.0282647", \ + "0.029864, 0.0301624, 0.0302059, 0.0303132, 0.0300419, 0.0300128, 0.0290698", \ + "0.0312956, 0.031676, 0.0317286, 0.0315553, 0.0316589, 0.0311463, 0.0314801", \ + "0.0346512, 0.0349341, 0.0350753, 0.0351745, 0.0350596, 0.0344785, 0.0355327", \ + "0.0419601, 0.0421299, 0.0422875, 0.0422771, 0.0423449, 0.0424044, 0.0418587", \ + "0.0573353, 0.0574286, 0.0574836, 0.0574233, 0.0575163, 0.0575496, 0.0574619", \ + "0.0886739, 0.0885932, 0.0886172, 0.0886243, 0.0885835, 0.0882954, 0.0886032" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00168142; + rise_capacitance : 0.00171593; + rise_capacitance_range (0.00171593, 0.00171593); + fall_capacitance : 0.00164691; + fall_capacitance_range (0.00164691, 0.00164691); + } + } + cell (sg13g2_dlygate4sd3_1) { + area : 16.3296; + cell_footprint : "DLY4"; + cell_leakage_power : 3848.29; + leakage_power () { + value : 3977.51; + when : "!A&!X"; + } + leakage_power () { + value : 3719.07; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.187936, 0.225544, 0.246947, 0.281508, 0.338311, 0.433015, 0.590199", \ + "0.209474, 0.247049, 0.268681, 0.303069, 0.360148, 0.454591, 0.61195", \ + "0.223719, 0.261356, 0.282847, 0.317309, 0.37434, 0.468757, 0.625932", \ + "0.243691, 0.281529, 0.302847, 0.337286, 0.394326, 0.488819, 0.645928", \ + "0.26804, 0.30578, 0.327252, 0.361702, 0.418746, 0.513107, 0.670333", \ + "0.293263, 0.331049, 0.35254, 0.387045, 0.444032, 0.538591, 0.695794", \ + "0.308203, 0.346371, 0.367761, 0.402209, 0.459256, 0.553763, 0.710909" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014684, 0.0561904, 0.0856441, 0.135243, 0.219427, 0.360591, 0.596384", \ + "0.014685, 0.0561914, 0.0856451, 0.135296, 0.219606, 0.360649, 0.596506", \ + "0.0146938, 0.0561968, 0.0856461, 0.135297, 0.219607, 0.36065, 0.598281", \ + "0.0147312, 0.0563488, 0.0856471, 0.135298, 0.219608, 0.360651, 0.598282", \ + "0.0147997, 0.0563498, 0.0856481, 0.135299, 0.219609, 0.360652, 0.598283", \ + "0.015034, 0.056377, 0.085747, 0.135358, 0.21961, 0.360683, 0.598284", \ + "0.015529, 0.056861, 0.08604, 0.135512, 0.219637, 0.360684, 0.598285" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.190129, 0.229441, 0.250073, 0.281146, 0.330957, 0.412325, 0.547109", \ + "0.220693, 0.260073, 0.280674, 0.311726, 0.361416, 0.442872, 0.577596", \ + "0.241947, 0.281281, 0.301789, 0.332892, 0.382765, 0.464091, 0.599056", \ + "0.274501, 0.313974, 0.334509, 0.365508, 0.415335, 0.49662, 0.631601", \ + "0.327781, 0.366943, 0.387983, 0.418922, 0.468604, 0.549848, 0.684739", \ + "0.411019, 0.450601, 0.471234, 0.502277, 0.552102, 0.633505, 0.768492", \ + "0.532154, 0.571899, 0.592598, 0.623803, 0.673725, 0.755177, 0.889932" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170421, 0.0530337, 0.076503, 0.114946, 0.18082, 0.29288, 0.481375", \ + "0.0170431, 0.0531423, 0.076504, 0.114947, 0.180821, 0.294037, 0.481497", \ + "0.0170785, 0.0531433, 0.076505, 0.114948, 0.180822, 0.294038, 0.482583", \ + "0.0170855, 0.0531841, 0.076506, 0.114949, 0.180823, 0.294039, 0.482584", \ + "0.0171724, 0.0531851, 0.0766359, 0.11495, 0.180824, 0.29404, 0.482585", \ + "0.017444, 0.053559, 0.076795, 0.115184, 0.181031, 0.294041, 0.482586", \ + "0.017875, 0.053966, 0.077228, 0.115542, 0.181225, 0.294042, 0.482587" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0450188, 0.0444799, 0.0445069, 0.0443849, 0.0441432, 0.0437472, 0.0430933", \ + "0.0451596, 0.0446276, 0.0447871, 0.0445441, 0.0443741, 0.0440049, 0.0432748", \ + "0.0462413, 0.0456581, 0.0456023, 0.0456201, 0.0458446, 0.0453517, 0.0448002", \ + "0.0488693, 0.0484036, 0.0483869, 0.0483739, 0.0481931, 0.0478308, 0.0485959", \ + "0.055391, 0.0547791, 0.054871, 0.0548537, 0.0549199, 0.0547798, 0.0534872", \ + "0.069166, 0.0685264, 0.0684895, 0.0685592, 0.068477, 0.0683419, 0.0685771", \ + "0.09813, 0.0973515, 0.0972924, 0.0972391, 0.0969371, 0.0971194, 0.0970404" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0447114, 0.0435725, 0.0437117, 0.043552, 0.0434046, 0.043195, 0.0423523", \ + "0.0449042, 0.043853, 0.0440126, 0.043973, 0.0437314, 0.0439118, 0.04266", \ + "0.0459974, 0.0448346, 0.0449178, 0.0448237, 0.0451082, 0.0444788, 0.0441838", \ + "0.0489095, 0.0478821, 0.0479457, 0.0478797, 0.047687, 0.0474965, 0.0476251", \ + "0.0554358, 0.0541542, 0.0544277, 0.0543633, 0.0543869, 0.0542252, 0.0529245", \ + "0.069495, 0.0682359, 0.0682911, 0.0682141, 0.0680768, 0.06826, 0.0684453", \ + "0.0993025, 0.0978526, 0.0979318, 0.0978082, 0.0975877, 0.097698, 0.0975131" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00167985; + rise_capacitance : 0.00169479; + rise_capacitance_range (0.00169479, 0.00169479); + fall_capacitance : 0.0016649; + fall_capacitance_range (0.0016649, 0.0016649); + } + } + cell (sg13g2_ebufn_2) { + area : 18.144; + cell_footprint : "BTL"; + cell_leakage_power : 2120.06; + leakage_power () { + value : 1274.73; + when : "A&TE_B"; + } + leakage_power () { + value : 819.87; + when : "!A&TE_B"; + } + leakage_power () { + value : 2885.35; + when : "A&!TE_B"; + } + leakage_power () { + value : 3500.29; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 0.6; + capacitance : 0.00624248; + rise_capacitance : 0.00505479; + rise_capacitance_range (0.00505479, 0.00505479); + fall_capacitance : 0.00743017; + fall_capacitance_range (0.00743017, 0.00743017); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00605479, 0.0518548, 0.0830548, 0.134655, 0.221055, 0.365055, 0.605055"); + values ( \ + "0.0337466, 0.0973673, 0.137929, 0.205215, 0.31795, 0.506626, 0.821135", \ + "0.0518571, 0.115665, 0.156662, 0.224074, 0.336888, 0.525055, 0.839103", \ + "0.0634175, 0.127414, 0.168451, 0.235963, 0.348784, 0.53694, 0.851033", \ + "0.0805639, 0.145965, 0.186675, 0.254201, 0.367229, 0.555563, 0.869623", \ + "0.102248, 0.170896, 0.211722, 0.279333, 0.39272, 0.581237, 0.895202", \ + "0.130304, 0.205525, 0.246704, 0.315669, 0.429682, 0.619973, 0.933748", \ + "0.166716, 0.251877, 0.296487, 0.366683, 0.484129, 0.677746, 0.996443" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00605479, 0.0518548, 0.0830548, 0.134655, 0.221055, 0.365055, 0.605055"); + values ( \ + "0.0114467, 0.098488, 0.158459, 0.25795, 0.424258, 0.701935, 1.16442", \ + "0.0141936, 0.0986422, 0.158631, 0.257951, 0.424296, 0.701936, 1.16443", \ + "0.0165084, 0.0989968, 0.158875, 0.258124, 0.424344, 0.70281, 1.16444", \ + "0.0208472, 0.100284, 0.159522, 0.258497, 0.424621, 0.702811, 1.16445", \ + "0.028311, 0.104286, 0.162285, 0.260462, 0.425817, 0.702812, 1.16446", \ + "0.0407532, 0.114247, 0.169903, 0.266839, 0.43125, 0.70629, 1.16579", \ + "0.061019, 0.133694, 0.187333, 0.281452, 0.445771, 0.719957, 1.17664" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00843017, 0.0542302, 0.0854302, 0.13703, 0.22343, 0.36743, 0.60743"); + values ( \ + "0.0346259, 0.0865311, 0.118694, 0.171567, 0.259867, 0.406977, 0.651906", \ + "0.0540619, 0.10638, 0.138619, 0.191634, 0.280021, 0.427036, 0.672115", \ + "0.0649242, 0.117747, 0.149692, 0.202756, 0.29104, 0.438106, 0.68326", \ + "0.0790496, 0.133716, 0.165653, 0.218469, 0.306872, 0.453903, 0.699431", \ + "0.101122, 0.159499, 0.191893, 0.244828, 0.332927, 0.479792, 0.725256", \ + "0.131922, 0.197681, 0.231446, 0.285456, 0.375078, 0.522568, 0.767622", \ + "0.16588, 0.241483, 0.278808, 0.336181, 0.4296, 0.581882, 0.831414" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00843017, 0.0542302, 0.0854302, 0.13703, 0.22343, 0.36743, 0.60743"); + values ( \ + "0.00980233, 0.0740885, 0.118459, 0.192367, 0.316379, 0.522893, 0.866754", \ + "0.0129625, 0.0744375, 0.118798, 0.192564, 0.316428, 0.525598, 0.867071", \ + "0.0154113, 0.0751659, 0.119123, 0.192817, 0.316481, 0.525599, 0.867072", \ + "0.0201296, 0.0770749, 0.120158, 0.193391, 0.316776, 0.5256, 0.867073", \ + "0.0278676, 0.0821785, 0.123871, 0.195693, 0.318385, 0.525601, 0.867074", \ + "0.040513, 0.09363, 0.133539, 0.20365, 0.324876, 0.528525, 0.869552", \ + "0.060692, 0.114445, 0.152951, 0.221043, 0.34139, 0.544678, 0.882625" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00605479, 0.0518548, 0.0830548, 0.134655, 0.221055, 0.365055, 0.605055"); + values ( \ + "0.0270242, 0.0270252, 0.0270262, 0.0270272, 0.0270282, 0.0270292, 0.0270302", \ + "0.0406028, 0.0406038, 0.0406048, 0.0406058, 0.0406068, 0.0406078, 0.0406088", \ + "0.0488106, 0.0488116, 0.0488126, 0.0488136, 0.0488146, 0.0488156, 0.0488166", \ + "0.0618917, 0.0618927, 0.0618937, 0.0618947, 0.0618957, 0.0618967, 0.0618977", \ + "0.0763328, 0.0763338, 0.0763348, 0.0763358, 0.0763368, 0.0763378, 0.0763388", \ + "0.0971293, 0.0971303, 0.0971313, 0.0971323, 0.0971333, 0.0971343, 0.0971353", \ + "0.121319, 0.12132, 0.121321, 0.121322, 0.121323, 0.121324, 0.121325" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00605479, 0.0518548, 0.0830548, 0.134655, 0.221055, 0.365055, 0.605055"); + values ( \ + "0.0270242, 0.0270252, 0.0270262, 0.0270272, 0.0270282, 0.0270292, 0.0270302", \ + "0.0406028, 0.0406038, 0.0406048, 0.0406058, 0.0406068, 0.0406078, 0.0406088", \ + "0.0488106, 0.0488116, 0.0488126, 0.0488136, 0.0488146, 0.0488156, 0.0488166", \ + "0.0618917, 0.0618927, 0.0618937, 0.0618947, 0.0618957, 0.0618967, 0.0618977", \ + "0.0763328, 0.0763338, 0.0763348, 0.0763358, 0.0763368, 0.0763378, 0.0763388", \ + "0.0971293, 0.0971303, 0.0971313, 0.0971323, 0.0971333, 0.0971343, 0.0971353", \ + "0.121319, 0.12132, 0.121321, 0.121322, 0.121323, 0.121324, 0.121325" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00843017, 0.0542302, 0.0854302, 0.13703, 0.22343, 0.36743, 0.60743"); + values ( \ + "0.0156194, 0.0156204, 0.0156214, 0.0156224, 0.0156234, 0.0156244, 0.0156254", \ + "0.027416, 0.027417, 0.027418, 0.027419, 0.02742, 0.027421, 0.027422", \ + "0.04242, 0.042421, 0.042422, 0.042423, 0.042424, 0.042425, 0.042426", \ + "0.0736752, 0.0736762, 0.0736772, 0.0736782, 0.0736792, 0.0736802, 0.0736812", \ + "0.130858, 0.130859, 0.13086, 0.130861, 0.130862, 0.130863, 0.130864", \ + "0.245257, 0.245258, 0.245259, 0.24526, 0.245261, 0.245262, 0.245263", \ + "0.473315, 0.473316, 0.473317, 0.473318, 0.473319, 0.47332, 0.473321" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00843017, 0.0542302, 0.0854302, 0.13703, 0.22343, 0.36743, 0.60743"); + values ( \ + "0.0156194, 0.0156204, 0.0156214, 0.0156224, 0.0156234, 0.0156244, 0.0156254", \ + "0.027416, 0.027417, 0.027418, 0.027419, 0.02742, 0.027421, 0.027422", \ + "0.04242, 0.042421, 0.042422, 0.042423, 0.042424, 0.042425, 0.042426", \ + "0.0736752, 0.0736762, 0.0736772, 0.0736782, 0.0736792, 0.0736802, 0.0736812", \ + "0.130858, 0.130859, 0.13086, 0.130861, 0.130862, 0.130863, 0.130864", \ + "0.245257, 0.245258, 0.245259, 0.24526, 0.245261, 0.245262, 0.245263", \ + "0.473315, 0.473316, 0.473317, 0.473318, 0.473319, 0.47332, 0.473321" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00605479, 0.0518548, 0.0830548, 0.134655, 0.221055, 0.365055, 0.605055"); + values ( \ + "0.017935, 0.0793692, 0.120416, 0.188248, 0.30176, 0.49123, 0.806333", \ + "0.019641, 0.0976054, 0.140383, 0.208822, 0.322537, 0.511779, 0.827438", \ + "0.019642, 0.108634, 0.155274, 0.226816, 0.341834, 0.5313, 0.846962", \ + "0.019643, 0.119098, 0.174171, 0.254075, 0.375895, 0.569048, 0.885326", \ + "0.019644, 0.119099, 0.191237, 0.288691, 0.429129, 0.637467, 0.962929", \ + "0.019645, 0.1191, 0.191238, 0.30902, 0.485529, 0.731385, 1.09085", \ + "0.019646, 0.119101, 0.191239, 0.309021, 0.48904, 0.809844, 1.24397" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00605479, 0.0518548, 0.0830548, 0.134655, 0.221055, 0.365055, 0.605055"); + values ( \ + "0.0117468, 0.0987934, 0.158491, 0.257963, 0.424334, 0.701987, 1.16457", \ + "0.020362, 0.105283, 0.162601, 0.259532, 0.426177, 0.701988, 1.16458", \ + "0.0287067, 0.116782, 0.17289, 0.266781, 0.428192, 0.705151, 1.16459", \ + "0.0442205, 0.140539, 0.196951, 0.28855, 0.444494, 0.711642, 1.16827", \ + "0.0727596, 0.187522, 0.246509, 0.338742, 0.491538, 0.749131, 1.18925", \ + "0.124034, 0.271274, 0.339642, 0.440421, 0.593435, 0.84643, 1.27342", \ + "0.213502, 0.416168, 0.500358, 0.619715, 0.794883, 1.05275, 1.47487" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00843017, 0.0542302, 0.0854302, 0.13703, 0.22343, 0.36743, 0.60743"); + values ( \ + "0.0292016, 0.0794388, 0.111418, 0.164223, 0.252412, 0.399679, 0.644633", \ + "0.0437257, 0.0953199, 0.127376, 0.180147, 0.268391, 0.415588, 0.660376", \ + "0.0516087, 0.104441, 0.136605, 0.189375, 0.277742, 0.424635, 0.669743", \ + "0.0606627, 0.116358, 0.148651, 0.201741, 0.29013, 0.437133, 0.681996", \ + "0.0730053, 0.135053, 0.168079, 0.221621, 0.310178, 0.457253, 0.702332", \ + "0.0875095, 0.161222, 0.195929, 0.25105, 0.340948, 0.489105, 0.734795", \ + "0.0926673, 0.187302, 0.226448, 0.28406, 0.377405, 0.528908, 0.77727" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00843017, 0.0542302, 0.0854302, 0.13703, 0.22343, 0.36743, 0.60743"); + values ( \ + "0.00853938, 0.0739799, 0.118714, 0.192413, 0.316384, 0.522727, 0.866744", \ + "0.0097834, 0.0740793, 0.118715, 0.192448, 0.316385, 0.522962, 0.866745", \ + "0.0108712, 0.0743322, 0.118716, 0.192527, 0.316386, 0.522963, 0.866746", \ + "0.0129116, 0.0751813, 0.119129, 0.19272, 0.316445, 0.522964, 0.866747", \ + "0.017198, 0.0777485, 0.121038, 0.193879, 0.316977, 0.522965, 0.866748", \ + "0.0246631, 0.083403, 0.125776, 0.198005, 0.320441, 0.525259, 0.867693", \ + "0.037158, 0.096066, 0.137237, 0.207216, 0.329067, 0.533304, 0.874351" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00605479, 0.0518548, 0.0830548, 0.134655, 0.221055, 0.365055, 0.605055"); + values ( \ + "0.0226106, 0.0240058, 0.023329, 0.0225097, 0.0214987, 0.0202522, 0.0198033", \ + "0.0231079, 0.0237688, 0.0239111, 0.0233102, 0.0218695, 0.0203295, 0.0197889", \ + "0.0236614, 0.0233437, 0.0233279, 0.0233778, 0.0220113, 0.0208314, 0.0197499", \ + "0.0250289, 0.0230325, 0.0231393, 0.0229367, 0.0224023, 0.0210446, 0.0217184", \ + "0.0282068, 0.0231054, 0.0230153, 0.0227601, 0.0226197, 0.021444, 0.0232575", \ + "0.0342764, 0.0246378, 0.023924, 0.0230008, 0.0227546, 0.0228286, 0.0209466", \ + "0.044371, 0.029176, 0.027156, 0.024839, 0.024206, 0.023653, 0.023464" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00843017, 0.0542302, 0.0854302, 0.13703, 0.22343, 0.36743, 0.60743"); + values ( \ + "0.017807, 0.0201993, 0.0201899, 0.0200575, 0.0197668, 0.019058, 0.01784", \ + "0.0184101, 0.0190018, 0.0193733, 0.0192534, 0.0193727, 0.0199721, 0.0172832", \ + "0.0192122, 0.0188146, 0.0188728, 0.0190198, 0.0184711, 0.0178206, 0.0168255", \ + "0.0207094, 0.0184169, 0.0186688, 0.0187077, 0.0185028, 0.0178779, 0.0166126", \ + "0.0235792, 0.0179735, 0.0180253, 0.0180632, 0.018067, 0.0167441, 0.0176476", \ + "0.0287552, 0.0193032, 0.0185307, 0.018024, 0.0176672, 0.0173833, 0.0165015", \ + "0.039488, 0.023207, 0.021349, 0.019879, 0.018444, 0.017887, 0.016928" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00605479, 0.0518548, 0.0830548, 0.134655, 0.221055, 0.365055, 0.605055"); + values ( \ + "0.0050811, 0.004999, 0.0046623, 0.0044081, 0.0039372, 0.0031956, 0.0032591", \ + "0.0050134, 0.0048288, 0.0050198, 0.0044087, 0.0042614, 0.0029293, 0.0030626", \ + "0.005046, 0.0049678, 0.0048596, 0.0047403, 0.0046547, 0.0038112, 0.0032819", \ + "0.0050582, 0.0050852, 0.0049808, 0.0046312, 0.004828, 0.0030305, 0.0033214", \ + "0.0050652, 0.0050458, 0.005015, 0.0049252, 0.0046259, 0.004499, 0.0027119", \ + "0.00500941, 0.0049533, 0.0047878, 0.0051588, 0.0048952, 0.0043375, 0.0030635", \ + "0.004821, 0.00451501, 0.00457101, 0.00427601, 0.00506601, 0.00425, 0.00457001" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00843017, 0.0542302, 0.0854302, 0.13703, 0.22343, 0.36743, 0.60743"); + values ( \ + "0.003852, 0.0037097, 0.0036362, 0.0032554, 0.002819, 0.0020497, 0.0006929", \ + "0.0037783, 0.003594, 0.0036269, 0.0032015, 0.0032683, 0.0020202, 0.0004669", \ + "0.003836, 0.0038998, 0.0037778, 0.0035706, 0.0029542, 0.0025182, 0.000720799", \ + "0.00378, 0.0039079, 0.0039253, 0.0039189, 0.0034626, 0.0038944, 0.0010998", \ + "0.0037343, 0.0038383, 0.0040564, 0.0040495, 0.0039488, 0.0028025, 0.0037158", \ + "0.003747, 0.0038304, 0.0039713, 0.0040021, 0.0039743, 0.0040032, 0.0033121", \ + "0.00366101, 0.00362401, 0.003866, 0.00377901, 0.00334701, 0.003829, 0.00348601" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00298355; + rise_capacitance : 0.0030347; + rise_capacitance_range (0.0030347, 0.0030347); + fall_capacitance : 0.0029324; + fall_capacitance_range (0.0029324, 0.0029324); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00340304, 0.00540162, 0.00836281, 0.0149553, 0.0288291, 0.056964, 0.113993" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00488459, 0.00725026, 0.0103339, 0.0167539, 0.0303053, 0.0584762, 0.114797" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00725422; + rise_capacitance : 0.00720101; + rise_capacitance_range (0.00720101, 0.00720101); + fall_capacitance : 0.00730743; + fall_capacitance_range (0.00730743, 0.00730743); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00108352, 0.000364951, 0.00305636, 0.00931207, 0.0230689, 0.050868, 0.107754" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0260751, 0.0286119, 0.0314915, 0.0376939, 0.0509492, 0.0783973, 0.134426" \ + ); + } + } + } + } + cell (sg13g2_ebufn_4) { + area : 25.4016; + cell_footprint : "BTL"; + cell_leakage_power : 3586.25; + leakage_power () { + value : 1562.52; + when : "A&TE_B"; + } + leakage_power () { + value : 985.814; + when : "!A&TE_B"; + } + leakage_power () { + value : 5116.89; + when : "A&!TE_B"; + } + leakage_power () { + value : 6679.77; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 1.2; + capacitance : 0.012272; + rise_capacitance : 0.00997827; + rise_capacitance_range (0.00997827, 0.00997827); + fall_capacitance : 0.0145658; + fall_capacitance_range (0.0145658, 0.0145658); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0109783, 0.103578, 0.165978, 0.269178, 0.441978, 0.729978, 1.20998"); + values ( \ + "0.0403255, 0.107158, 0.148449, 0.216728, 0.331278, 0.522274, 0.840985", \ + "0.0633396, 0.130277, 0.171904, 0.240345, 0.354954, 0.545904, 0.864745", \ + "0.0777722, 0.14497, 0.186387, 0.25497, 0.369409, 0.560238, 0.879069", \ + "0.0995358, 0.167326, 0.208636, 0.276904, 0.391228, 0.582212, 0.900442", \ + "0.127314, 0.198382, 0.239162, 0.307608, 0.421642, 0.612511, 0.931057", \ + "0.163028, 0.240293, 0.281752, 0.350441, 0.46465, 0.656101, 0.974605", \ + "0.207981, 0.294462, 0.338912, 0.408625, 0.525919, 0.719083, 1.04121" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0109783, 0.103578, 0.165978, 0.269178, 0.441978, 0.729978, 1.20998"); + values ( \ + "0.0126217, 0.10094, 0.161888, 0.262872, 0.431937, 0.713674, 1.18328", \ + "0.0160529, 0.101307, 0.162091, 0.262873, 0.431938, 0.713675, 1.18348", \ + "0.0189775, 0.101862, 0.162349, 0.263091, 0.431939, 0.718482, 1.18373", \ + "0.0244036, 0.103612, 0.163091, 0.263583, 0.432177, 0.718483, 1.18374", \ + "0.0339364, 0.108485, 0.16606, 0.265293, 0.433198, 0.718484, 1.18375", \ + "0.049381, 0.120293, 0.174944, 0.271383, 0.437931, 0.718485, 1.1847", \ + "0.074073, 0.142756, 0.194627, 0.287377, 0.451178, 0.729687, 1.1944" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155658, 0.108166, 0.170566, 0.273766, 0.446566, 0.734566, 1.21457"); + values ( \ + "0.044272, 0.0997274, 0.13225, 0.18554, 0.274393, 0.42229, 0.668666", \ + "0.0689732, 0.124602, 0.157012, 0.210198, 0.299087, 0.447053, 0.693494", \ + "0.0836872, 0.139877, 0.172296, 0.225364, 0.314168, 0.462217, 0.709031", \ + "0.103531, 0.161759, 0.193815, 0.24682, 0.335418, 0.483008, 0.72972", \ + "0.135011, 0.196444, 0.228326, 0.28103, 0.369177, 0.516196, 0.762568", \ + "0.179285, 0.246873, 0.280529, 0.334242, 0.422995, 0.570209, 0.815957", \ + "0.233512, 0.31025, 0.347864, 0.404231, 0.495636, 0.646486, 0.895012" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155658, 0.108166, 0.170566, 0.273766, 0.446566, 0.734566, 1.21457"); + values ( \ + "0.0124891, 0.076377, 0.121036, 0.19526, 0.319986, 0.527571, 0.873796", \ + "0.0161238, 0.0772972, 0.121347, 0.195353, 0.319987, 0.527798, 0.873926", \ + "0.0197158, 0.0784555, 0.122104, 0.195686, 0.319988, 0.527799, 0.873927", \ + "0.025561, 0.0811881, 0.123489, 0.196537, 0.320452, 0.5278, 0.873928", \ + "0.0350048, 0.088042, 0.127998, 0.199001, 0.321819, 0.52843, 0.873997", \ + "0.050269, 0.101226, 0.138869, 0.207597, 0.327821, 0.532493, 0.876316", \ + "0.074374, 0.125201, 0.161847, 0.226746, 0.344719, 0.547166, 0.887448" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0109783, 0.103578, 0.165978, 0.269178, 0.441978, 0.729978, 1.20998"); + values ( \ + "0.0317313, 0.0317323, 0.0317333, 0.0317343, 0.0317353, 0.0317363, 0.0317373", \ + "0.0482133, 0.0482143, 0.0482153, 0.0482163, 0.0482173, 0.0482183, 0.0482193", \ + "0.059035, 0.059036, 0.059037, 0.059038, 0.059039, 0.05904, 0.059041", \ + "0.0745454, 0.0745464, 0.0745474, 0.0745484, 0.0745494, 0.0745504, 0.0745514", \ + "0.0935189, 0.0935199, 0.0935209, 0.0935219, 0.0935229, 0.0935239, 0.0935249", \ + "0.117422, 0.117423, 0.117424, 0.117425, 0.117426, 0.117427, 0.117428", \ + "0.144388, 0.144389, 0.14439, 0.144391, 0.144392, 0.144393, 0.144394" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0109783, 0.103578, 0.165978, 0.269178, 0.441978, 0.729978, 1.20998"); + values ( \ + "0.0317313, 0.0317323, 0.0317333, 0.0317343, 0.0317353, 0.0317363, 0.0317373", \ + "0.0482133, 0.0482143, 0.0482153, 0.0482163, 0.0482173, 0.0482183, 0.0482193", \ + "0.059035, 0.059036, 0.059037, 0.059038, 0.059039, 0.05904, 0.059041", \ + "0.0745454, 0.0745464, 0.0745474, 0.0745484, 0.0745494, 0.0745504, 0.0745514", \ + "0.0935189, 0.0935199, 0.0935209, 0.0935219, 0.0935229, 0.0935239, 0.0935249", \ + "0.117422, 0.117423, 0.117424, 0.117425, 0.117426, 0.117427, 0.117428", \ + "0.144388, 0.144389, 0.14439, 0.144391, 0.144392, 0.144393, 0.144394" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155658, 0.108166, 0.170566, 0.273766, 0.446566, 0.734566, 1.21457"); + values ( \ + "0.016504, 0.016505, 0.016506, 0.016507, 0.016508, 0.016509, 0.01651", \ + "0.0285973, 0.0285983, 0.0285993, 0.0286003, 0.0286013, 0.0286023, 0.0286033", \ + "0.0441211, 0.0441221, 0.0441231, 0.0441241, 0.0441251, 0.0441261, 0.0441271", \ + "0.0741573, 0.0741583, 0.0741593, 0.0741603, 0.0741613, 0.0741623, 0.0741633", \ + "0.132982, 0.132983, 0.132984, 0.132985, 0.132986, 0.132987, 0.132988", \ + "0.247396, 0.247397, 0.247398, 0.247399, 0.2474, 0.247401, 0.247402", \ + "0.478154, 0.478155, 0.478156, 0.478157, 0.478158, 0.478159, 0.47816" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155658, 0.108166, 0.170566, 0.273766, 0.446566, 0.734566, 1.21457"); + values ( \ + "0.016504, 0.016505, 0.016506, 0.016507, 0.016508, 0.016509, 0.01651", \ + "0.0285973, 0.0285983, 0.0285993, 0.0286003, 0.0286013, 0.0286023, 0.0286033", \ + "0.0441211, 0.0441221, 0.0441231, 0.0441241, 0.0441251, 0.0441261, 0.0441271", \ + "0.0741573, 0.0741583, 0.0741593, 0.0741603, 0.0741613, 0.0741623, 0.0741633", \ + "0.132982, 0.132983, 0.132984, 0.132985, 0.132986, 0.132987, 0.132988", \ + "0.247396, 0.247397, 0.247398, 0.247399, 0.2474, 0.247401, 0.247402", \ + "0.478154, 0.478155, 0.478156, 0.478157, 0.478158, 0.478159, 0.47816" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0109783, 0.103578, 0.165978, 0.269178, 0.441978, 0.729978, 1.20998"); + values ( \ + "0.0178669, 0.0819414, 0.123704, 0.192547, 0.307874, 0.499896, 0.820065", \ + "0.0185345, 0.0994205, 0.142947, 0.212492, 0.327962, 0.520092, 0.840087", \ + "0.0185355, 0.110316, 0.157708, 0.230395, 0.347151, 0.539603, 0.859895", \ + "0.0185365, 0.120791, 0.176596, 0.257481, 0.381092, 0.577129, 0.898203", \ + "0.0185375, 0.120792, 0.193795, 0.29219, 0.433681, 0.645025, 0.975504", \ + "0.0185385, 0.120793, 0.193796, 0.312743, 0.490903, 0.739552, 1.103", \ + "0.0185395, 0.120794, 0.193797, 0.312744, 0.495031, 0.819758, 1.25724" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0109783, 0.103578, 0.165978, 0.269178, 0.441978, 0.729978, 1.20998"); + values ( \ + "0.0123094, 0.100554, 0.161909, 0.262871, 0.432011, 0.713783, 1.18359", \ + "0.0188362, 0.107162, 0.165917, 0.264513, 0.432269, 0.713784, 1.1836", \ + "0.0268355, 0.118371, 0.176019, 0.271622, 0.435622, 0.714637, 1.18361", \ + "0.0423031, 0.142188, 0.199892, 0.29298, 0.451618, 0.723174, 1.19207", \ + "0.0702193, 0.189303, 0.249065, 0.343121, 0.497669, 0.759799, 1.20767", \ + "0.12056, 0.273108, 0.342256, 0.442802, 0.60019, 0.856945, 1.29045", \ + "0.209103, 0.418573, 0.50311, 0.624352, 0.797874, 1.06365, 1.49091" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155658, 0.108166, 0.170566, 0.273766, 0.446566, 0.734566, 1.21457"); + values ( \ + "0.0338839, 0.0882333, 0.120584, 0.173743, 0.262564, 0.410489, 0.657023", \ + "0.0517957, 0.108073, 0.140538, 0.193753, 0.282579, 0.430605, 0.676753", \ + "0.0616517, 0.119766, 0.152289, 0.205684, 0.294406, 0.442332, 0.68868", \ + "0.0736488, 0.135523, 0.168161, 0.221784, 0.310776, 0.45867, 0.705069", \ + "0.0910085, 0.160525, 0.19386, 0.247514, 0.337122, 0.484806, 0.731341", \ + "0.112955, 0.19579, 0.230922, 0.286117, 0.376902, 0.525407, 0.772865", \ + "0.129268, 0.234817, 0.274506, 0.333205, 0.425919, 0.578749, 0.827339" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155658, 0.108166, 0.170566, 0.273766, 0.446566, 0.734566, 1.21457"); + values ( \ + "0.00905515, 0.0764059, 0.120935, 0.195209, 0.31981, 0.527776, 0.873794", \ + "0.0106654, 0.076654, 0.121092, 0.195322, 0.31983, 0.527777, 0.873988", \ + "0.0119092, 0.0771028, 0.121328, 0.195476, 0.319831, 0.527778, 0.873989", \ + "0.0145052, 0.0781515, 0.121844, 0.195592, 0.319913, 0.527779, 0.87399", \ + "0.0194846, 0.0814061, 0.123941, 0.196772, 0.320477, 0.52778, 0.873991", \ + "0.027968, 0.087968, 0.129071, 0.200799, 0.323409, 0.529507, 0.874876", \ + "0.042016, 0.101571, 0.14109, 0.21031, 0.331351, 0.537069, 0.880455" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0109783, 0.103578, 0.165978, 0.269178, 0.441978, 0.729978, 1.20998"); + values ( \ + "0.0434123, 0.045323, 0.0443814, 0.0429726, 0.041179, 0.0387058, 0.037775", \ + "0.0466424, 0.0461827, 0.0460271, 0.0451186, 0.0433025, 0.0405905, 0.0394094", \ + "0.0488234, 0.045675, 0.045598, 0.0456838, 0.04422, 0.0436237, 0.0400924", \ + "0.0535516, 0.0455416, 0.0456589, 0.0452352, 0.0446928, 0.0414492, 0.0456494", \ + "0.0615662, 0.0463299, 0.0459428, 0.0457834, 0.0448548, 0.0428266, 0.0470402", \ + "0.0764751, 0.0513651, 0.0489531, 0.0468001, 0.0462401, 0.0459511, 0.0436581", \ + "0.102193, 0.060991, 0.056668, 0.052284, 0.049516, 0.048434, 0.048563" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155658, 0.108166, 0.170566, 0.273766, 0.446566, 0.734566, 1.21457"); + values ( \ + "0.0372765, 0.0395268, 0.0398687, 0.0397672, 0.0392788, 0.0376484, 0.0355092", \ + "0.039807, 0.0377585, 0.0385587, 0.0379683, 0.038878, 0.0366116, 0.0340533", \ + "0.0424637, 0.0376375, 0.0376774, 0.0382001, 0.0371745, 0.0369183, 0.0341139", \ + "0.0468894, 0.0370978, 0.0371232, 0.0370543, 0.0363399, 0.0357509, 0.0331267", \ + "0.0551749, 0.0383757, 0.0371557, 0.0368578, 0.0365131, 0.0338494, 0.0367724", \ + "0.0690424, 0.0415534, 0.0384614, 0.0377604, 0.0362664, 0.0351264, 0.0324534", \ + "0.092726, 0.053943, 0.048715, 0.044374, 0.040317, 0.038255, 0.035225" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0109783, 0.103578, 0.165978, 0.269178, 0.441978, 0.729978, 1.20998"); + values ( \ + "0.0092012, 0.0088119, 0.0084475, 0.0078422, 0.0067441, 0.0050878, 0.0055741", \ + "0.0091868, 0.0088755, 0.0089934, 0.008713, 0.0069187, 0.0052494, 0.0045083", \ + "0.009354, 0.0090699, 0.0087119, 0.0093192, 0.0078983, 0.0052897, 0.0054858", \ + "0.0094632, 0.0094386, 0.0091669, 0.0085519, 0.0091814, 0.0054712, 0.0082683", \ + "0.0094871, 0.0094154, 0.0094043, 0.0094257, 0.0079917, 0.0077907, 0.0061358", \ + "0.00926501, 0.009254, 0.00906701, 0.00903, 0.009209, 0.008331, 0.005672", \ + "0.009124, 0.008899, 0.008708, 0.008261, 0.00831699, 0.00837401, 0.008992" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155658, 0.108166, 0.170566, 0.273766, 0.446566, 0.734566, 1.21457"); + values ( \ + "0.0069475, 0.0065338, 0.0061181, 0.0055911, 0.0045891, 0.0032534, 0.000252802", \ + "0.0068802, 0.0062517, 0.0064456, 0.0056208, 0.0048832, 0.0029782, 0.000602398", \ + "0.0068912, 0.0070701, 0.0066522, 0.006613, 0.0048902, 0.0051303, 0.0007893", \ + "0.0068585, 0.0072738, 0.0072209, 0.0070933, 0.0061041, 0.004623, 0.0014769", \ + "0.0067884, 0.0074292, 0.0074123, 0.0072339, 0.0074369, 0.004643, 0.00801291", \ + "0.006788, 0.007435, 0.00685801, 0.007204, 0.007462, 0.007041, 0.005119", \ + "0.006809, 0.007192, 0.007038, 0.00723299, 0.00589199, 0.00751899, 0.00572" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00335215; + rise_capacitance : 0.00341646; + rise_capacitance_range (0.00341646, 0.00341646); + fall_capacitance : 0.00328783; + fall_capacitance_range (0.00328783, 0.00328783); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00650286, 0.00826083, 0.0115005, 0.0186472, 0.0342205, 0.0659279, 0.130857" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00774237, 0.0100885, 0.0133854, 0.0205599, 0.0357754, 0.0677336, 0.131996" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0118077; + rise_capacitance : 0.0116796; + rise_capacitance_range (0.0116796, 0.0116796); + fall_capacitance : 0.0119357; + fall_capacitance_range (0.0119357, 0.0119357); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00264692, -0.00164698, 0.001033, 0.00772146, 0.0226856, 0.05423, 0.118597" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0500988, 0.0527107, 0.05585, 0.0625925, 0.0773685, 0.108348, 0.171975" \ + ); + } + } + } + } + cell (sg13g2_ebufn_8) { + area : 45.36; + cell_footprint : "BTL"; + cell_leakage_power : 6703.58; + leakage_power () { + value : 2395.83; + when : "A&TE_B"; + } + leakage_power () { + value : 1242.29; + when : "!A&TE_B"; + } + leakage_power () { + value : 10025.3; + when : "A&!TE_B"; + } + leakage_power () { + value : 13150.9; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 2.4; + capacitance : 0.024156; + rise_capacitance : 0.0196019; + rise_capacitance_range (0.0196019, 0.0196019); + fall_capacitance : 0.0287101; + fall_capacitance_range (0.0287101, 0.0287101); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0206019, 0.206802, 0.331602, 0.538002, 0.883602, 1.4596, 2.4196"); + values ( \ + "0.0395351, 0.10726, 0.148822, 0.217478, 0.332295, 0.523936, 0.843599", \ + "0.0623146, 0.130209, 0.172134, 0.240927, 0.35601, 0.547646, 0.867608", \ + "0.07678, 0.14479, 0.186466, 0.2555, 0.370544, 0.562027, 0.882258", \ + "0.0982414, 0.166921, 0.208439, 0.277222, 0.392194, 0.584014, 0.903626", \ + "0.126018, 0.197292, 0.238893, 0.307448, 0.422445, 0.614311, 0.934546", \ + "0.161599, 0.239618, 0.281229, 0.349761, 0.465478, 0.658469, 0.977889", \ + "0.206805, 0.293488, 0.338371, 0.407826, 0.525815, 0.72134, 1.04438" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0206019, 0.206802, 0.331602, 0.538002, 0.883602, 1.4596, 2.4196"); + values ( \ + "0.0121893, 0.101697, 0.1631, 0.264864, 0.435087, 0.71884, 1.19177", \ + "0.0157084, 0.102084, 0.16332, 0.264865, 0.435372, 0.718841, 1.19229", \ + "0.0186717, 0.10266, 0.163558, 0.265054, 0.435373, 0.721231, 1.1923", \ + "0.024263, 0.104293, 0.164352, 0.265529, 0.435374, 0.721232, 1.19231", \ + "0.0339026, 0.109013, 0.167304, 0.267165, 0.436352, 0.721233, 1.19232", \ + "0.049521, 0.120844, 0.17604, 0.273437, 0.441155, 0.722589, 1.19321", \ + "0.074387, 0.143299, 0.19568, 0.288765, 0.454762, 0.735149, 1.20255" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297101, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.043373, 0.0992688, 0.131936, 0.185415, 0.27463, 0.423279, 0.670827", \ + "0.0677304, 0.123755, 0.156344, 0.209751, 0.299016, 0.447767, 0.695402", \ + "0.0822602, 0.138888, 0.171336, 0.224706, 0.313976, 0.462752, 0.710604", \ + "0.101887, 0.160105, 0.1924, 0.24537, 0.334496, 0.48289, 0.730758", \ + "0.132656, 0.194676, 0.226593, 0.279566, 0.368525, 0.515743, 0.763364", \ + "0.176601, 0.244594, 0.278331, 0.331831, 0.421455, 0.569363, 0.815919", \ + "0.229599, 0.30625, 0.344248, 0.401095, 0.492823, 0.644437, 0.894543" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297101, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.0121168, 0.0767752, 0.121777, 0.196553, 0.322053, 0.531126, 0.878989", \ + "0.0158164, 0.0775894, 0.122058, 0.196579, 0.322054, 0.531162, 0.879386", \ + "0.0193742, 0.0787889, 0.122802, 0.196987, 0.322055, 0.531163, 0.879387", \ + "0.0253623, 0.0814194, 0.1242, 0.197713, 0.322545, 0.531164, 0.879388", \ + "0.0349348, 0.0882375, 0.128608, 0.200199, 0.32389, 0.531876, 0.879419", \ + "0.050283, 0.101366, 0.139547, 0.208669, 0.329813, 0.535669, 0.881299", \ + "0.074625, 0.125506, 0.16233, 0.228144, 0.346313, 0.550921, 0.893045" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0206019, 0.206802, 0.331602, 0.538002, 0.883602, 1.4596, 2.4196"); + values ( \ + "0.0414953, 0.0414963, 0.0414973, 0.0414983, 0.0414993, 0.0415003, 0.0415013", \ + "0.0648745, 0.0648755, 0.0648765, 0.0648775, 0.0648785, 0.0648795, 0.0648805", \ + "0.0795942, 0.0795952, 0.0795962, 0.0795972, 0.0795982, 0.0795992, 0.0796002", \ + "0.101571, 0.101572, 0.101573, 0.101574, 0.101575, 0.101576, 0.101577", \ + "0.129801, 0.129802, 0.129803, 0.129804, 0.129805, 0.129806, 0.129807", \ + "0.166122, 0.166123, 0.166124, 0.166125, 0.166126, 0.166127, 0.166128", \ + "0.211149, 0.21115, 0.211151, 0.211152, 0.211153, 0.211154, 0.211155" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0206019, 0.206802, 0.331602, 0.538002, 0.883602, 1.4596, 2.4196"); + values ( \ + "0.0414953, 0.0414963, 0.0414973, 0.0414983, 0.0414993, 0.0415003, 0.0415013", \ + "0.0648745, 0.0648755, 0.0648765, 0.0648775, 0.0648785, 0.0648795, 0.0648805", \ + "0.0795942, 0.0795952, 0.0795962, 0.0795972, 0.0795982, 0.0795992, 0.0796002", \ + "0.101571, 0.101572, 0.101573, 0.101574, 0.101575, 0.101576, 0.101577", \ + "0.129801, 0.129802, 0.129803, 0.129804, 0.129805, 0.129806, 0.129807", \ + "0.166122, 0.166123, 0.166124, 0.166125, 0.166126, 0.166127, 0.166128", \ + "0.211149, 0.21115, 0.211151, 0.211152, 0.211153, 0.211154, 0.211155" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297101, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.0179116, 0.0179126, 0.0179136, 0.0179146, 0.0179156, 0.0179166, 0.0179176", \ + "0.0296522, 0.0296532, 0.0296542, 0.0296552, 0.0296562, 0.0296572, 0.0296582", \ + "0.0453527, 0.0453537, 0.0453547, 0.0453557, 0.0453567, 0.0453577, 0.0453587", \ + "0.0758675, 0.0758685, 0.0758695, 0.0758705, 0.0758715, 0.0758725, 0.0758735", \ + "0.133972, 0.133973, 0.133974, 0.133975, 0.133976, 0.133977, 0.133978", \ + "0.249894, 0.249895, 0.249896, 0.249897, 0.249898, 0.249899, 0.2499", \ + "0.481188, 0.481189, 0.48119, 0.481191, 0.481192, 0.481193, 0.481194" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297101, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.0179116, 0.0179126, 0.0179136, 0.0179146, 0.0179156, 0.0179166, 0.0179176", \ + "0.0296522, 0.0296532, 0.0296542, 0.0296552, 0.0296562, 0.0296572, 0.0296582", \ + "0.0453527, 0.0453537, 0.0453547, 0.0453557, 0.0453567, 0.0453577, 0.0453587", \ + "0.0758675, 0.0758685, 0.0758695, 0.0758705, 0.0758715, 0.0758725, 0.0758735", \ + "0.133972, 0.133973, 0.133974, 0.133975, 0.133976, 0.133977, 0.133978", \ + "0.249894, 0.249895, 0.249896, 0.249897, 0.249898, 0.249899, 0.2499", \ + "0.481188, 0.481189, 0.48119, 0.481191, 0.481192, 0.481193, 0.481194" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0206019, 0.206802, 0.331602, 0.538002, 0.883602, 1.4596, 2.4196"); + values ( \ + "0.0185158, 0.0837733, 0.125779, 0.19521, 0.311268, 0.504491, 0.826905", \ + "0.0190168, 0.100907, 0.14465, 0.214648, 0.330868, 0.524342, 0.846328", \ + "0.0190178, 0.11166, 0.159268, 0.232338, 0.349862, 0.543564, 0.865708", \ + "0.0190188, 0.122051, 0.178027, 0.259286, 0.383622, 0.580786, 0.903928", \ + "0.0190198, 0.122052, 0.195055, 0.293773, 0.436163, 0.648143, 0.980585", \ + "0.0190208, 0.122053, 0.195056, 0.314189, 0.492616, 0.74194, 1.10774", \ + "0.0190218, 0.122054, 0.195057, 0.31419, 0.496626, 0.82077, 1.26059" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0206019, 0.206802, 0.331602, 0.538002, 0.883602, 1.4596, 2.4196"); + values ( \ + "0.0116577, 0.100726, 0.163005, 0.264858, 0.435144, 0.718923, 1.19207", \ + "0.0179969, 0.107153, 0.16686, 0.266445, 0.43688, 0.718924, 1.19208", \ + "0.0261947, 0.118601, 0.176709, 0.273402, 0.438781, 0.720356, 1.19209", \ + "0.04163, 0.142684, 0.200284, 0.29473, 0.454532, 0.728116, 1.20003", \ + "0.0694955, 0.189505, 0.249644, 0.344129, 0.500678, 0.764309, 1.21566", \ + "0.119341, 0.273601, 0.342937, 0.443519, 0.602071, 0.860597, 1.29829", \ + "0.207291, 0.418985, 0.503795, 0.624926, 0.800593, 1.06596, 1.49715" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297101, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.0438164, 0.102435, 0.135132, 0.188674, 0.277922, 0.426519, 0.6743", \ + "0.0665559, 0.127652, 0.16046, 0.214036, 0.303327, 0.451978, 0.699772", \ + "0.0794749, 0.143404, 0.176368, 0.230079, 0.319432, 0.467935, 0.715524", \ + "0.0961743, 0.16545, 0.198889, 0.252471, 0.34224, 0.490602, 0.738138", \ + "0.120197, 0.199038, 0.233394, 0.288031, 0.377652, 0.526163, 0.773855", \ + "0.150871, 0.245792, 0.28323, 0.339191, 0.430222, 0.579268, 0.826922", \ + "0.178714, 0.300018, 0.34199, 0.403477, 0.496517, 0.648441, 0.898355" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297101, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.010548, 0.0779462, 0.122253, 0.196695, 0.322093, 0.531114, 0.879203", \ + "0.0125509, 0.0785103, 0.122584, 0.1968, 0.322094, 0.531157, 0.879204", \ + "0.0146357, 0.0793368, 0.123128, 0.196941, 0.322095, 0.531158, 0.879338", \ + "0.0183626, 0.0813021, 0.124129, 0.197518, 0.322096, 0.531159, 0.879339", \ + "0.024805, 0.0855177, 0.126956, 0.199145, 0.32267, 0.53116, 0.87934", \ + "0.03566, 0.094001, 0.134375, 0.203737, 0.325643, 0.532597, 0.87957", \ + "0.053096, 0.110074, 0.14791, 0.21647, 0.334359, 0.538649, 0.884177" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0206019, 0.206802, 0.331602, 0.538002, 0.883602, 1.4596, 2.4196"); + values ( \ + "0.086274, 0.0906414, 0.0891684, 0.086868, 0.0835803, 0.0789168, 0.0770574", \ + "0.092637, 0.092254, 0.092641, 0.091395, 0.088649, 0.0834863, 0.0816785", \ + "0.0974088, 0.0912008, 0.0917728, 0.0944578, 0.0908548, 0.0877778, 0.0832748", \ + "0.106532, 0.0908986, 0.0917666, 0.0912696, 0.0918086, 0.0870266, 0.0997906", \ + "0.123235, 0.0924496, 0.0923886, 0.0926736, 0.0921616, 0.0909756, 0.0993116", \ + "0.15264, 0.100934, 0.098235, 0.095517, 0.095601, 0.09721, 0.094349", \ + "0.203501, 0.122364, 0.11303, 0.104892, 0.10179, 0.102032, 0.105356" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297101, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.0746209, 0.0790121, 0.0797398, 0.0792432, 0.0782404, 0.0756632, 0.069873", \ + "0.0797731, 0.0751266, 0.0769194, 0.0756601, 0.0769091, 0.0729146, 0.0678563", \ + "0.0849795, 0.0746905, 0.0744305, 0.0757015, 0.0736337, 0.0738387, 0.066423", \ + "0.0938511, 0.0734001, 0.0737981, 0.0728031, 0.0719071, 0.0718781, 0.0664341", \ + "0.110487, 0.0754097, 0.0732537, 0.0728567, 0.0726217, 0.0658547, 0.0661377", \ + "0.138375, 0.082859, 0.076509, 0.075399, 0.072159, 0.069761, 0.063176", \ + "0.186791, 0.104039, 0.092732, 0.083821, 0.075708, 0.070987, 0.066242" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0206019, 0.206802, 0.331602, 0.538002, 0.883602, 1.4596, 2.4196"); + values ( \ + "0.0175826, 0.0168246, 0.0159216, 0.0147896, 0.0130046, 0.0093446, 0.0104096", \ + "0.0175404, 0.0170434, 0.0172574, 0.0158624, 0.0148884, 0.0095434, 0.0081874", \ + "0.01778, 0.017428, 0.016694, 0.01715, 0.013445, 0.010193, 0.00955901", \ + "0.0178, 0.017842, 0.017099, 0.016056, 0.017581, 0.009999, 0.01672", \ + "0.018012, 0.017652, 0.017689, 0.017279, 0.015071, 0.015035, 0.009973", \ + "0.0177, 0.017472, 0.017414, 0.01676, 0.017428, 0.01536, 0.010526", \ + "0.017327, 0.017026, 0.016667, 0.015632, 0.016902, 0.015289, 0.016043" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297101, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.0129866, 0.0125336, 0.0116246, 0.0105926, 0.0091466, 0.0056476, 0.000110403", \ + "0.0129014, 0.0119814, 0.0121724, 0.0104974, 0.00924639, 0.0057824, 0.000675395", \ + "0.01277, 0.012952, 0.011511, 0.011962, 0.009221, 0.00600901, 0.001524", \ + "0.012598, 0.013562, 0.013193, 0.012147, 0.010788, 0.006976, 0.00381801", \ + "0.012645, 0.013862, 0.013027, 0.013984, 0.013281, 0.00921, 0.016274", \ + "0.012549, 0.013925, 0.013623, 0.013835, 0.01467, 0.014082, 0.009656", \ + "0.012366, 0.012619, 0.012384, 0.015541, 0.01249, 0.013362, 0.012397" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00656312; + rise_capacitance : 0.00669206; + rise_capacitance_range (0.00669206, 0.00669206); + fall_capacitance : 0.00643417; + fall_capacitance_range (0.00643417, 0.00643417); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0116476, 0.015494, 0.0219232, 0.0363264, 0.0675754, 0.131441, 0.260827" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0143252, 0.0192559, 0.0258675, 0.0402779, 0.0708203, 0.134657, 0.263294" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.019661; + rise_capacitance : 0.0193879; + rise_capacitance_range (0.0193879, 0.0193879); + fall_capacitance : 0.0199341; + fall_capacitance_range (0.0199341, 0.0199341); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00644725, -0.00628818, -0.00418469, 0.00172904, 0.0154379, 0.04574, 0.109164" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0977434, 0.0999516, 0.102694, 0.109202, 0.123196, 0.153129, 0.21577" \ + ); + } + } + } + } + cell (sg13g2_einvn_2) { + area : 16.3296; + cell_footprint : "einvin"; + cell_leakage_power : 2724.87; + leakage_power () { + value : 2203.9; + when : "!A&!TE_B&Z"; + } + leakage_power () { + value : 3245.84; + when : "A&!TE_B&!Z"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 0.6; + capacitance : 0.00627115; + rise_capacitance : 0.00509264; + rise_capacitance_range (0.00509264, 0.00509264); + fall_capacitance : 0.00744965; + fall_capacitance_range (0.00744965, 0.00744965); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00609264, 0.0518926, 0.0830926, 0.134693, 0.221093, 0.365093, 0.605093"); + values ( \ + "0.0146479, 0.0779412, 0.11864, 0.186152, 0.299658, 0.489326, 0.805377", \ + "0.0234306, 0.107196, 0.15037, 0.218406, 0.33165, 0.520913, 0.836431", \ + "0.0285799, 0.127507, 0.176133, 0.248589, 0.363321, 0.552276, 0.86754", \ + "0.0349598, 0.15696, 0.214393, 0.297284, 0.421337, 0.614557, 0.930121", \ + "0.0446656, 0.202588, 0.272089, 0.371568, 0.516366, 0.729307, 1.05647", \ + "0.0614522, 0.268544, 0.357282, 0.478916, 0.65388, 0.907107, 1.27583", \ + "0.0820822, 0.353369, 0.469576, 0.626912, 0.844478, 1.15149, 1.59463" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00609264, 0.0518926, 0.0830926, 0.134693, 0.221093, 0.365093, 0.605093"); + values ( \ + "0.0114657, 0.097683, 0.157914, 0.25781, 0.425117, 0.70394, 1.16863", \ + "0.0218672, 0.10902, 0.164902, 0.260561, 0.426338, 0.703941, 1.16864", \ + "0.0305224, 0.125187, 0.180498, 0.272412, 0.431383, 0.706881, 1.16865", \ + "0.0432851, 0.153558, 0.211517, 0.303649, 0.456938, 0.719712, 1.17382", \ + "0.0686352, 0.201654, 0.266392, 0.364558, 0.521015, 0.77577, 1.20899", \ + "0.11757, 0.285902, 0.359157, 0.469567, 0.63889, 0.904998, 1.33112", \ + "0.211442, 0.426877, 0.519832, 0.647505, 0.840203, 1.12837, 1.58342" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00844965, 0.0542497, 0.0854497, 0.13705, 0.22345, 0.36745, 0.60745"); + values ( \ + "0.0134198, 0.0647518, 0.0968282, 0.149659, 0.238041, 0.385258, 0.630572", \ + "0.0201679, 0.0930402, 0.128875, 0.183451, 0.272244, 0.419428, 0.664855", \ + "0.02393, 0.112623, 0.153918, 0.214251, 0.306674, 0.454834, 0.699912", \ + "0.0289745, 0.141105, 0.191474, 0.262461, 0.36655, 0.523561, 0.772245", \ + "0.031991, 0.178806, 0.241032, 0.328889, 0.453462, 0.633724, 0.90261", \ + "0.0320345, 0.225934, 0.3079, 0.417601, 0.573574, 0.792225, 1.10816", \ + "0.0320355, 0.281382, 0.390711, 0.536561, 0.734042, 1.00905, 1.3974" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00844965, 0.0542497, 0.0854497, 0.13705, 0.22345, 0.36745, 0.60745"); + values ( \ + "0.00832579, 0.072027, 0.116868, 0.190894, 0.314993, 0.521539, 0.86597", \ + "0.0188116, 0.0858741, 0.126823, 0.196284, 0.3166, 0.521844, 0.866465", \ + "0.0276552, 0.101543, 0.143706, 0.211468, 0.32668, 0.525894, 0.867354", \ + "0.0434072, 0.13033, 0.174938, 0.24538, 0.358644, 0.549541, 0.878824", \ + "0.0711717, 0.180339, 0.231648, 0.306695, 0.426743, 0.61646, 0.93233", \ + "0.120563, 0.267297, 0.326072, 0.413379, 0.543533, 0.748281, 1.06763", \ + "0.21174, 0.413942, 0.492993, 0.594585, 0.747308, 0.976989, 1.32162" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00609264, 0.0518926, 0.0830926, 0.134693, 0.221093, 0.365093, 0.605093"); + values ( \ + "0.0295273, 0.0295277, 0.0295287, 0.0295297, 0.0295307, 0.0295317, 0.0295327", \ + "0.0451413, 0.0451423, 0.0451433, 0.0451443, 0.0451453, 0.0451463, 0.0451473", \ + "0.0552888, 0.0552898, 0.0552908, 0.0552918, 0.0552928, 0.0552938, 0.0552948", \ + "0.0708132, 0.0708142, 0.0708152, 0.0708162, 0.0708172, 0.0708182, 0.0708192", \ + "0.0875231, 0.0875241, 0.0875251, 0.0875261, 0.0875271, 0.0875281, 0.0875291", \ + "0.109708, 0.109709, 0.10971, 0.109711, 0.109712, 0.109713, 0.109714", \ + "0.140447, 0.140448, 0.140449, 0.14045, 0.140451, 0.140452, 0.140453" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00609264, 0.0518926, 0.0830926, 0.134693, 0.221093, 0.365093, 0.605093"); + values ( \ + "0.0295273, 0.0295277, 0.0295287, 0.0295297, 0.0295307, 0.0295317, 0.0295327", \ + "0.0451413, 0.0451423, 0.0451433, 0.0451443, 0.0451453, 0.0451463, 0.0451473", \ + "0.0552888, 0.0552898, 0.0552908, 0.0552918, 0.0552928, 0.0552938, 0.0552948", \ + "0.0708132, 0.0708142, 0.0708152, 0.0708162, 0.0708172, 0.0708182, 0.0708192", \ + "0.0875231, 0.0875241, 0.0875251, 0.0875261, 0.0875271, 0.0875281, 0.0875291", \ + "0.109708, 0.109709, 0.10971, 0.109711, 0.109712, 0.109713, 0.109714", \ + "0.140447, 0.140448, 0.140449, 0.14045, 0.140451, 0.140452, 0.140453" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00609264, 0.0518926, 0.0830926, 0.134693, 0.221093, 0.365093, 0.605093"); + values ( \ + "0.0175278, 0.0788501, 0.120029, 0.188161, 0.302339, 0.492404, 0.809044", \ + "0.0202527, 0.0976444, 0.140427, 0.209049, 0.323227, 0.516072, 0.830076", \ + "0.0202537, 0.108897, 0.155534, 0.227162, 0.342574, 0.532937, 0.850112", \ + "0.0202547, 0.119658, 0.174683, 0.254704, 0.376925, 0.570825, 0.888595", \ + "0.0202557, 0.119659, 0.192217, 0.289802, 0.430125, 0.63951, 0.966606", \ + "0.0202567, 0.11966, 0.192218, 0.31081, 0.4878, 0.734599, 1.095", \ + "0.0202577, 0.119661, 0.192219, 0.310811, 0.492267, 0.815083, 1.25002" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00609264, 0.0518926, 0.0830926, 0.134693, 0.221093, 0.365093, 0.605093"); + values ( \ + "0.0103409, 0.09751, 0.157899, 0.257843, 0.425148, 0.703932, 1.16817", \ + "0.0199875, 0.104526, 0.162014, 0.2595, 0.426669, 0.706521, 1.16897", \ + "0.029143, 0.116316, 0.172421, 0.266664, 0.428833, 0.708888, 1.16898", \ + "0.0451835, 0.140663, 0.196719, 0.28856, 0.445221, 0.713633, 1.17536", \ + "0.0737256, 0.188046, 0.246844, 0.338954, 0.491924, 0.750905, 1.19329", \ + "0.125263, 0.272179, 0.340704, 0.440435, 0.595775, 0.848627, 1.2772", \ + "0.215009, 0.417347, 0.501821, 0.622088, 0.797076, 1.05675, 1.47796" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00609264, 0.0518926, 0.0830926, 0.134693, 0.221093, 0.365093, 0.605093"); + values ( \ + "0.010306, 0.011174, 0.0104465, 0.0096451, 0.00873214, 0.00763805, 0.00709038", \ + "0.0151083, 0.0126617, 0.0122457, 0.0112174, 0.0101229, 0.00841989, 0.00767425", \ + "0.0208602, 0.0155902, 0.0144004, 0.0135222, 0.0123088, 0.0101906, 0.00849322", \ + "0.0325025, 0.0231237, 0.0208794, 0.0184403, 0.0161333, 0.0131913, 0.0110004", \ + "0.0568045, 0.0415697, 0.0375445, 0.0330855, 0.0282097, 0.0234167, 0.018453", \ + "0.106269, 0.0843147, 0.0763846, 0.0680053, 0.0600453, 0.048441, 0.038405", \ + "0.205638, 0.1767, 0.1643, 0.149871, 0.132976, 0.113917, 0.0935303" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00844965, 0.0542497, 0.0854497, 0.13705, 0.22345, 0.36745, 0.60745"); + values ( \ + "0.00964382, 0.0121385, 0.0121828, 0.0120609, 0.0117455, 0.0108919, 0.00959453", \ + "0.0137993, 0.012162, 0.0123441, 0.0119202, 0.0121016, 0.0110426, 0.0098139", \ + "0.0193875, 0.0143545, 0.0136462, 0.0132116, 0.0129183, 0.012093, 0.0102006", \ + "0.0312858, 0.0209917, 0.01898, 0.0172942, 0.0152896, 0.0141471, 0.0113213", \ + "0.0561081, 0.03921, 0.0346329, 0.0298467, 0.0255965, 0.0208119, 0.0178182", \ + "0.106376, 0.081988, 0.0730706, 0.063031, 0.0528924, 0.043742, 0.0342659", \ + "0.205684, 0.174749, 0.160849, 0.143811, 0.123426, 0.10356, 0.0830734" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00609264, 0.0518926, 0.0830926, 0.134693, 0.221093, 0.365093, 0.605093"); + values ( \ + "0.0168837, 0.0166581, 0.0164848, 0.0161843, 0.0157387, 0.01493, 0.0145239", \ + "0.0168452, 0.0166489, 0.0167321, 0.0161169, 0.0160513, 0.0160477, 0.0146392", \ + "0.0168287, 0.0167199, 0.0164942, 0.016571, 0.0156635, 0.0161036, 0.0147255", \ + "0.0166404, 0.0166298, 0.0165232, 0.0162157, 0.0163759, 0.0145362, 0.0155216", \ + "0.0162952, 0.0162589, 0.0163207, 0.0161744, 0.0156708, 0.0156387, 0.0151956", \ + "0.015996, 0.0159359, 0.0158458, 0.015899, 0.0160359, 0.0153376, 0.0140209", \ + "0.0153983, 0.0152391, 0.0151538, 0.0148669, 0.0155487, 0.0149874, 0.0148149" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00417737; + rise_capacitance : 0.00527556; + rise_capacitance_range (0.00527556, 0.00527556); + fall_capacitance : 0.00307918; + fall_capacitance_range (0.00307918, 0.00307918); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00548954; + rise_capacitance : 0.00602952; + rise_capacitance_range (0.00602952, 0.00602952); + fall_capacitance : 0.00494956; + fall_capacitance_range (0.00494956, 0.00494956); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0128615, -0.0143241, -0.0161468, -0.0190355, -0.0104941, 0.00709597, 0.0431708" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0128615, 0.0143241, 0.0161468, 0.0201731, 0.0287986, 0.0464177, 0.0825662" \ + ); + } + } + } + } + cell (sg13g2_einvn_4) { + area : 23.5872; + cell_footprint : "einvin"; + cell_leakage_power : 5429.26; + leakage_power () { + value : 4387.32; + when : "!A&!TE_B"; + } + leakage_power () { + value : 6471.2; + when : "A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 1.2; + capacitance : 0.0123243; + rise_capacitance : 0.0100282; + rise_capacitance_range (0.0100282, 0.0100282); + fall_capacitance : 0.0146205; + fall_capacitance_range (0.0146205, 0.0146205); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0110282, 0.103628, 0.166028, 0.269228, 0.442028, 0.730028, 1.21003"); + values ( \ + "0.0135719, 0.0780699, 0.118804, 0.186403, 0.299939, 0.489707, 0.805882", \ + "0.0216932, 0.107328, 0.150537, 0.218742, 0.332111, 0.521559, 0.837326", \ + "0.0264057, 0.127755, 0.176301, 0.2489, 0.363866, 0.553026, 0.86877", \ + "0.0322803, 0.157156, 0.214648, 0.297658, 0.421799, 0.615241, 0.931155", \ + "0.0409684, 0.202835, 0.272484, 0.372443, 0.517045, 0.730735, 1.05867", \ + "0.056744, 0.268849, 0.357908, 0.479638, 0.655578, 0.908915, 1.27781", \ + "0.0764424, 0.353958, 0.470406, 0.628344, 0.846303, 1.15357, 1.59807" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0110282, 0.103628, 0.166028, 0.269228, 0.442028, 0.730028, 1.21003"); + values ( \ + "0.01046, 0.0978049, 0.1583, 0.258528, 0.426267, 0.705886, 1.17189", \ + "0.0205543, 0.109237, 0.165352, 0.261265, 0.426695, 0.705887, 1.1719", \ + "0.0288476, 0.125353, 0.180934, 0.273105, 0.432602, 0.707245, 1.17191", \ + "0.0410406, 0.15383, 0.21198, 0.304444, 0.458198, 0.721813, 1.17967", \ + "0.0654436, 0.202082, 0.266879, 0.365161, 0.522311, 0.777666, 1.21218", \ + "0.113688, 0.28636, 0.359532, 0.47044, 0.63927, 0.907085, 1.33461", \ + "0.207494, 0.427315, 0.520395, 0.647886, 0.841126, 1.13078, 1.58542" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0156205, 0.10822, 0.17062, 0.27382, 0.44662, 0.734621, 1.21462"); + values ( \ + "0.012469, 0.0646658, 0.0967473, 0.149554, 0.237911, 0.385175, 0.63035", \ + "0.0185486, 0.0930215, 0.128847, 0.183498, 0.272217, 0.41952, 0.664715", \ + "0.0218161, 0.112624, 0.154022, 0.214347, 0.306739, 0.454882, 0.700107", \ + "0.0262136, 0.141081, 0.191562, 0.262505, 0.366676, 0.52365, 0.772249", \ + "0.0283113, 0.178787, 0.241234, 0.329293, 0.453777, 0.63489, 0.903575", \ + "0.0283123, 0.226432, 0.307933, 0.417929, 0.574447, 0.793528, 1.1097", \ + "0.0283133, 0.281446, 0.390547, 0.537066, 0.734204, 1.01105, 1.39901" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0156205, 0.10822, 0.17062, 0.27382, 0.44662, 0.734621, 1.21462"); + values ( \ + "0.00759498, 0.0720243, 0.116942, 0.190985, 0.315171, 0.522159, 0.866991", \ + "0.0174866, 0.0859326, 0.12689, 0.196386, 0.316946, 0.522206, 0.866992", \ + "0.0259981, 0.101614, 0.143694, 0.211598, 0.326916, 0.526233, 0.868491", \ + "0.0411613, 0.130472, 0.175182, 0.245731, 0.35896, 0.549967, 0.879488", \ + "0.0683679, 0.180511, 0.231811, 0.306348, 0.427185, 0.616718, 0.933002", \ + "0.116813, 0.267673, 0.326178, 0.413598, 0.543954, 0.748835, 1.06817", \ + "0.208443, 0.4143, 0.493569, 0.595793, 0.749831, 0.975778, 1.32295" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0110282, 0.103628, 0.166028, 0.269228, 0.442028, 0.730028, 1.21003"); + values ( \ + "0.0307553, 0.0307563, 0.0307573, 0.0307583, 0.0307593, 0.0307603, 0.0307613", \ + "0.0474238, 0.0474248, 0.0474258, 0.0474268, 0.0474278, 0.0474288, 0.0474298", \ + "0.0582449, 0.0582459, 0.0582469, 0.0582479, 0.0582489, 0.0582499, 0.0582509", \ + "0.074137, 0.074138, 0.074139, 0.07414, 0.074141, 0.074142, 0.074143", \ + "0.0929631, 0.0929641, 0.0929651, 0.0929661, 0.0929671, 0.0929681, 0.0929691", \ + "0.118553, 0.118554, 0.118555, 0.118556, 0.118557, 0.118558, 0.118559", \ + "0.145886, 0.145887, 0.145888, 0.145889, 0.14589, 0.145891, 0.145892" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0110282, 0.103628, 0.166028, 0.269228, 0.442028, 0.730028, 1.21003"); + values ( \ + "0.0307553, 0.0307563, 0.0307573, 0.0307583, 0.0307593, 0.0307603, 0.0307613", \ + "0.0474238, 0.0474248, 0.0474258, 0.0474268, 0.0474278, 0.0474288, 0.0474298", \ + "0.0582449, 0.0582459, 0.0582469, 0.0582479, 0.0582489, 0.0582499, 0.0582509", \ + "0.074137, 0.074138, 0.074139, 0.07414, 0.074141, 0.074142, 0.074143", \ + "0.0929631, 0.0929641, 0.0929651, 0.0929661, 0.0929671, 0.0929681, 0.0929691", \ + "0.118553, 0.118554, 0.118555, 0.118556, 0.118557, 0.118558, 0.118559", \ + "0.145886, 0.145887, 0.145888, 0.145889, 0.14589, 0.145891, 0.145892" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0110282, 0.103628, 0.166028, 0.269228, 0.442028, 0.730028, 1.21003"); + values ( \ + "0.0169437, 0.0792001, 0.120466, 0.18882, 0.303036, 0.49379, 0.811435", \ + "0.0188012, 0.0978381, 0.140706, 0.209486, 0.323924, 0.517182, 0.832134", \ + "0.0188022, 0.108942, 0.1556, 0.227453, 0.343127, 0.533922, 0.851506", \ + "0.0188032, 0.119585, 0.174658, 0.254704, 0.377234, 0.571569, 0.890088", \ + "0.0188042, 0.119586, 0.191997, 0.289625, 0.430364, 0.639557, 0.967468", \ + "0.0188052, 0.119587, 0.191998, 0.310231, 0.487312, 0.73417, 1.09511", \ + "0.0188062, 0.119588, 0.191999, 0.310232, 0.490936, 0.814339, 1.24909" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0110282, 0.103628, 0.166028, 0.269228, 0.442028, 0.730028, 1.21003"); + values ( \ + "0.00942643, 0.0977741, 0.158291, 0.258544, 0.426253, 0.705856, 1.17221", \ + "0.0189388, 0.104702, 0.162398, 0.260184, 0.427169, 0.708486, 1.17222", \ + "0.0278578, 0.116454, 0.172672, 0.26738, 0.430027, 0.711059, 1.17223", \ + "0.0436362, 0.140776, 0.196956, 0.289015, 0.446287, 0.715386, 1.17664", \ + "0.0715763, 0.188128, 0.246909, 0.339893, 0.493217, 0.752401, 1.19653", \ + "0.121892, 0.272125, 0.340575, 0.440605, 0.595244, 0.850062, 1.2799", \ + "0.210229, 0.416918, 0.501612, 0.62173, 0.794929, 1.05726, 1.48188" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0110282, 0.103628, 0.166028, 0.269228, 0.442028, 0.730028, 1.21003"); + values ( \ + "0.020421, 0.0225356, 0.0212269, 0.0197033, 0.017902, 0.015752, 0.0145656", \ + "0.0304638, 0.0256098, 0.0250588, 0.0228544, 0.0204511, 0.0176329, 0.0160332", \ + "0.0420579, 0.0311871, 0.0293364, 0.0274681, 0.0248478, 0.0205228, 0.0181042", \ + "0.0656395, 0.0469097, 0.0425266, 0.0377348, 0.0340366, 0.0275978, 0.025337", \ + "0.113869, 0.0833345, 0.0750074, 0.06609, 0.0564731, 0.0477997, 0.0386019", \ + "0.213908, 0.168908, 0.152929, 0.137629, 0.117234, 0.0982701, 0.0799387", \ + "0.414337, 0.353203, 0.328591, 0.299751, 0.266851, 0.226929, 0.189529" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0156205, 0.10822, 0.17062, 0.27382, 0.44662, 0.734621, 1.21462"); + values ( \ + "0.018786, 0.0239538, 0.0241422, 0.0237879, 0.0231594, 0.0217963, 0.019376", \ + "0.0276414, 0.0241703, 0.0244422, 0.0238376, 0.0239122, 0.0216107, 0.0189146", \ + "0.0386952, 0.0284026, 0.026968, 0.0260892, 0.0248477, 0.023783, 0.0208373", \ + "0.0625948, 0.0417902, 0.0379202, 0.0345771, 0.03043, 0.0286434, 0.0222616", \ + "0.112208, 0.0773913, 0.0682717, 0.0585269, 0.0501222, 0.0419041, 0.0355105", \ + "0.211539, 0.161869, 0.143628, 0.123591, 0.103909, 0.0860079, 0.0673581", \ + "0.412569, 0.347951, 0.319829, 0.284962, 0.244278, 0.205283, 0.165316" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0110282, 0.103628, 0.166028, 0.269228, 0.442028, 0.730028, 1.21003"); + values ( \ + "0.0341884, 0.0337683, 0.0333967, 0.0328655, 0.0317725, 0.0302082, 0.0302157", \ + "0.0341013, 0.0337721, 0.0342539, 0.033459, 0.0320686, 0.0325707, 0.0296718", \ + "0.0340749, 0.0339911, 0.0335189, 0.0339724, 0.0328312, 0.0325893, 0.0294915", \ + "0.0337665, 0.0338427, 0.0337226, 0.0327299, 0.0335297, 0.0296906, 0.0305274", \ + "0.03346, 0.0334265, 0.0333404, 0.0333793, 0.0324009, 0.0318853, 0.0293029", \ + "0.0326289, 0.0326279, 0.0323249, 0.0325759, 0.0323819, 0.0313159, 0.0284659", \ + "0.031706, 0.031565, 0.031369, 0.030987, 0.031223, 0.031129, 0.031639" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00822015; + rise_capacitance : 0.0103911; + rise_capacitance_range (0.0103911, 0.0103911); + fall_capacitance : 0.00604926; + fall_capacitance_range (0.00604926, 0.00604926); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0103004; + rise_capacitance : 0.0112999; + rise_capacitance_range (0.0112999, 0.0112999); + fall_capacitance : 0.00930094; + fall_capacitance_range (0.00930094, 0.00930094); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0254584, -0.0280919, -0.0314287, -0.0385685, -0.0255972, 0.00599288, 0.0705739" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0254584, 0.0280919, 0.0314287, 0.0385685, 0.0537669, 0.0855441, 0.150009" \ + ); + } + } + } + } + cell (sg13g2_einvn_8) { + area : 39.9168; + cell_footprint : "ITL"; + cell_leakage_power : 10649.9; + leakage_power () { + value : 8566.03; + when : "!A&!TE_B"; + } + leakage_power () { + value : 12733.8; + when : "A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 2.4; + capacitance : 0.0244672; + rise_capacitance : 0.0199146; + rise_capacitance_range (0.0199146, 0.0199146); + fall_capacitance : 0.0290198; + fall_capacitance_range (0.0290198, 0.0290198); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0209146, 0.207115, 0.331915, 0.538315, 0.883915, 1.45991, 2.41991"); + values ( \ + "0.0131298, 0.0785094, 0.119447, 0.187363, 0.301423, 0.491914, 0.809298", \ + "0.0209385, 0.107829, 0.151368, 0.219902, 0.333785, 0.524095, 0.841185", \ + "0.0254691, 0.128392, 0.177235, 0.250216, 0.365677, 0.555717, 0.873267", \ + "0.0310844, 0.157899, 0.215718, 0.299272, 0.42412, 0.618591, 0.935977", \ + "0.0393587, 0.203681, 0.273799, 0.374182, 0.519653, 0.7341, 1.0634", \ + "0.0543664, 0.269883, 0.359299, 0.481818, 0.6574, 0.912507, 1.28337", \ + "0.0734333, 0.355119, 0.471988, 0.630885, 0.849519, 1.15864, 1.60532" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0209146, 0.207115, 0.331915, 0.538315, 0.883915, 1.45991, 2.41991"); + values ( \ + "0.0099802, 0.098534, 0.15954, 0.260526, 0.429697, 0.711392, 1.18101", \ + "0.0199766, 0.109964, 0.166545, 0.263312, 0.431348, 0.71141, 1.18102", \ + "0.0280731, 0.126082, 0.182043, 0.275063, 0.435933, 0.712979, 1.18134", \ + "0.0400977, 0.15456, 0.213087, 0.306194, 0.461302, 0.727444, 1.1935", \ + "0.0640166, 0.202677, 0.268027, 0.367244, 0.525255, 0.78293, 1.22124", \ + "0.11231, 0.287274, 0.361101, 0.472184, 0.643218, 0.91292, 1.34372", \ + "0.205773, 0.428724, 0.522286, 0.650369, 0.842867, 1.13638, 1.59397" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0300198, 0.21622, 0.34102, 0.54742, 0.89302, 1.46902, 2.42902"); + values ( \ + "0.0122027, 0.0651962, 0.0975263, 0.150881, 0.240097, 0.388747, 0.636195", \ + "0.0179484, 0.0936359, 0.12981, 0.184817, 0.274368, 0.422985, 0.670511", \ + "0.0209504, 0.113362, 0.15503, 0.215754, 0.308907, 0.458403, 0.705782", \ + "0.025114, 0.142206, 0.1929, 0.264238, 0.369341, 0.527545, 0.778431", \ + "0.0269697, 0.17997, 0.242728, 0.331463, 0.456936, 0.639151, 0.909869", \ + "0.0269707, 0.228049, 0.310027, 0.420116, 0.57746, 0.798294, 1.11655", \ + "0.0269717, 0.283586, 0.393346, 0.540062, 0.739258, 1.01775, 1.40817" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0300198, 0.21622, 0.34102, 0.54742, 0.89302, 1.46902, 2.42902"); + values ( \ + "0.00729033, 0.0727544, 0.118032, 0.192976, 0.318643, 0.527774, 0.875877", \ + "0.0169662, 0.0865888, 0.12803, 0.198345, 0.320345, 0.527869, 0.875878", \ + "0.0253529, 0.102432, 0.144888, 0.213424, 0.330204, 0.531707, 0.88057", \ + "0.0401982, 0.13087, 0.176174, 0.247426, 0.362194, 0.555254, 0.888454", \ + "0.0669804, 0.181231, 0.233139, 0.30917, 0.430937, 0.621844, 0.941763", \ + "0.115271, 0.268825, 0.327948, 0.41594, 0.547593, 0.754058, 1.07634", \ + "0.206723, 0.414935, 0.49464, 0.597697, 0.753028, 0.981486, 1.33328" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0209146, 0.207115, 0.331915, 0.538315, 0.883915, 1.45991, 2.41991"); + values ( \ + "0.0406482, 0.0406483, 0.0406493, 0.0406503, 0.0406513, 0.0406523, 0.0406533", \ + "0.0641924, 0.0641934, 0.0641944, 0.0641954, 0.0641964, 0.0641974, 0.0641984", \ + "0.07897, 0.078971, 0.078972, 0.078973, 0.078974, 0.078975, 0.078976", \ + "0.101586, 0.101587, 0.101588, 0.101589, 0.10159, 0.101591, 0.101592", \ + "0.129133, 0.129134, 0.129135, 0.129136, 0.129137, 0.129138, 0.129139", \ + "0.164136, 0.164137, 0.164138, 0.164139, 0.16414, 0.164141, 0.164142", \ + "0.214006, 0.214007, 0.214008, 0.214009, 0.21401, 0.214011, 0.214012" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0209146, 0.207115, 0.331915, 0.538315, 0.883915, 1.45991, 2.41991"); + values ( \ + "0.0406482, 0.0406483, 0.0406493, 0.0406503, 0.0406513, 0.0406523, 0.0406533", \ + "0.0641924, 0.0641934, 0.0641944, 0.0641954, 0.0641964, 0.0641974, 0.0641984", \ + "0.07897, 0.078971, 0.078972, 0.078973, 0.078974, 0.078975, 0.078976", \ + "0.101586, 0.101587, 0.101588, 0.101589, 0.10159, 0.101591, 0.101592", \ + "0.129133, 0.129134, 0.129135, 0.129136, 0.129137, 0.129138, 0.129139", \ + "0.164136, 0.164137, 0.164138, 0.164139, 0.16414, 0.164141, 0.164142", \ + "0.214006, 0.214007, 0.214008, 0.214009, 0.21401, 0.214011, 0.214012" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0209146, 0.207115, 0.331915, 0.538315, 0.883915, 1.45991, 2.41991"); + values ( \ + "0.0181235, 0.0813445, 0.122924, 0.191687, 0.306919, 0.498919, 0.819157", \ + "0.0197053, 0.0998412, 0.142975, 0.212235, 0.327518, 0.519504, 0.839385", \ + "0.0197063, 0.110832, 0.157746, 0.229986, 0.346504, 0.538733, 0.85853", \ + "0.0197073, 0.121377, 0.176683, 0.257096, 0.380289, 0.575943, 0.896806", \ + "0.0197083, 0.121378, 0.193964, 0.29184, 0.432933, 0.6437, 0.97368", \ + "0.0197093, 0.121379, 0.193965, 0.312386, 0.489768, 0.73783, 1.10102", \ + "0.0197103, 0.12138, 0.193966, 0.312387, 0.493424, 0.816316, 1.25391" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0209146, 0.207115, 0.331915, 0.538315, 0.883915, 1.45991, 2.41991"); + values ( \ + "0.00919145, 0.0985367, 0.159567, 0.260569, 0.429674, 0.711605, 1.18155", \ + "0.0185293, 0.1054, 0.163578, 0.262213, 0.429959, 0.711606, 1.18156", \ + "0.0274013, 0.117027, 0.173787, 0.269282, 0.433356, 0.712531, 1.18157", \ + "0.0430184, 0.141445, 0.197935, 0.290795, 0.449381, 0.720898, 1.18983", \ + "0.0708128, 0.188599, 0.247856, 0.340931, 0.495644, 0.757629, 1.20546", \ + "0.120797, 0.272826, 0.341518, 0.441188, 0.598047, 0.854682, 1.28867", \ + "0.2083, 0.417828, 0.502556, 0.623137, 0.796542, 1.06004, 1.48809" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0209146, 0.207115, 0.331915, 0.538315, 0.883915, 1.45991, 2.41991"); + values ( \ + "0.0405181, 0.0453428, 0.0430612, 0.0403259, 0.0371798, 0.0328852, 0.0305417", \ + "0.0608662, 0.0516879, 0.0507654, 0.0485874, 0.0443502, 0.0376957, 0.0348294", \ + "0.0840511, 0.0627186, 0.0593557, 0.0573957, 0.0499839, 0.0440683, 0.0408139", \ + "0.130217, 0.0928928, 0.0846893, 0.0765384, 0.0718508, 0.0599056, 0.0592182", \ + "0.226387, 0.167941, 0.150217, 0.133248, 0.11544, 0.101969, 0.0866389", \ + "0.424405, 0.337806, 0.307223, 0.274381, 0.242556, 0.207266, 0.170047", \ + "0.825491, 0.703986, 0.657148, 0.597077, 0.531004, 0.460028, 0.388462" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0300198, 0.21622, 0.34102, 0.54742, 0.89302, 1.46902, 2.42902"); + values ( \ + "0.0367141, 0.0476496, 0.0473115, 0.0468805, 0.0457548, 0.0431564, 0.0370365", \ + "0.0546582, 0.0478365, 0.0482299, 0.0471886, 0.0495976, 0.0429729, 0.0375066", \ + "0.0769189, 0.0561574, 0.0533138, 0.0516807, 0.0497257, 0.0475719, 0.0463297", \ + "0.124866, 0.0823009, 0.0744709, 0.066724, 0.0598515, 0.0579421, 0.0434285", \ + "0.223592, 0.153028, 0.135171, 0.116505, 0.100027, 0.0827013, 0.0700226", \ + "0.424054, 0.322959, 0.286422, 0.245139, 0.209731, 0.169662, 0.131893", \ + "0.819686, 0.687728, 0.63106, 0.56159, 0.48264, 0.404611, 0.324464" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0209146, 0.207115, 0.331915, 0.538315, 0.883915, 1.45991, 2.41991"); + values ( \ + "0.0705091, 0.0697611, 0.0690171, 0.0677831, 0.0659501, 0.0622621, 0.0617551", \ + "0.0695428, 0.0687608, 0.0693478, 0.0674728, 0.0647988, 0.0615048, 0.0617528", \ + "0.0691466, 0.0688176, 0.0680156, 0.0684146, 0.0650006, 0.0612906, 0.0609556", \ + "0.0689176, 0.0689566, 0.0684136, 0.0673236, 0.0685536, 0.0609266, 0.0661006", \ + "0.0684794, 0.0681484, 0.0683204, 0.0680044, 0.0660454, 0.0649134, 0.0621674", \ + "0.067482, 0.067253, 0.066886, 0.066592, 0.067327, 0.065112, 0.060536", \ + "0.066086, 0.065641, 0.065319, 0.064369, 0.064994, 0.064286, 0.065701" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0161751; + rise_capacitance : 0.0204491; + rise_capacitance_range (0.0204491, 0.0204491); + fall_capacitance : 0.0119012; + fall_capacitance_range (0.0119012, 0.0119012); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0173776; + rise_capacitance : 0.018795; + rise_capacitance_range (0.018795, 0.018795); + fall_capacitance : 0.0159601; + fall_capacitance_range (0.0159601, 0.0159601); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0449829, -0.0480342, -0.0512354, -0.0580314, -0.0727376, -0.0555996, 0.00781196" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0449829, 0.0480342, 0.0512354, 0.0580314, 0.0727376, 0.10368, 0.167416" \ + ); + } + } + } + } + cell (sg13g2_fill_1) { + area : 1.8144; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_2) { + area : 3.6288; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_4) { + area : 7.2576; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_8) { + area : 14.5152; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_inv_1) { + area : 5.4432; + cell_footprint : "IN"; + cell_leakage_power : 469.063; + leakage_power () { + value : 729.548; + when : "A&!Y"; + } + leakage_power () { + value : 208.578; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0111357, 0.0438541, 0.064324, 0.0980732, 0.154515, 0.248553, 0.405147", \ + "0.0192678, 0.0717447, 0.0970942, 0.13421, 0.191891, 0.286137, 0.442628", \ + "0.0233728, 0.0885909, 0.119134, 0.162299, 0.225634, 0.322621, 0.479536", \ + "0.0281036, 0.111416, 0.150127, 0.203169, 0.278432, 0.386957, 0.551182", \ + "0.0342444, 0.145164, 0.195321, 0.263003, 0.357463, 0.489432, 0.677867", \ + "0.043904, 0.191362, 0.257346, 0.34633, 0.466962, 0.634724, 0.868298", \ + "0.0518385, 0.244109, 0.331956, 0.449773, 0.609839, 0.826473, 1.12345" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00864907, 0.0496236, 0.0798914, 0.130459, 0.215257, 0.356572, 0.592091", \ + "0.0199662, 0.066971, 0.0943779, 0.140054, 0.219718, 0.357563, 0.592248", \ + "0.0283071, 0.0832038, 0.112735, 0.158552, 0.234551, 0.366249, 0.595126", \ + "0.0406829, 0.110404, 0.144099, 0.193955, 0.271179, 0.397615, 0.614996", \ + "0.0643384, 0.155892, 0.195886, 0.253616, 0.339253, 0.471489, 0.682323", \ + "0.112467, 0.230516, 0.282093, 0.351088, 0.452501, 0.603391, 0.828594", \ + "0.206235, 0.354969, 0.42311, 0.514844, 0.638232, 0.815414, 1.07559" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107617, 0.0399851, 0.0574975, 0.0862366, 0.134418, 0.214481, 0.348105", \ + "0.0176913, 0.0662378, 0.0892107, 0.122498, 0.172976, 0.253674, 0.387233", \ + "0.0215931, 0.0827177, 0.110841, 0.15006, 0.206977, 0.292353, 0.42738", \ + "0.0272198, 0.106938, 0.142338, 0.191495, 0.260162, 0.358384, 0.504082", \ + "0.0319164, 0.137701, 0.183664, 0.2461, 0.333732, 0.454638, 0.626412", \ + "0.0357973, 0.175378, 0.237578, 0.319886, 0.431386, 0.587712, 0.80122", \ + "0.0374171, 0.220566, 0.303603, 0.41424, 0.564089, 0.763884, 1.04134" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0073242, 0.040146, 0.0639905, 0.104155, 0.17187, 0.284568, 0.472592", \ + "0.0177839, 0.0575078, 0.0802867, 0.11651, 0.178905, 0.287157, 0.473347", \ + "0.0263693, 0.0729371, 0.0975179, 0.135444, 0.196165, 0.299388, 0.478619", \ + "0.0416108, 0.0992786, 0.127969, 0.169232, 0.233648, 0.335483, 0.50645", \ + "0.0689592, 0.144239, 0.178026, 0.227663, 0.29974, 0.409373, 0.580957", \ + "0.117219, 0.220629, 0.263805, 0.32178, 0.408723, 0.533701, 0.724063", \ + "0.210414, 0.349209, 0.408674, 0.486277, 0.588368, 0.739945, 0.961582" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00301032, 0.0035874, 0.00372868, 0.003536, 0.00338264, 0.00302232, 0.00233654", \ + "0.00621723, 0.00443659, 0.00410195, 0.00404442, 0.00353223, 0.00314771, 0.00246688", \ + "0.0100203, 0.00675239, 0.00597021, 0.00516463, 0.00474734, 0.00389828, 0.00275641", \ + "0.0177103, 0.0123843, 0.0108295, 0.00931527, 0.00763622, 0.00630641, 0.00456133", \ + "0.033338, 0.0252347, 0.0224713, 0.0193777, 0.0161388, 0.0128113, 0.00951617", \ + "0.0657049, 0.0541117, 0.0491201, 0.0436041, 0.037193, 0.0309919, 0.0246438", \ + "0.130107, 0.114847, 0.107969, 0.0991157, 0.0884586, 0.0759776, 0.0629404" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00290458, 0.00370206, 0.00374493, 0.00362144, 0.00350403, 0.0031358, 0.00255629", \ + "0.00575008, 0.00425449, 0.00412768, 0.00388285, 0.0039349, 0.00353939, 0.00274424", \ + "0.00937923, 0.00603922, 0.00548523, 0.00498761, 0.00431219, 0.00457142, 0.00359028", \ + "0.0171288, 0.0109694, 0.00965528, 0.00815368, 0.0069567, 0.00538758, 0.00461946", \ + "0.0332889, 0.0239678, 0.020722, 0.0174684, 0.0143833, 0.0115441, 0.00822258", \ + "0.0658702, 0.0522544, 0.0468107, 0.0405719, 0.0337524, 0.0274461, 0.0216747", \ + "0.131115, 0.113599, 0.105587, 0.0950344, 0.0826825, 0.0690795, 0.0567747" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00318714; + rise_capacitance : 0.00323527; + rise_capacitance_range (0.00323527, 0.00323527); + fall_capacitance : 0.00313902; + fall_capacitance_range (0.00313902, 0.00313902); + } + } + cell (sg13g2_inv_16) { + area : 34.4736; + cell_footprint : "IN"; + cell_leakage_power : 7505.02; + leakage_power () { + value : 11672.8; + when : "A&!Y"; + } + leakage_power () { + value : 3337.24; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 4.8; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00869296, 0.0442811, 0.0650006, 0.0991984, 0.156363, 0.251635, 0.410486", \ + "0.0145923, 0.0721625, 0.0977763, 0.135349, 0.193796, 0.289123, 0.447701", \ + "0.0174328, 0.0889432, 0.119801, 0.163345, 0.227435, 0.325665, 0.484569", \ + "0.0205951, 0.111813, 0.15082, 0.204309, 0.280142, 0.389934, 0.556035", \ + "0.0245723, 0.145392, 0.195795, 0.264029, 0.359252, 0.492443, 0.682842", \ + "0.0306138, 0.191689, 0.257828, 0.347697, 0.469071, 0.638599, 0.873937", \ + "0.0358235, 0.244243, 0.332644, 0.451271, 0.612334, 0.830574, 1.12968" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00650025, 0.0502376, 0.0810813, 0.132659, 0.219076, 0.363167, 0.603171", \ + "0.0162637, 0.067433, 0.0954212, 0.14205, 0.22346, 0.364108, 0.603256", \ + "0.0236942, 0.0836073, 0.113627, 0.160174, 0.238044, 0.372566, 0.606732", \ + "0.0348895, 0.110683, 0.144852, 0.195325, 0.274121, 0.403487, 0.625636", \ + "0.0560203, 0.156012, 0.19639, 0.254964, 0.342178, 0.476651, 0.691981", \ + "0.102209, 0.23092, 0.282911, 0.352496, 0.455174, 0.608959, 0.837723", \ + "0.197614, 0.355055, 0.424282, 0.516527, 0.64069, 0.818749, 1.08647" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00853366, 0.0404549, 0.0582281, 0.0874075, 0.136391, 0.217657, 0.353194", \ + "0.0135168, 0.0667478, 0.0899864, 0.123681, 0.174853, 0.256792, 0.392315", \ + "0.0159411, 0.0832666, 0.111657, 0.151339, 0.208889, 0.295367, 0.43239", \ + "0.0197219, 0.107538, 0.14327, 0.192775, 0.262143, 0.361599, 0.509116", \ + "0.0222129, 0.138381, 0.184781, 0.247889, 0.336213, 0.458066, 0.631675", \ + "0.0236795, 0.176381, 0.238552, 0.321911, 0.434721, 0.591983, 0.80727", \ + "0.0236805, 0.221613, 0.305556, 0.417107, 0.567815, 0.768864, 1.0492" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00547562, 0.0407873, 0.065191, 0.106414, 0.175691, 0.290962, 0.483354", \ + "0.0144279, 0.0581003, 0.081308, 0.118455, 0.182429, 0.293467, 0.484293", \ + "0.021787, 0.0734911, 0.098429, 0.1372, 0.199445, 0.305355, 0.489088", \ + "0.0355664, 0.0998253, 0.128394, 0.17089, 0.236979, 0.340971, 0.51649", \ + "0.0612752, 0.1446, 0.178944, 0.22802, 0.302453, 0.415626, 0.589872", \ + "0.108473, 0.221474, 0.264709, 0.323355, 0.411147, 0.538585, 0.732542", \ + "0.200222, 0.349528, 0.409721, 0.487668, 0.591255, 0.74589, 0.969235" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0468783, 0.0555779, 0.0569167, 0.0550437, 0.052531, 0.0467382, 0.0381121", \ + "0.10395, 0.0706747, 0.0656623, 0.0645513, 0.0583267, 0.050348, 0.0373425", \ + "0.16734, 0.106373, 0.0942029, 0.0811079, 0.0747404, 0.0599206, 0.0472096", \ + "0.291501, 0.19656, 0.171856, 0.147728, 0.122108, 0.103807, 0.0741432", \ + "0.544217, 0.401705, 0.357743, 0.30893, 0.259101, 0.206438, 0.156448", \ + "1.06158, 0.863068, 0.783021, 0.695691, 0.596778, 0.503544, 0.397079", \ + "2.09301, 1.83184, 1.72163, 1.58044, 1.41156, 1.21493, 1.02189" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0385004, 0.0524099, 0.0524292, 0.0518727, 0.0498686, 0.0435988, 0.0327468", \ + "0.0908202, 0.0625771, 0.0590128, 0.0566024, 0.0526089, 0.0570418, 0.0393822", \ + "0.152201, 0.0910637, 0.0816838, 0.073506, 0.0635607, 0.0692985, 0.0414022", \ + "0.27901, 0.169569, 0.147191, 0.125348, 0.107088, 0.0793535, 0.0735906", \ + "0.539519, 0.376544, 0.32555, 0.269915, 0.225586, 0.183466, 0.129513", \ + "1.06296, 0.827763, 0.740342, 0.642439, 0.536612, 0.436277, 0.345425", \ + "2.10499, 1.80806, 1.67536, 1.51092, 1.31464, 1.09953, 0.904651" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0509404; + rise_capacitance : 0.051718; + rise_capacitance_range (0.051718, 0.051718); + fall_capacitance : 0.0501628; + fall_capacitance_range (0.0501628, 0.0501628); + } + } + cell (sg13g2_inv_2) { + area : 7.2576; + cell_footprint : "IN"; + cell_leakage_power : 938.114; + leakage_power () { + value : 1459.07; + when : "A&!Y"; + } + leakage_power () { + value : 417.158; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00949649, 0.0436804, 0.0641763, 0.0979623, 0.154484, 0.24862, 0.405403", \ + "0.0161592, 0.0714659, 0.0969308, 0.134114, 0.191917, 0.28615, 0.442876", \ + "0.019409, 0.0881761, 0.118888, 0.162094, 0.225532, 0.322736, 0.479742", \ + "0.0231189, 0.110801, 0.149737, 0.202894, 0.278315, 0.386895, 0.551195", \ + "0.0278429, 0.144369, 0.194909, 0.262409, 0.357197, 0.489312, 0.677956", \ + "0.0350644, 0.190454, 0.256258, 0.345727, 0.466548, 0.634434, 0.868263", \ + "0.0413036, 0.242977, 0.330609, 0.449172, 0.60923, 0.826126, 1.12331" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00718394, 0.0492693, 0.0796172, 0.130299, 0.215283, 0.356924, 0.593013", \ + "0.0174922, 0.0666204, 0.094135, 0.139926, 0.21974, 0.357999, 0.593174", \ + "0.0253203, 0.082902, 0.112404, 0.158152, 0.234559, 0.366624, 0.596058", \ + "0.0368536, 0.109567, 0.143897, 0.193544, 0.271154, 0.397936, 0.615989", \ + "0.0588666, 0.155252, 0.195312, 0.253191, 0.339758, 0.471736, 0.683203", \ + "0.105301, 0.229704, 0.281234, 0.350656, 0.45235, 0.603576, 0.829255", \ + "0.200132, 0.354348, 0.422768, 0.51418, 0.638038, 0.815127, 1.07606" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00925888, 0.0398592, 0.057392, 0.0861923, 0.134493, 0.214606, 0.348402", \ + "0.0148841, 0.0659938, 0.0890707, 0.122407, 0.172939, 0.253854, 0.387591", \ + "0.0177786, 0.0824025, 0.110639, 0.149892, 0.206931, 0.292415, 0.427587", \ + "0.0221769, 0.106481, 0.141831, 0.191281, 0.260034, 0.358419, 0.504308", \ + "0.0253083, 0.13696, 0.183215, 0.245616, 0.333547, 0.454631, 0.626602", \ + "0.0274638, 0.174617, 0.236958, 0.319419, 0.431233, 0.586671, 0.801762", \ + "0.0274648, 0.219271, 0.302456, 0.414177, 0.563499, 0.763633, 1.04106" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0060611, 0.0399115, 0.0638262, 0.104095, 0.172119, 0.285157, 0.473787", \ + "0.0155158, 0.0573116, 0.0801181, 0.116512, 0.179107, 0.287704, 0.474524", \ + "0.0233376, 0.072756, 0.0973056, 0.135252, 0.196317, 0.299875, 0.47975", \ + "0.0376001, 0.0989101, 0.127869, 0.16917, 0.233888, 0.336014, 0.507695", \ + "0.0637536, 0.143702, 0.177699, 0.2277, 0.299784, 0.409538, 0.581953", \ + "0.111222, 0.220416, 0.263241, 0.321414, 0.409609, 0.534768, 0.725235", \ + "0.203072, 0.348601, 0.408616, 0.48505, 0.587884, 0.741699, 0.96154" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00554707, 0.00666936, 0.00700756, 0.006598, 0.00629155, 0.00558797, 0.00419571", \ + "0.0124426, 0.00846265, 0.00779409, 0.0074617, 0.00661114, 0.00585348, 0.00458746", \ + "0.0202839, 0.0131642, 0.0113767, 0.0096926, 0.00899262, 0.00727735, 0.0051377", \ + "0.0358181, 0.0241956, 0.0211992, 0.0180655, 0.0147673, 0.0122228, 0.00792985", \ + "0.0673455, 0.0500581, 0.0445702, 0.0382207, 0.0321157, 0.0255091, 0.0183113", \ + "0.132152, 0.107902, 0.0978075, 0.0867688, 0.0740272, 0.0615194, 0.0485277", \ + "0.261259, 0.229478, 0.21564, 0.197929, 0.176495, 0.151584, 0.125395" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0047128, 0.00647478, 0.0065692, 0.0062079, 0.00609825, 0.00534458, 0.00418458", \ + "0.0110539, 0.00760472, 0.00724583, 0.00675414, 0.00676101, 0.00618127, 0.00442698", \ + "0.0185231, 0.0111868, 0.0100299, 0.00882749, 0.00759163, 0.00731323, 0.00506149", \ + "0.0343643, 0.021014, 0.0182362, 0.0153889, 0.0129849, 0.00999828, 0.00858837", \ + "0.06686, 0.0469993, 0.0405155, 0.0338458, 0.0277959, 0.0220065, 0.0162972", \ + "0.132206, 0.103728, 0.092832, 0.0799231, 0.0671072, 0.0533307, 0.0428173", \ + "0.263001, 0.226974, 0.210064, 0.189284, 0.16435, 0.136952, 0.112158" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00624796; + rise_capacitance : 0.00634523; + rise_capacitance_range (0.00634523, 0.00634523); + fall_capacitance : 0.00615068; + fall_capacitance_range (0.00615068, 0.00615068); + } + } + cell (sg13g2_inv_4) { + area : 10.8864; + cell_footprint : "IN"; + cell_leakage_power : 1876.25; + leakage_power () { + value : 2918.19; + when : "A&!Y"; + } + leakage_power () { + value : 834.317; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 1.2; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00875577, 0.0437945, 0.0644221, 0.098412, 0.155267, 0.250035, 0.407824", \ + "0.014761, 0.0715808, 0.0971404, 0.134566, 0.192718, 0.287495, 0.44525", \ + "0.0176648, 0.0883123, 0.119129, 0.162521, 0.226295, 0.324002, 0.482065", \ + "0.0208836, 0.111023, 0.149997, 0.203402, 0.279067, 0.388275, 0.553692", \ + "0.0249097, 0.14448, 0.195066, 0.262962, 0.358115, 0.490796, 0.680288", \ + "0.0309663, 0.190263, 0.25679, 0.346305, 0.467499, 0.636287, 0.870861", \ + "0.0358964, 0.242494, 0.330238, 0.449123, 0.610094, 0.82832, 1.12573" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00664823, 0.0495597, 0.0800906, 0.13112, 0.216732, 0.359255, 0.596886", \ + "0.0165093, 0.0668629, 0.0945524, 0.140665, 0.221126, 0.360261, 0.596887", \ + "0.0240238, 0.0831837, 0.11288, 0.158884, 0.235861, 0.368824, 0.599683", \ + "0.0352889, 0.11024, 0.144231, 0.194461, 0.272212, 0.400056, 0.619632", \ + "0.0565334, 0.155642, 0.195838, 0.254005, 0.340618, 0.473793, 0.686518", \ + "0.102791, 0.229972, 0.28229, 0.351784, 0.453907, 0.605652, 0.83213", \ + "0.198009, 0.355022, 0.42304, 0.515477, 0.638992, 0.817936, 1.08339" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00859022, 0.0401844, 0.0579623, 0.0871717, 0.136024, 0.217498, 0.35311", \ + "0.0137157, 0.066451, 0.0897592, 0.123469, 0.174702, 0.256685, 0.392272", \ + "0.0162082, 0.0829069, 0.111382, 0.151097, 0.208684, 0.295276, 0.432257", \ + "0.0201757, 0.107135, 0.142957, 0.192578, 0.262064, 0.361476, 0.509111", \ + "0.0229187, 0.137958, 0.184345, 0.247485, 0.335862, 0.457948, 0.631902", \ + "0.0247655, 0.175981, 0.238475, 0.32169, 0.434571, 0.591817, 0.808095", \ + "0.0247665, 0.221394, 0.305172, 0.416861, 0.567801, 0.769014, 1.04967" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00560459, 0.0404565, 0.0647178, 0.105614, 0.174521, 0.289369, 0.480824", \ + "0.0146577, 0.0578801, 0.0808811, 0.117863, 0.181424, 0.291891, 0.481315", \ + "0.0221097, 0.0732989, 0.0981304, 0.13658, 0.198562, 0.303892, 0.486598", \ + "0.0360011, 0.0996768, 0.128669, 0.170507, 0.23603, 0.339863, 0.514077", \ + "0.0618303, 0.144523, 0.178176, 0.228847, 0.301846, 0.413792, 0.587907", \ + "0.109169, 0.221236, 0.26429, 0.323303, 0.411072, 0.537566, 0.731573", \ + "0.20076, 0.349146, 0.410124, 0.487315, 0.591485, 0.745976, 0.968748" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.011186, 0.0135623, 0.0145367, 0.0134015, 0.0127714, 0.0113444, 0.00869899", \ + "0.0252691, 0.0169261, 0.0156564, 0.0153377, 0.0135128, 0.0117468, 0.00845332", \ + "0.0409819, 0.0261749, 0.023083, 0.0196541, 0.0184916, 0.0142809, 0.0102812", \ + "0.0721197, 0.0486168, 0.0423129, 0.0362118, 0.0291419, 0.0242737, 0.0163497", \ + "0.134988, 0.0997278, 0.088648, 0.0761006, 0.0636064, 0.0502026, 0.0368259", \ + "0.264158, 0.214791, 0.194919, 0.172808, 0.147163, 0.122815, 0.0963816", \ + "0.521697, 0.457027, 0.428994, 0.393765, 0.351311, 0.302032, 0.250707" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00924524, 0.0127284, 0.0127766, 0.012404, 0.0118225, 0.010415, 0.00802408", \ + "0.0221396, 0.0150856, 0.0139883, 0.0134466, 0.0135738, 0.0131375, 0.00847901", \ + "0.0372767, 0.0222113, 0.0198876, 0.0175744, 0.0150768, 0.0164829, 0.0112087", \ + "0.0689134, 0.0418283, 0.0363965, 0.0303614, 0.0253793, 0.0190944, 0.0166167", \ + "0.134016, 0.0935348, 0.0803511, 0.067253, 0.0548527, 0.0431351, 0.0308412", \ + "0.264683, 0.206299, 0.184327, 0.159234, 0.132508, 0.106537, 0.0846912", \ + "0.524805, 0.451646, 0.418144, 0.376144, 0.327094, 0.272737, 0.223813" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0124661; + rise_capacitance : 0.0126565; + rise_capacitance_range (0.0126565, 0.0126565); + fall_capacitance : 0.0122758; + fall_capacitance_range (0.0122758, 0.0122758); + } + } + cell (sg13g2_inv_8) { + area : 18.144; + cell_footprint : "IN"; + cell_leakage_power : 3752.55; + leakage_power () { + value : 5836.48; + when : "A&!Y"; + } + leakage_power () { + value : 1668.61; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 2.4; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00859193, 0.0439975, 0.064638, 0.0986724, 0.155663, 0.250506, 0.408703", \ + "0.0143885, 0.0717982, 0.0973556, 0.134803, 0.193007, 0.287915, 0.44591", \ + "0.017191, 0.0885523, 0.119386, 0.16279, 0.226678, 0.324513, 0.482855", \ + "0.0202806, 0.111236, 0.15018, 0.203693, 0.279455, 0.388833, 0.554316", \ + "0.0241508, 0.144808, 0.195487, 0.263166, 0.358471, 0.491481, 0.680911", \ + "0.0298931, 0.190666, 0.256932, 0.34673, 0.467977, 0.636975, 0.871627", \ + "0.034685, 0.243006, 0.33097, 0.449475, 0.610567, 0.828269, 1.12724" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00645549, 0.0496833, 0.0802599, 0.131369, 0.217058, 0.359853, 0.597962", \ + "0.0161688, 0.0669585, 0.0947089, 0.14086, 0.221427, 0.360807, 0.598023", \ + "0.0235876, 0.0831989, 0.113012, 0.159102, 0.236188, 0.369378, 0.601084", \ + "0.0347459, 0.110248, 0.14425, 0.194567, 0.272568, 0.400542, 0.620475", \ + "0.0558297, 0.155794, 0.196109, 0.254088, 0.341275, 0.474365, 0.687348", \ + "0.102007, 0.230175, 0.282229, 0.352026, 0.454277, 0.605427, 0.834345", \ + "0.197189, 0.355294, 0.423807, 0.515419, 0.638894, 0.817852, 1.08039" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00845479, 0.0403593, 0.0581448, 0.0873757, 0.1363, 0.217817, 0.353533", \ + "0.0133984, 0.0666168, 0.0899436, 0.123673, 0.174896, 0.256938, 0.392639", \ + "0.015802, 0.0831452, 0.111581, 0.151341, 0.208995, 0.295563, 0.43274", \ + "0.0195631, 0.107377, 0.143183, 0.192848, 0.262343, 0.361834, 0.50956", \ + "0.0220648, 0.138268, 0.184701, 0.247852, 0.336329, 0.458603, 0.632348", \ + "0.0237023, 0.176072, 0.23886, 0.322018, 0.434778, 0.592395, 0.80847", \ + "0.0237033, 0.221764, 0.305754, 0.417189, 0.568906, 0.769496, 1.05024" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00544428, 0.0405329, 0.0648379, 0.105966, 0.174684, 0.289688, 0.481333", \ + "0.0143539, 0.0579176, 0.0809945, 0.117983, 0.181644, 0.292194, 0.481946", \ + "0.0216833, 0.0733436, 0.0982331, 0.136748, 0.198797, 0.304062, 0.487245", \ + "0.0354229, 0.0997525, 0.128777, 0.170613, 0.236365, 0.340039, 0.514651", \ + "0.0610869, 0.144614, 0.17894, 0.229149, 0.302084, 0.413967, 0.588604", \ + "0.108311, 0.221626, 0.264384, 0.323498, 0.411425, 0.538582, 0.732132", \ + "0.200009, 0.349505, 0.409931, 0.488406, 0.592123, 0.746346, 0.969223" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0225572, 0.0269744, 0.0273283, 0.0268593, 0.0257164, 0.0228611, 0.0181724", \ + "0.0510041, 0.0341663, 0.0314023, 0.0310408, 0.0274426, 0.025153, 0.018245", \ + "0.0827022, 0.0519899, 0.0457201, 0.0394044, 0.0369759, 0.0279048, 0.0216774", \ + "0.144604, 0.0967908, 0.0841026, 0.0722021, 0.0582772, 0.0479057, 0.0330769", \ + "0.270875, 0.199579, 0.177546, 0.152095, 0.127689, 0.099862, 0.0737523", \ + "0.530171, 0.429613, 0.389473, 0.345756, 0.294571, 0.244318, 0.19518", \ + "1.04425, 0.913976, 0.858296, 0.786975, 0.702043, 0.602593, 0.498519" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0185169, 0.0254818, 0.0261095, 0.0254975, 0.0234791, 0.0209106, 0.0161519", \ + "0.0449704, 0.0301916, 0.0281832, 0.0270468, 0.0260496, 0.0264978, 0.0170628", \ + "0.0752321, 0.0444546, 0.0397444, 0.0356415, 0.0301956, 0.0328376, 0.0206257", \ + "0.138662, 0.0837092, 0.0728636, 0.0607138, 0.05174, 0.0381533, 0.0333044", \ + "0.269016, 0.186976, 0.161131, 0.135191, 0.109956, 0.0872054, 0.063262", \ + "0.530501, 0.412219, 0.368935, 0.318277, 0.264827, 0.214521, 0.168691", \ + "1.05175, 0.901742, 0.836182, 0.753237, 0.65526, 0.545179, 0.447941" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0249113; + rise_capacitance : 0.0252926; + rise_capacitance_range (0.0252926, 0.0252926); + fall_capacitance : 0.02453; + fall_capacitance_range (0.02453, 0.02453); + } + } + cell (sg13g2_lgcp_1) { + area : 27.216; + cell_footprint : "gclk"; + clock_gating_integrated_cell : "latch_posedge"; + dont_use : true; + cell_leakage_power : 3485.75; + leakage_power () { + value : 3414.59; + when : "!CLK&GATE&!GCLK"; + } + leakage_power () { + value : 3690.93; + when : "CLK&GATE&GCLK"; + } + leakage_power () { + value : 3351.73; + when : "!GATE&!GCLK"; + } + statetable ("CLK GATE", "int_GATE") { + table : "L L : - : L ,\ + L H : - : H ,\ + H - : - : N "; + } + pin (int_GATE) { + direction : "internal"; + internal_node : "int_GATE"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : "output"; + state_function : "CLK * int_GATE"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0367308, 0.0703289, 0.090977, 0.124818, 0.181414, 0.275398, 0.432474", \ + "0.048574, 0.0831364, 0.103901, 0.137852, 0.194378, 0.28845, 0.445327", \ + "0.0568288, 0.0925522, 0.113404, 0.147341, 0.203924, 0.298056, 0.454755", \ + "0.0693427, 0.10795, 0.1292, 0.16344, 0.2201, 0.314288, 0.470976", \ + "0.0840908, 0.127488, 0.149912, 0.185228, 0.243061, 0.338104, 0.494959", \ + "0.100903, 0.151323, 0.176056, 0.213628, 0.274613, 0.37284, 0.532922", \ + "0.116452, 0.176134, 0.204822, 0.246563, 0.311516, 0.416582, 0.584856" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00981697, 0.0515746, 0.0819586, 0.13217, 0.217069, 0.358263, 0.593914", \ + "0.0116611, 0.0524116, 0.0822885, 0.132514, 0.217074, 0.358325, 0.594239", \ + "0.0136333, 0.053449, 0.0829022, 0.132826, 0.21733, 0.358443, 0.59424", \ + "0.0171756, 0.0563041, 0.0850028, 0.134173, 0.218129, 0.358911, 0.594241", \ + "0.0229089, 0.0623961, 0.0904705, 0.13888, 0.221811, 0.361435, 0.595476", \ + "0.0317359, 0.0733274, 0.100829, 0.148969, 0.232142, 0.371249, 0.602965", \ + "0.046474, 0.091916, 0.119735, 0.167144, 0.250648, 0.393121, 0.62636" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0317905, 0.0606562, 0.0783009, 0.107184, 0.155429, 0.23564, 0.369304", \ + "0.0507688, 0.0809169, 0.0986787, 0.127659, 0.175936, 0.25624, 0.389942", \ + "0.0615806, 0.0928111, 0.110588, 0.139535, 0.187859, 0.268229, 0.401896", \ + "0.0755193, 0.10899, 0.127013, 0.156141, 0.204413, 0.284749, 0.418527", \ + "0.0984071, 0.136349, 0.155201, 0.184825, 0.233694, 0.314271, 0.448095", \ + "0.133707, 0.177873, 0.198491, 0.229716, 0.280363, 0.363004, 0.498283", \ + "0.178832, 0.231714, 0.255845, 0.290131, 0.34395, 0.430834, 0.572013" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00813189, 0.0413263, 0.0653969, 0.105714, 0.173338, 0.286169, 0.474353", \ + "0.0110116, 0.042437, 0.0661293, 0.106096, 0.173586, 0.286358, 0.475309", \ + "0.013003, 0.0435363, 0.0667825, 0.106477, 0.173836, 0.286489, 0.47531", \ + "0.0166926, 0.0460549, 0.0685713, 0.107599, 0.174609, 0.286932, 0.475311", \ + "0.0228004, 0.0516778, 0.073083, 0.111112, 0.17713, 0.288677, 0.475893", \ + "0.03228, 0.062131, 0.082565, 0.119357, 0.184804, 0.295663, 0.480719", \ + "0.047397, 0.079876, 0.099838, 0.135478, 0.200151, 0.312097, 0.497922" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0238083, 0.0244781, 0.0244674, 0.02437, 0.0241983, 0.023713, 0.0231043", \ + "0.0247302, 0.0247667, 0.0247567, 0.0248367, 0.0245307, 0.0240775, 0.0236141", \ + "0.0268907, 0.0264202, 0.026513, 0.0263633, 0.0264063, 0.0258514, 0.0268128", \ + "0.0326921, 0.0313429, 0.0313297, 0.031366, 0.0313308, 0.0309468, 0.0309333", \ + "0.0457323, 0.0430506, 0.0427868, 0.0425829, 0.0425164, 0.0424831, 0.0418084", \ + "0.0716488, 0.0672248, 0.0664608, 0.0659258, 0.0655998, 0.0654538, 0.0653788", \ + "0.124458, 0.117422, 0.116352, 0.115147, 0.113419, 0.113164, 0.11249" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015922, 0.0166737, 0.0167043, 0.016669, 0.0164702, 0.0161645, 0.0154947", \ + "0.0180797, 0.0181028, 0.0181158, 0.0181006, 0.0181644, 0.0176884, 0.0174494", \ + "0.0211325, 0.0206081, 0.0207058, 0.0206453, 0.0205164, 0.0204226, 0.0195216", \ + "0.0274053, 0.0258655, 0.0258823, 0.0259105, 0.0258763, 0.0251389, 0.0259845", \ + "0.0401282, 0.0373087, 0.0369857, 0.0369195, 0.0368198, 0.0366925, 0.0357811", \ + "0.0662223, 0.0614023, 0.0607623, 0.0602253, 0.0596753, 0.0594123, 0.0592203", \ + "0.11899, 0.111114, 0.109651, 0.108349, 0.107359, 0.106051, 0.105907" \ + ); + } + } + } + pin (CLK) { + clock_gate_clock_pin : true; + direction : "input"; + clock : true; + max_transition : 2.5074; + capacitance : 0.00560898; + rise_capacitance : 0.00576128; + rise_capacitance_range (0.00576128, 0.00576128); + fall_capacitance : 0.00545669; + fall_capacitance_range (0.00545669, 0.00545669); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.108643, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0489807, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00699398, 0.00876194, 0.0117596, 0.0183462, 0.032457, 0.0615962, 0.120345" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0112852, 0.0136037, 0.0168405, 0.0234308, 0.0378138, 0.0678167, 0.127233" \ + ); + } + } + } + pin (GATE) { + clock_gate_enable_pin : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00263352; + rise_capacitance : 0.00297444; + rise_capacitance_range (0.00297444, 0.00297444); + fall_capacitance : 0.0022926; + fall_capacitance_range (0.0022926, 0.0022926); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0173995, -0.0203421, -0.045293, -0.0832083", \ + "-0.0578323, -0.0567774, -0.0790166, -0.123641", \ + "-0.0910112, -0.0952397, -0.124125, -0.176681", \ + "-0.114559, -0.125497, -0.162313, -0.220343" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0049943, 0.0303531, 0.0318604, 0.0167316", \ + "-0.0441955, -0.00485059, 0.0113608, 0.00151537", \ + "-0.0730088, -0.0269548, -0.00539673, -0.00718383", \ + "-0.0918922, -0.0365573, -0.00694092, 0.0057862" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0388734, 0.0443594, 0.0658672, 0.108946", \ + "0.0881929, 0.0856839, 0.109903, 0.15027", \ + "0.134731, 0.130937, 0.156505, 0.20493", \ + "0.17357, 0.171061, 0.204688, 0.259632" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0207379, -0.017711, -0.024145, -0.00502551", \ + "0.0671785, 0.0247321, 0.0130147, 0.0214276", \ + "0.10387, 0.0561403, 0.0377771, 0.0382583", \ + "0.136871, 0.0824326, 0.0521401, 0.0431506" \ + ); + } + } + internal_power () { + when : "!CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0365576, 0.0378787, 0.0398323, 0.0453662, 0.0577856, 0.0834062, 0.134966" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.03968, 0.0544968, 0.0570346, 0.0625293, 0.0747055, 0.100204, 0.151393" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0365576, 0.0378787, 0.0398323, 0.0453662, 0.0577856, 0.0834062, 0.134966" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.03968, 0.0544968, 0.0570346, 0.0625293, 0.0747055, 0.100204, 0.151393" \ + ); + } + } + } + } + cell (sg13g2_mux2_1) { + area : 18.144; + cell_footprint : "mux2"; + cell_leakage_power : 2302.08; + leakage_power () { + value : 2065.72; + when : "!A0&!A1&!S"; + } + leakage_power () { + value : 1952.64; + when : "A0&!A1&!S"; + } + leakage_power () { + value : 1907.1; + when : "!A0&A1&!S"; + } + leakage_power () { + value : 2583.58; + when : "A0&A1&!S"; + } + leakage_power () { + value : 2415.35; + when : "!A0&!A1&S"; + } + leakage_power () { + value : 2256.73; + when : "A0&!A1&S"; + } + leakage_power () { + value : 2302.28; + when : "!A0&A1&S"; + } + leakage_power () { + value : 2933.22; + when : "A0&A1&S"; + } + pin (X) { + direction : "output"; + function : "(!S*A0)+(S*A1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0378272, 0.07302, 0.0937739, 0.1276, 0.184113, 0.278181, 0.434849", \ + "0.0551036, 0.0914959, 0.112454, 0.146464, 0.202997, 0.297051, 0.453776", \ + "0.0661924, 0.103891, 0.124875, 0.158727, 0.215382, 0.309574, 0.466245", \ + "0.0819342, 0.122239, 0.143598, 0.177579, 0.234193, 0.328505, 0.485217", \ + "0.0991591, 0.144909, 0.167412, 0.202059, 0.259238, 0.353992, 0.510855", \ + "0.115811, 0.169109, 0.193828, 0.230712, 0.290618, 0.386965, 0.546202", \ + "0.124617, 0.188087, 0.217761, 0.259153, 0.321304, 0.423599, 0.587727" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121267, 0.0528203, 0.0826448, 0.132725, 0.2174, 0.358754, 0.59428", \ + "0.015069, 0.0541333, 0.0835384, 0.133313, 0.21764, 0.359856, 0.594354", \ + "0.017557, 0.0554959, 0.0843197, 0.133724, 0.218037, 0.359857, 0.594424", \ + "0.0217875, 0.0586655, 0.0863654, 0.134919, 0.218701, 0.359858, 0.594803", \ + "0.027746, 0.0659238, 0.0924941, 0.139285, 0.221686, 0.361538, 0.596019", \ + "0.0369527, 0.0787329, 0.103637, 0.149207, 0.23056, 0.36939, 0.602198", \ + "0.052243, 0.099103, 0.125327, 0.169128, 0.247858, 0.387242, 0.621084" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0450462, 0.0800861, 0.098706, 0.128038, 0.176211, 0.256679, 0.39114", \ + "0.0660956, 0.102853, 0.122023, 0.15172, 0.200145, 0.280499, 0.414863", \ + "0.0794422, 0.117582, 0.136967, 0.167031, 0.215791, 0.296415, 0.430635", \ + "0.0989313, 0.139906, 0.160357, 0.19022, 0.239248, 0.320007, 0.454347", \ + "0.132702, 0.177513, 0.199363, 0.23046, 0.279999, 0.361539, 0.496148", \ + "0.185628, 0.237271, 0.261123, 0.295093, 0.347222, 0.430856, 0.567511", \ + "0.259476, 0.320423, 0.348288, 0.387351, 0.443995, 0.532134, 0.674921" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0131051, 0.0472413, 0.0704064, 0.109643, 0.176609, 0.28977, 0.479302", \ + "0.0160591, 0.0490307, 0.0717712, 0.110561, 0.17712, 0.289981, 0.47956", \ + "0.0186124, 0.0507384, 0.0729978, 0.111459, 0.177908, 0.290638, 0.479582", \ + "0.0229378, 0.0543711, 0.0757247, 0.113091, 0.178835, 0.291273, 0.480193", \ + "0.0295473, 0.0612973, 0.0823106, 0.117926, 0.181651, 0.292748, 0.481209", \ + "0.039268, 0.073482, 0.093919, 0.128732, 0.19152, 0.300349, 0.486035", \ + "0.054627, 0.093862, 0.114662, 0.149882, 0.211062, 0.319967, 0.50541" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.037058, 0.0724508, 0.0929407, 0.126363, 0.182485, 0.27613, 0.432585", \ + "0.0554388, 0.0923069, 0.113205, 0.147049, 0.203246, 0.296851, 0.453164", \ + "0.0667972, 0.104946, 0.125919, 0.159882, 0.216246, 0.310086, 0.466231", \ + "0.082735, 0.123613, 0.144911, 0.179022, 0.235704, 0.329618, 0.486013", \ + "0.100657, 0.146613, 0.169264, 0.203836, 0.261293, 0.355857, 0.512676", \ + "0.117991, 0.171338, 0.196489, 0.233478, 0.292645, 0.389133, 0.548316", \ + "0.127907, 0.191075, 0.220813, 0.262023, 0.325259, 0.425617, 0.590625" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124337, 0.0530182, 0.0827465, 0.132759, 0.21742, 0.358704, 0.594307", \ + "0.0155946, 0.0543965, 0.0836286, 0.133357, 0.21763, 0.360016, 0.594794", \ + "0.0181968, 0.0557561, 0.0843643, 0.133731, 0.218018, 0.360017, 0.594795", \ + "0.0226378, 0.0589353, 0.086528, 0.134965, 0.21866, 0.360018, 0.594798", \ + "0.0285621, 0.0659844, 0.0924619, 0.139201, 0.221526, 0.361391, 0.595824", \ + "0.0371086, 0.0785722, 0.103998, 0.149333, 0.229988, 0.368985, 0.601599", \ + "0.051689, 0.099234, 0.124474, 0.168436, 0.24765, 0.386055, 0.620193" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0462464, 0.0823645, 0.101227, 0.130978, 0.179758, 0.260649, 0.395361", \ + "0.0671953, 0.104411, 0.123763, 0.153654, 0.202539, 0.283486, 0.418313", \ + "0.0810613, 0.119439, 0.139002, 0.168979, 0.218058, 0.299108, 0.433819", \ + "0.101281, 0.142081, 0.161968, 0.192488, 0.241561, 0.322728, 0.457577", \ + "0.136079, 0.181096, 0.202713, 0.233906, 0.283474, 0.364833, 0.499746", \ + "0.190508, 0.241959, 0.265838, 0.300502, 0.352023, 0.436075, 0.572285", \ + "0.266572, 0.327254, 0.35528, 0.39432, 0.451129, 0.540174, 0.683957" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0138788, 0.0474772, 0.0704703, 0.109509, 0.176575, 0.289771, 0.47932", \ + "0.0170756, 0.049283, 0.0718669, 0.110477, 0.1771, 0.290218, 0.479351", \ + "0.0196774, 0.0509507, 0.0732764, 0.111516, 0.177902, 0.290488, 0.479724", \ + "0.0242115, 0.0544223, 0.0756928, 0.113082, 0.178813, 0.291221, 0.480234", \ + "0.0307225, 0.0615106, 0.0825549, 0.118083, 0.181941, 0.292768, 0.481159", \ + "0.039639, 0.074115, 0.094371, 0.129934, 0.191939, 0.300841, 0.486315", \ + "0.054004, 0.093955, 0.115047, 0.150911, 0.21206, 0.321385, 0.507046" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.040743, 0.0746792, 0.0954044, 0.129352, 0.185906, 0.279996, 0.436939", \ + "0.0547381, 0.0895995, 0.110432, 0.14445, 0.201055, 0.295237, 0.452055", \ + "0.0640698, 0.0999014, 0.120857, 0.15488, 0.211547, 0.305723, 0.462514", \ + "0.0774791, 0.115908, 0.1371, 0.171325, 0.228174, 0.322406, 0.479248", \ + "0.092345, 0.135543, 0.157525, 0.192554, 0.25014, 0.34512, 0.502136", \ + "0.107702, 0.157535, 0.181665, 0.218635, 0.278417, 0.375985, 0.535341", \ + "0.119222, 0.178144, 0.20582, 0.245995, 0.309317, 0.412094, 0.578055" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108238, 0.0523202, 0.0824117, 0.132806, 0.217525, 0.358843, 0.59437", \ + "0.0124928, 0.053091, 0.0829369, 0.133102, 0.217673, 0.359958, 0.59652", \ + "0.0142279, 0.0540107, 0.0834742, 0.133437, 0.217893, 0.359959, 0.596521", \ + "0.0175186, 0.0564778, 0.0851633, 0.134534, 0.218577, 0.35996, 0.596522", \ + "0.0227945, 0.0621227, 0.089737, 0.138193, 0.221517, 0.361439, 0.596523", \ + "0.0311044, 0.0717294, 0.098891, 0.146872, 0.229689, 0.369308, 0.601748", \ + "0.044169, 0.088735, 0.11494, 0.161817, 0.245018, 0.38699, 0.621225" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0511178, 0.0842884, 0.102605, 0.132017, 0.180711, 0.261727, 0.396481", \ + "0.0657464, 0.0999506, 0.118555, 0.148118, 0.19691, 0.277935, 0.412712", \ + "0.0758949, 0.111236, 0.130053, 0.159754, 0.208722, 0.28974, 0.424556", \ + "0.0916273, 0.12927, 0.148502, 0.178731, 0.227794, 0.308799, 0.443808", \ + "0.118838, 0.160613, 0.18128, 0.212587, 0.262345, 0.344079, 0.479258", \ + "0.162431, 0.21027, 0.233126, 0.266834, 0.320189, 0.404454, 0.541865", \ + "0.221503, 0.277564, 0.304047, 0.341862, 0.39963, 0.490589, 0.636653" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118835, 0.0455345, 0.0689941, 0.1086, 0.176286, 0.289874, 0.479405", \ + "0.0134731, 0.0466056, 0.0697807, 0.109246, 0.176572, 0.290217, 0.479611", \ + "0.0151364, 0.0478605, 0.0706625, 0.109852, 0.177124, 0.290264, 0.479612", \ + "0.0181794, 0.0505884, 0.0727911, 0.111354, 0.177903, 0.290792, 0.479927", \ + "0.0233293, 0.0564379, 0.0782534, 0.115979, 0.181101, 0.292953, 0.481053", \ + "0.031383, 0.066288, 0.088062, 0.125862, 0.191331, 0.301548, 0.487602", \ + "0.044102, 0.082511, 0.10517, 0.14343, 0.210331, 0.323293, 0.50983" \ + ); + } + } + timing () { + related_pin : "S"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.040743, 0.0746792, 0.0954044, 0.129352, 0.185906, 0.279996, 0.436939", \ + "0.0547381, 0.0895995, 0.110432, 0.14445, 0.201055, 0.295237, 0.452055", \ + "0.0640698, 0.0999014, 0.120857, 0.15488, 0.211547, 0.305723, 0.462514", \ + "0.0774791, 0.115908, 0.1371, 0.171325, 0.228174, 0.322406, 0.479248", \ + "0.092345, 0.135543, 0.157525, 0.192554, 0.25014, 0.34512, 0.502136", \ + "0.107702, 0.157535, 0.181665, 0.218635, 0.278417, 0.375985, 0.535341", \ + "0.119222, 0.178144, 0.20582, 0.245995, 0.309317, 0.412094, 0.578055" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108238, 0.0523202, 0.0824117, 0.132806, 0.217525, 0.358843, 0.59437", \ + "0.0124928, 0.053091, 0.0829369, 0.133102, 0.217673, 0.359958, 0.59652", \ + "0.0142279, 0.0540107, 0.0834742, 0.133437, 0.217893, 0.359959, 0.596521", \ + "0.0175186, 0.0564778, 0.0851633, 0.134534, 0.218577, 0.35996, 0.596522", \ + "0.0227945, 0.0621227, 0.089737, 0.138193, 0.221517, 0.361439, 0.596523", \ + "0.0311044, 0.0717294, 0.098891, 0.146872, 0.229689, 0.369308, 0.601748", \ + "0.044169, 0.088735, 0.11494, 0.161817, 0.245018, 0.38699, 0.621225" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0511178, 0.0842884, 0.102605, 0.132017, 0.180711, 0.261727, 0.396481", \ + "0.0657464, 0.0999506, 0.118555, 0.148118, 0.19691, 0.277935, 0.412712", \ + "0.0758949, 0.111236, 0.130053, 0.159754, 0.208722, 0.28974, 0.424556", \ + "0.0916273, 0.12927, 0.148502, 0.178731, 0.227794, 0.308799, 0.443808", \ + "0.118838, 0.160613, 0.18128, 0.212587, 0.262345, 0.344079, 0.479258", \ + "0.162431, 0.21027, 0.233126, 0.266834, 0.320189, 0.404454, 0.541865", \ + "0.221503, 0.277564, 0.304047, 0.341862, 0.39963, 0.490589, 0.636653" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118835, 0.0455345, 0.0689941, 0.1086, 0.176286, 0.289874, 0.479405", \ + "0.0134731, 0.0466056, 0.0697807, 0.109246, 0.176572, 0.290217, 0.479611", \ + "0.0151364, 0.0478605, 0.0706625, 0.109852, 0.177124, 0.290264, 0.479612", \ + "0.0181794, 0.0505884, 0.0727911, 0.111354, 0.177903, 0.290792, 0.479927", \ + "0.0233293, 0.0564379, 0.0782534, 0.115979, 0.181101, 0.292953, 0.481053", \ + "0.031383, 0.066288, 0.088062, 0.125862, 0.191331, 0.301548, 0.487602", \ + "0.044102, 0.082511, 0.10517, 0.14343, 0.210331, 0.323293, 0.50983" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0578213, 0.0916795, 0.112365, 0.146315, 0.202975, 0.297029, 0.453762", \ + "0.0741868, 0.108075, 0.128783, 0.162673, 0.219256, 0.313389, 0.470306", \ + "0.0836005, 0.117505, 0.138229, 0.172146, 0.228752, 0.322834, 0.479563", \ + "0.0958179, 0.129911, 0.150632, 0.184579, 0.241124, 0.335316, 0.492006", \ + "0.114832, 0.149295, 0.170052, 0.20396, 0.260561, 0.354715, 0.511509", \ + "0.142246, 0.177399, 0.1983, 0.232172, 0.28874, 0.382987, 0.539863", \ + "0.171616, 0.20868, 0.22945, 0.263445, 0.32007, 0.414129, 0.57109" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109529, 0.0524732, 0.0824495, 0.132816, 0.217635, 0.358861, 0.594455", \ + "0.0110415, 0.0524742, 0.082509, 0.132822, 0.217636, 0.358862, 0.594839", \ + "0.0111715, 0.0525218, 0.08251, 0.13288, 0.217637, 0.358863, 0.59484", \ + "0.0114301, 0.052674, 0.0825502, 0.132881, 0.217638, 0.358864, 0.594841", \ + "0.0121135, 0.0529729, 0.0827704, 0.132977, 0.217639, 0.358865, 0.594842", \ + "0.013453, 0.053636, 0.083189, 0.133197, 0.217728, 0.358969, 0.594843", \ + "0.015815, 0.055212, 0.084183, 0.133638, 0.217991, 0.359184, 0.594844" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0669005, 0.100128, 0.118471, 0.147901, 0.196751, 0.27773, 0.412548", \ + "0.0818034, 0.115046, 0.133332, 0.162776, 0.211476, 0.292518, 0.427406", \ + "0.0915028, 0.124647, 0.143041, 0.172537, 0.221231, 0.302229, 0.437043", \ + "0.106155, 0.139401, 0.157793, 0.187268, 0.236021, 0.317068, 0.451725", \ + "0.123949, 0.157497, 0.176074, 0.205547, 0.254362, 0.335315, 0.470169", \ + "0.146826, 0.181156, 0.199892, 0.229441, 0.278241, 0.359269, 0.494121", \ + "0.17515, 0.21082, 0.230028, 0.259558, 0.308432, 0.38949, 0.52432" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0120225, 0.0456789, 0.0690579, 0.108687, 0.176469, 0.289943, 0.479604", \ + "0.0120535, 0.0456799, 0.0690589, 0.108723, 0.17647, 0.289944, 0.479605", \ + "0.0121128, 0.0456809, 0.0690599, 0.108782, 0.176471, 0.289945, 0.479606", \ + "0.0123277, 0.0458499, 0.0691794, 0.10887, 0.176472, 0.289946, 0.479607", \ + "0.0129567, 0.0461616, 0.0694133, 0.10894, 0.176528, 0.289973, 0.479608", \ + "0.014067, 0.047048, 0.070061, 0.109357, 0.176742, 0.290079, 0.479613", \ + "0.016129, 0.048587, 0.071591, 0.110281, 0.177107, 0.290418, 0.479692" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0198345, 0.0201894, 0.0202007, 0.020107, 0.0198812, 0.0194763, 0.0187227", \ + "0.0215799, 0.0211036, 0.0210827, 0.0211514, 0.0207891, 0.0206575, 0.0197033", \ + "0.0243384, 0.0233205, 0.0233237, 0.0230262, 0.0230888, 0.0224893, 0.022987", \ + "0.0307036, 0.028626, 0.0284949, 0.0282853, 0.0280191, 0.0276676, 0.0282319", \ + "0.0442249, 0.0408013, 0.0403728, 0.0398416, 0.0395223, 0.0392525, 0.0384941", \ + "0.0708926, 0.0656613, 0.0643798, 0.063485, 0.0629853, 0.0623858, 0.0619347", \ + "0.124599, 0.116564, 0.114912, 0.113374, 0.111355, 0.110668, 0.109121" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0264129, 0.0263657, 0.0259376, 0.0253351, 0.0244808, 0.0236079, 0.0225876", \ + "0.0289112, 0.0283629, 0.0282001, 0.0279239, 0.0272574, 0.026401, 0.0248469", \ + "0.0318697, 0.0308368, 0.0308089, 0.0305324, 0.0300353, 0.0294836, 0.0280139", \ + "0.0381474, 0.0361262, 0.0360084, 0.035909, 0.0356353, 0.0348206, 0.0345258", \ + "0.0515507, 0.0476389, 0.0473421, 0.0469344, 0.0466589, 0.0465361, 0.045344", \ + "0.0783403, 0.0723128, 0.0712562, 0.0701458, 0.0696421, 0.0691778, 0.0690237", \ + "0.13139, 0.122537, 0.120351, 0.118903, 0.117143, 0.115364, 0.114931" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0250673, 0.0252756, 0.0249651, 0.0243697, 0.0237036, 0.0228418, 0.0219008", \ + "0.0274726, 0.0270913, 0.0269982, 0.0268655, 0.0261109, 0.0255123, 0.0242407", \ + "0.030321, 0.0293202, 0.029308, 0.0291335, 0.0288816, 0.0278152, 0.0274932", \ + "0.0366766, 0.0346337, 0.0344477, 0.0342978, 0.0341081, 0.0333175, 0.0336187", \ + "0.0502852, 0.0466394, 0.0461641, 0.0456499, 0.0454074, 0.0451602, 0.0439803", \ + "0.0770568, 0.071841, 0.0704562, 0.0695479, 0.0687117, 0.0680978, 0.0678315", \ + "0.130595, 0.122994, 0.120354, 0.118643, 0.116931, 0.115751, 0.114515" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0199549, 0.0200816, 0.0200725, 0.0200349, 0.0198474, 0.0195179, 0.0189156", \ + "0.0221418, 0.0213406, 0.0212846, 0.0213107, 0.0211505, 0.0213358, 0.0201005", \ + "0.0252361, 0.0238099, 0.023809, 0.0235666, 0.0234429, 0.0231649, 0.023016", \ + "0.0315512, 0.0291229, 0.0288763, 0.0288285, 0.0286635, 0.0281401, 0.0287833", \ + "0.0450931, 0.0410605, 0.0407558, 0.0402801, 0.0399896, 0.0397682, 0.0391103", \ + "0.0718633, 0.0658338, 0.0648544, 0.0640323, 0.0632319, 0.062763, 0.0624856", \ + "0.124895, 0.11617, 0.114265, 0.112772, 0.1113, 0.109941, 0.109645" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(A0 * !A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0199711, 0.0201923, 0.0201869, 0.0201015, 0.0199675, 0.0195729, 0.0188911", \ + "0.0199, 0.0201184, 0.0201027, 0.0203117, 0.0198713, 0.0193758, 0.0189017", \ + "0.0198656, 0.0200921, 0.0201206, 0.0200034, 0.0202108, 0.0196276, 0.0188322", \ + "0.0198629, 0.0200141, 0.0201022, 0.0201243, 0.0199273, 0.0198479, 0.0187129", \ + "0.020251, 0.0201973, 0.0202291, 0.020216, 0.0203012, 0.0201508, 0.0192789", \ + "0.0213007, 0.0208633, 0.0209531, 0.0207017, 0.0208548, 0.0207753, 0.0207608", \ + "0.0246853, 0.0238393, 0.0235463, 0.0234223, 0.0234283, 0.0230073, 0.0229363" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0243772, 0.0245658, 0.0245698, 0.0245077, 0.0244118, 0.0240467, 0.0233875", \ + "0.0243699, 0.0245536, 0.0244646, 0.0245954, 0.02425, 0.0243054, 0.0233647", \ + "0.0243268, 0.0244817, 0.0245763, 0.0245022, 0.0242583, 0.0242123, 0.0236048", \ + "0.0244106, 0.0244156, 0.0245519, 0.0246209, 0.0246067, 0.0240768, 0.0239974", \ + "0.0247956, 0.024573, 0.0246783, 0.0246697, 0.024862, 0.0247393, 0.0245477", \ + "0.0261783, 0.0256568, 0.0256897, 0.0255281, 0.0256278, 0.025522, 0.0256925", \ + "0.029419, 0.028355, 0.028411, 0.028174, 0.028198, 0.027709, 0.027901" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(!A0 * A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0240372, 0.0245199, 0.0245663, 0.024543, 0.0243681, 0.0240071, 0.0233767", \ + "0.0250722, 0.0250839, 0.0250826, 0.0253577, 0.0248916, 0.0248503, 0.0247294", \ + "0.027351, 0.0269142, 0.0269941, 0.0269249, 0.0269411, 0.0262458, 0.0278333", \ + "0.0332226, 0.0319998, 0.0319976, 0.0320132, 0.0318388, 0.0315625, 0.0313169", \ + "0.0463985, 0.0440169, 0.0437019, 0.0434517, 0.0434354, 0.0433663, 0.0425837", \ + "0.0736377, 0.0695567, 0.0687587, 0.0681707, 0.0676477, 0.0674887, 0.0672817", \ + "0.12847, 0.122187, 0.120472, 0.119597, 0.117941, 0.117323, 0.116562" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0199555, 0.0203784, 0.0203288, 0.0202646, 0.0200906, 0.0197738, 0.0191481", \ + "0.0209287, 0.0207369, 0.0207009, 0.0208022, 0.0208635, 0.0206757, 0.0196484", \ + "0.023304, 0.0227329, 0.0227948, 0.0226817, 0.0225847, 0.0225287, 0.0219314", \ + "0.0291074, 0.0277509, 0.0276859, 0.0278203, 0.0278645, 0.0272878, 0.02812", \ + "0.0421862, 0.0396349, 0.0393274, 0.0393584, 0.0392207, 0.0392963, 0.0383571", \ + "0.0690943, 0.0646523, 0.0640603, 0.0635513, 0.0634253, 0.0630473, 0.0632813", \ + "0.12369, 0.116904, 0.115624, 0.114499, 0.113817, 0.112743, 0.113105" \ + ); + } + } + internal_power () { + related_pin : "S"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0240372, 0.0245199, 0.0245663, 0.024543, 0.0243681, 0.0240071, 0.0233767", \ + "0.0250722, 0.0250839, 0.0250826, 0.0253577, 0.0248916, 0.0248503, 0.0247294", \ + "0.027351, 0.0269142, 0.0269941, 0.0269249, 0.0269411, 0.0262458, 0.0278333", \ + "0.0332226, 0.0319998, 0.0319976, 0.0320132, 0.0318388, 0.0315625, 0.0313169", \ + "0.0463985, 0.0440169, 0.0437019, 0.0434517, 0.0434354, 0.0433663, 0.0425837", \ + "0.0736377, 0.0695567, 0.0687587, 0.0681707, 0.0676477, 0.0674887, 0.0672817", \ + "0.12847, 0.122187, 0.120472, 0.119597, 0.117941, 0.117323, 0.116562" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0199555, 0.0203784, 0.0203288, 0.0202646, 0.0200906, 0.0197738, 0.0191481", \ + "0.0209287, 0.0207369, 0.0207009, 0.0208022, 0.0208635, 0.0206757, 0.0196484", \ + "0.023304, 0.0227329, 0.0227948, 0.0226817, 0.0225847, 0.0225287, 0.0219314", \ + "0.0291074, 0.0277509, 0.0276859, 0.0278203, 0.0278645, 0.0272878, 0.02812", \ + "0.0421862, 0.0396349, 0.0393274, 0.0393584, 0.0392207, 0.0392963, 0.0383571", \ + "0.0690943, 0.0646523, 0.0640603, 0.0635513, 0.0634253, 0.0630473, 0.0632813", \ + "0.12369, 0.116904, 0.115624, 0.114499, 0.113817, 0.112743, 0.113105" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0022499; + rise_capacitance : 0.00405381; + rise_capacitance_range (0.00405381, 0.00405381); + fall_capacitance : 0.000445979; + fall_capacitance_range (0.000445979, 0.000445979); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00238618; + rise_capacitance : 0.000800119; + rise_capacitance_range (0.000800119, 0.000800119); + fall_capacitance : 0.00397223; + fall_capacitance_range (0.00397223, 0.00397223); + } + pin (S) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00584292; + rise_capacitance : 0.00589459; + rise_capacitance_range (0.00589459, 0.00589459); + fall_capacitance : 0.00579125; + fall_capacitance_range (0.00579125, 0.00579125); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00267232, 0.00396149, 0.00629005, 0.0116304, 0.0231369, 0.0466513, 0.094242" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00683913, 0.00855489, 0.0110203, 0.0162752, 0.0274802, 0.0510587, 0.0982827" \ + ); + } + } + } + } + cell (sg13g2_mux2_2) { + area : 19.9584; + cell_footprint : "mux2"; + cell_leakage_power : 2771.13; + leakage_power () { + value : 2795.26; + when : "!A0&!A1&!S"; + } + leakage_power () { + value : 2161.21; + when : "A0&!A1&!S"; + } + leakage_power () { + value : 2636.64; + when : "!A0&A1&!S"; + } + leakage_power () { + value : 2792.15; + when : "A0&A1&!S"; + } + leakage_power () { + value : 3144.89; + when : "!A0&!A1&S"; + } + leakage_power () { + value : 2986.27; + when : "A0&!A1&S"; + } + leakage_power () { + value : 2510.85; + when : "!A0&A1&S"; + } + leakage_power () { + value : 3141.79; + when : "A0&A1&S"; + } + pin (X) { + direction : "output"; + function : "(!S*A0)+(S*A1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.044138, 0.0836031, 0.10478, 0.13908, 0.195625, 0.28994, 0.446984", \ + "0.0651856, 0.105349, 0.126754, 0.160947, 0.217654, 0.311929, 0.468932", \ + "0.0787362, 0.12026, 0.141632, 0.175972, 0.23269, 0.326917, 0.48395", \ + "0.0984692, 0.142795, 0.164466, 0.198692, 0.255249, 0.349458, 0.506537", \ + "0.121408, 0.171326, 0.194136, 0.228867, 0.285785, 0.380511, 0.537324", \ + "0.147129, 0.203963, 0.229752, 0.266839, 0.324782, 0.421103, 0.579339", \ + "0.168766, 0.234681, 0.265095, 0.307108, 0.368694, 0.46841, 0.632188" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012721, 0.0548242, 0.0846617, 0.134187, 0.218572, 0.36019, 0.596434", \ + "0.0159801, 0.0563484, 0.0852752, 0.13458, 0.218852, 0.362162, 0.596926", \ + "0.0187639, 0.0580112, 0.0862475, 0.135291, 0.219265, 0.362163, 0.596927", \ + "0.0235593, 0.0619984, 0.0889385, 0.136716, 0.219979, 0.362164, 0.596928", \ + "0.0314534, 0.0704005, 0.0955131, 0.141359, 0.222875, 0.362779, 0.597904", \ + "0.0441336, 0.085251, 0.110033, 0.153346, 0.231732, 0.370067, 0.603008", \ + "0.065107, 0.108944, 0.134556, 0.176107, 0.251417, 0.387505, 0.620862" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0532988, 0.0933138, 0.112994, 0.142789, 0.191416, 0.271833, 0.40587", \ + "0.079598, 0.120714, 0.140633, 0.170977, 0.219606, 0.299948, 0.433894", \ + "0.0957892, 0.138121, 0.158525, 0.189036, 0.237856, 0.31853, 0.452249", \ + "0.119486, 0.164399, 0.184705, 0.216368, 0.265391, 0.346095, 0.479617", \ + "0.159511, 0.207938, 0.230584, 0.262257, 0.311868, 0.392731, 0.527033", \ + "0.221152, 0.276029, 0.300772, 0.335393, 0.387135, 0.469411, 0.604428", \ + "0.307834, 0.3711, 0.399496, 0.439021, 0.495598, 0.582251, 0.722375" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0154754, 0.0513973, 0.0745489, 0.112919, 0.179122, 0.291626, 0.480543", \ + "0.0185121, 0.0529919, 0.0754971, 0.11361, 0.179459, 0.291782, 0.48065", \ + "0.0216382, 0.0551942, 0.0773025, 0.11478, 0.180364, 0.292183, 0.481034", \ + "0.0266581, 0.0589648, 0.0800648, 0.117186, 0.181646, 0.293113, 0.481571", \ + "0.0346984, 0.0671366, 0.0875478, 0.122201, 0.184852, 0.294712, 0.482504", \ + "0.04752, 0.0815, 0.101319, 0.135404, 0.195177, 0.301697, 0.48639", \ + "0.067921, 0.104788, 0.125673, 0.158109, 0.216544, 0.321669, 0.504309" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.040603, 0.0801045, 0.101024, 0.134698, 0.190904, 0.284785, 0.441511", \ + "0.0650042, 0.10576, 0.126883, 0.160801, 0.217347, 0.311092, 0.467537", \ + "0.0790076, 0.1209, 0.142387, 0.176482, 0.233078, 0.326949, 0.483276", \ + "0.0990507, 0.143597, 0.165128, 0.199444, 0.256008, 0.349887, 0.506463", \ + "0.122708, 0.17222, 0.19496, 0.22933, 0.286629, 0.38126, 0.537713", \ + "0.14815, 0.204939, 0.230901, 0.268024, 0.326186, 0.422358, 0.580692", \ + "0.170104, 0.235917, 0.26628, 0.307877, 0.369866, 0.4697, 0.632826" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0130402, 0.055053, 0.0844, 0.134087, 0.218563, 0.360137, 0.596348", \ + "0.0164721, 0.0566568, 0.0853846, 0.134647, 0.218863, 0.362815, 0.596574", \ + "0.019289, 0.0582543, 0.08642, 0.135337, 0.219255, 0.362816, 0.596575", \ + "0.0242602, 0.0621386, 0.0890621, 0.136742, 0.219905, 0.362817, 0.596821", \ + "0.0319563, 0.0703665, 0.0956131, 0.141308, 0.222843, 0.362818, 0.597751", \ + "0.0440817, 0.085097, 0.109743, 0.153111, 0.231593, 0.369557, 0.602684", \ + "0.064254, 0.108752, 0.134284, 0.175255, 0.250956, 0.38693, 0.620074" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.056377, 0.0974317, 0.11734, 0.147739, 0.196756, 0.27765, 0.412147", \ + "0.0806843, 0.122164, 0.142345, 0.172944, 0.222031, 0.302973, 0.437411", \ + "0.0971038, 0.139668, 0.160104, 0.190727, 0.240156, 0.321095, 0.455546", \ + "0.121353, 0.165857, 0.186637, 0.217931, 0.267045, 0.348099, 0.482571", \ + "0.162615, 0.210885, 0.232889, 0.264897, 0.314555, 0.395581, 0.530213", \ + "0.225349, 0.279881, 0.304495, 0.339499, 0.391308, 0.473862, 0.609035", \ + "0.313663, 0.376773, 0.405317, 0.444731, 0.501242, 0.589056, 0.730621" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0164329, 0.0514701, 0.0743883, 0.112705, 0.179021, 0.291586, 0.48072", \ + "0.0195161, 0.0531549, 0.075523, 0.11352, 0.179406, 0.291735, 0.480755", \ + "0.0227111, 0.0553551, 0.0773695, 0.114812, 0.180315, 0.292097, 0.481022", \ + "0.0278618, 0.0590613, 0.0802621, 0.117203, 0.181622, 0.293088, 0.481554", \ + "0.0355141, 0.0671903, 0.0873709, 0.122315, 0.184914, 0.294724, 0.482495", \ + "0.047664, 0.081633, 0.101403, 0.13567, 0.195655, 0.302229, 0.486832", \ + "0.067291, 0.104997, 0.12589, 0.158977, 0.217151, 0.322994, 0.505852" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0469166, 0.0850191, 0.10614, 0.140352, 0.196987, 0.291334, 0.448377", \ + "0.0630088, 0.101959, 0.123165, 0.157335, 0.214131, 0.308486, 0.465555", \ + "0.0742223, 0.114562, 0.135617, 0.169774, 0.226517, 0.320901, 0.47798", \ + "0.0910002, 0.133354, 0.154943, 0.189333, 0.24607, 0.340404, 0.4975", \ + "0.11086, 0.157908, 0.180293, 0.215351, 0.272738, 0.367405, 0.524459", \ + "0.133531, 0.187172, 0.211681, 0.248551, 0.307855, 0.404498, 0.563551", \ + "0.155576, 0.217553, 0.246062, 0.286159, 0.34859, 0.449724, 0.614463" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116205, 0.0541274, 0.0842115, 0.133987, 0.218717, 0.360253, 0.596421", \ + "0.0133595, 0.0551208, 0.0844548, 0.134204, 0.218775, 0.36248, 0.597216", \ + "0.0152289, 0.0564665, 0.0852345, 0.134708, 0.21906, 0.362481, 0.597217", \ + "0.0190934, 0.0590319, 0.0872571, 0.13596, 0.219681, 0.362482, 0.597218", \ + "0.0259222, 0.0656646, 0.0923633, 0.139933, 0.222517, 0.362651, 0.597667", \ + "0.0367916, 0.077479, 0.103169, 0.149339, 0.230828, 0.369793, 0.602997", \ + "0.054085, 0.096716, 0.122883, 0.166812, 0.247287, 0.387032, 0.621224" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0610528, 0.0995005, 0.118787, 0.148636, 0.197553, 0.278449, 0.412969", \ + "0.0776098, 0.116765, 0.13611, 0.166212, 0.21518, 0.296141, 0.430651", \ + "0.0893747, 0.129574, 0.149289, 0.179459, 0.228523, 0.309498, 0.444041", \ + "0.108027, 0.150413, 0.17041, 0.201092, 0.250164, 0.331225, 0.465737", \ + "0.140355, 0.186146, 0.207918, 0.23979, 0.289053, 0.370548, 0.505389", \ + "0.191446, 0.242971, 0.266813, 0.301229, 0.3537, 0.436943, 0.573253", \ + "0.261506, 0.320452, 0.347453, 0.386069, 0.443015, 0.532586, 0.675871" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0145139, 0.0495821, 0.0725539, 0.111412, 0.178303, 0.291484, 0.480749", \ + "0.0161589, 0.0506344, 0.0733726, 0.111982, 0.178709, 0.291584, 0.480917", \ + "0.0180414, 0.0521727, 0.0746639, 0.112973, 0.179155, 0.291823, 0.481074", \ + "0.0215939, 0.0553087, 0.0771125, 0.114606, 0.180399, 0.292438, 0.48117", \ + "0.0279574, 0.0613991, 0.0831854, 0.119958, 0.183579, 0.294374, 0.482374", \ + "0.03829, 0.073012, 0.094643, 0.130998, 0.19409, 0.302587, 0.487396", \ + "0.054934, 0.091876, 0.113555, 0.150681, 0.213879, 0.323987, 0.508629" \ + ); + } + } + timing () { + related_pin : "S"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0469166, 0.0850191, 0.10614, 0.140352, 0.196987, 0.291334, 0.448377", \ + "0.0630088, 0.101959, 0.123165, 0.157335, 0.214131, 0.308486, 0.465555", \ + "0.0742223, 0.114562, 0.135617, 0.169774, 0.226517, 0.320901, 0.47798", \ + "0.0910002, 0.133354, 0.154943, 0.189333, 0.24607, 0.340404, 0.4975", \ + "0.11086, 0.157908, 0.180293, 0.215351, 0.272738, 0.367405, 0.524459", \ + "0.133531, 0.187172, 0.211681, 0.248551, 0.307855, 0.404498, 0.563551", \ + "0.155576, 0.217553, 0.246062, 0.286159, 0.34859, 0.449724, 0.614463" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116205, 0.0541274, 0.0842115, 0.133987, 0.218717, 0.360253, 0.596421", \ + "0.0133595, 0.0551208, 0.0844548, 0.134204, 0.218775, 0.36248, 0.597216", \ + "0.0152289, 0.0564665, 0.0852345, 0.134708, 0.21906, 0.362481, 0.597217", \ + "0.0190934, 0.0590319, 0.0872571, 0.13596, 0.219681, 0.362482, 0.597218", \ + "0.0259222, 0.0656646, 0.0923633, 0.139933, 0.222517, 0.362651, 0.597667", \ + "0.0367916, 0.077479, 0.103169, 0.149339, 0.230828, 0.369793, 0.602997", \ + "0.054085, 0.096716, 0.122883, 0.166812, 0.247287, 0.387032, 0.621224" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0610528, 0.0995005, 0.118787, 0.148636, 0.197553, 0.278449, 0.412969", \ + "0.0776098, 0.116765, 0.13611, 0.166212, 0.21518, 0.296141, 0.430651", \ + "0.0893747, 0.129574, 0.149289, 0.179459, 0.228523, 0.309498, 0.444041", \ + "0.108027, 0.150413, 0.17041, 0.201092, 0.250164, 0.331225, 0.465737", \ + "0.140355, 0.186146, 0.207918, 0.23979, 0.289053, 0.370548, 0.505389", \ + "0.191446, 0.242971, 0.266813, 0.301229, 0.3537, 0.436943, 0.573253", \ + "0.261506, 0.320452, 0.347453, 0.386069, 0.443015, 0.532586, 0.675871" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0145139, 0.0495821, 0.0725539, 0.111412, 0.178303, 0.291484, 0.480749", \ + "0.0161589, 0.0506344, 0.0733726, 0.111982, 0.178709, 0.291584, 0.480917", \ + "0.0180414, 0.0521727, 0.0746639, 0.112973, 0.179155, 0.291823, 0.481074", \ + "0.0215939, 0.0553087, 0.0771125, 0.114606, 0.180399, 0.292438, 0.48117", \ + "0.0279574, 0.0613991, 0.0831854, 0.119958, 0.183579, 0.294374, 0.482374", \ + "0.03829, 0.073012, 0.094643, 0.130998, 0.19409, 0.302587, 0.487396", \ + "0.054934, 0.091876, 0.113555, 0.150681, 0.213879, 0.323987, 0.508629" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.063989, 0.102113, 0.123178, 0.157243, 0.214064, 0.308414, 0.465518", \ + "0.0804329, 0.118559, 0.13962, 0.173765, 0.230521, 0.324839, 0.481872", \ + "0.0899979, 0.128067, 0.149151, 0.18327, 0.240045, 0.334347, 0.491433", \ + "0.102472, 0.14073, 0.161825, 0.19592, 0.252685, 0.347031, 0.504058", \ + "0.121997, 0.160551, 0.181626, 0.215756, 0.272544, 0.366983, 0.52391", \ + "0.150321, 0.189784, 0.210734, 0.244885, 0.301601, 0.395842, 0.552943", \ + "0.18138, 0.222519, 0.24347, 0.277466, 0.334256, 0.42853, 0.585657" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116941, 0.0542979, 0.0839211, 0.133918, 0.218624, 0.360264, 0.596475", \ + "0.0117284, 0.0543184, 0.0839221, 0.133919, 0.218664, 0.361609, 0.598238", \ + "0.0118477, 0.0543419, 0.0839713, 0.133951, 0.218665, 0.36161, 0.598239", \ + "0.01207, 0.0545054, 0.0840029, 0.133991, 0.218666, 0.361611, 0.59824", \ + "0.0126768, 0.0548094, 0.0842332, 0.134054, 0.218692, 0.361612, 0.598241", \ + "0.014028, 0.055713, 0.084726, 0.134305, 0.218871, 0.361613, 0.598242", \ + "0.016631, 0.057595, 0.085871, 0.134913, 0.219118, 0.361614, 0.598243" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0768053, 0.115332, 0.134502, 0.164457, 0.213337, 0.294291, 0.42879", \ + "0.0917902, 0.130118, 0.149399, 0.179357, 0.228293, 0.309223, 0.443865", \ + "0.101476, 0.139787, 0.15908, 0.189076, 0.237958, 0.318817, 0.453343", \ + "0.116262, 0.154803, 0.174169, 0.203978, 0.252858, 0.333708, 0.4682", \ + "0.13453, 0.173282, 0.192683, 0.222489, 0.271455, 0.352327, 0.486902", \ + "0.158204, 0.197392, 0.217045, 0.246978, 0.295882, 0.376828, 0.51148", \ + "0.187668, 0.228285, 0.247869, 0.278067, 0.326965, 0.408062, 0.542572" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0146442, 0.0497146, 0.0727588, 0.111503, 0.178497, 0.291328, 0.480687", \ + "0.0146751, 0.0497156, 0.0727598, 0.111581, 0.178498, 0.29152, 0.481192", \ + "0.0147438, 0.0497166, 0.0727608, 0.111582, 0.178499, 0.291521, 0.481193", \ + "0.0148808, 0.0498988, 0.0728533, 0.111583, 0.1785, 0.291522, 0.481194", \ + "0.015322, 0.0502294, 0.0731393, 0.111789, 0.178501, 0.291523, 0.481195", \ + "0.016448, 0.050966, 0.073785, 0.112198, 0.178728, 0.291617, 0.481196", \ + "0.018688, 0.052929, 0.075058, 0.113154, 0.179392, 0.291911, 0.481197" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0294154, 0.0290959, 0.0291694, 0.0289565, 0.0283559, 0.0276371, 0.0262215", \ + "0.0325138, 0.0295455, 0.029452, 0.0296226, 0.0289403, 0.0291822, 0.027091", \ + "0.0364323, 0.0319541, 0.0316763, 0.0313263, 0.0314018, 0.0302223, 0.0302702", \ + "0.0446022, 0.0377829, 0.0370537, 0.0366313, 0.0359861, 0.0351458, 0.0369743", \ + "0.061801, 0.0509475, 0.0494526, 0.0483479, 0.0474983, 0.0467204, 0.044206", \ + "0.094449, 0.0784776, 0.0759551, 0.0739093, 0.0715658, 0.0707289, 0.0691128", \ + "0.157689, 0.134867, 0.130635, 0.126853, 0.122338, 0.119965, 0.11785" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0421328, 0.0397329, 0.038977, 0.0373239, 0.0354822, 0.0338373, 0.0315056", \ + "0.0463772, 0.0427386, 0.0423798, 0.0417236, 0.0400912, 0.0381905, 0.0350442", \ + "0.0504903, 0.0454204, 0.0453189, 0.0444905, 0.0433508, 0.0420459, 0.0382453", \ + "0.0587537, 0.0509604, 0.0503841, 0.05045, 0.0493889, 0.0475899, 0.0461599", \ + "0.0755107, 0.0636844, 0.0627916, 0.0615935, 0.0610022, 0.0602503, 0.0582687", \ + "0.10805, 0.0909082, 0.0887357, 0.0863867, 0.0848649, 0.0834099, 0.0825637", \ + "0.170052, 0.146511, 0.141953, 0.137433, 0.133945, 0.130638, 0.129065" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0376077, 0.0366474, 0.035785, 0.0345669, 0.0331145, 0.031465, 0.0293585", \ + "0.0430147, 0.0403603, 0.0400778, 0.0397648, 0.0383684, 0.0377154, 0.0338084", \ + "0.0470411, 0.0427845, 0.0425392, 0.041984, 0.0415546, 0.0397231, 0.0369563", \ + "0.055327, 0.0484393, 0.0479068, 0.0474906, 0.0469272, 0.0453391, 0.0449144", \ + "0.0724415, 0.061586, 0.0602638, 0.0591421, 0.0584804, 0.0578606, 0.0552185", \ + "0.1058, 0.0891926, 0.0867042, 0.0846528, 0.082395, 0.0814397, 0.0807768", \ + "0.168428, 0.14558, 0.141062, 0.137173, 0.132704, 0.130144, 0.127688" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0314045, 0.0286966, 0.0287813, 0.0286873, 0.0282753, 0.0276165, 0.026411", \ + "0.0344688, 0.0296329, 0.0295157, 0.0295969, 0.0290934, 0.0294299, 0.0271483", \ + "0.0386431, 0.0323142, 0.0321443, 0.0314574, 0.0313772, 0.0309713, 0.0311014", \ + "0.0470143, 0.0379382, 0.0374245, 0.0372549, 0.0364625, 0.0353071, 0.0361538", \ + "0.0638319, 0.0509928, 0.0497277, 0.0484636, 0.0479477, 0.0474058, 0.0461019", \ + "0.0964695, 0.0786958, 0.0759505, 0.0740487, 0.0725892, 0.0708138, 0.0698216", \ + "0.158402, 0.134633, 0.129565, 0.125479, 0.121636, 0.118824, 0.11795" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(A0 * !A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0293093, 0.0286109, 0.0286381, 0.0284211, 0.0281461, 0.0273061, 0.0261761", \ + "0.0292626, 0.0284132, 0.0285385, 0.0289905, 0.0279585, 0.027916, 0.0273355", \ + "0.02926, 0.0285312, 0.0285749, 0.0283436, 0.0284976, 0.0271918, 0.0256208", \ + "0.0293308, 0.0284595, 0.0286337, 0.0286365, 0.0282724, 0.0281185, 0.0254923", \ + "0.0299205, 0.0284899, 0.0286012, 0.0286921, 0.0288312, 0.0286027, 0.0266225", \ + "0.0313473, 0.0292414, 0.0291888, 0.0287558, 0.0291657, 0.0287205, 0.0285079", \ + "0.0355223, 0.0321803, 0.0313883, 0.0310003, 0.0311263, 0.0304293, 0.0300673" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0352191, 0.0329783, 0.0329008, 0.0327674, 0.0323065, 0.0317536, 0.0300871", \ + "0.0352119, 0.0327789, 0.0327888, 0.0330157, 0.0324659, 0.0317119, 0.0306051", \ + "0.0351803, 0.0328046, 0.0329847, 0.0326659, 0.0323418, 0.033097, 0.0301635", \ + "0.0352954, 0.0328543, 0.0332341, 0.0332471, 0.0331691, 0.0318746, 0.0320961", \ + "0.0358648, 0.033002, 0.0332996, 0.0328241, 0.033223, 0.0329778, 0.0323553", \ + "0.0375943, 0.0339497, 0.0340876, 0.0335148, 0.0337897, 0.0337211, 0.0337265", \ + "0.0412519, 0.0367139, 0.0361979, 0.0358129, 0.0354769, 0.0350109, 0.0352069" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(!A0 * A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0333303, 0.0330112, 0.0334158, 0.0331467, 0.032809, 0.03201, 0.0307497", \ + "0.0350999, 0.0335185, 0.0334692, 0.0337778, 0.0332313, 0.0335706, 0.0318701", \ + "0.0380294, 0.0354702, 0.0354148, 0.0350524, 0.0351379, 0.034338, 0.0336995", \ + "0.0452826, 0.0403983, 0.040237, 0.0401651, 0.0396736, 0.0387319, 0.0388698", \ + "0.0614281, 0.0531855, 0.0522717, 0.0517079, 0.0513741, 0.0510215, 0.0493143", \ + "0.093573, 0.080783, 0.078478, 0.077144, 0.076101, 0.075353, 0.075022", \ + "0.156685, 0.137285, 0.134013, 0.131032, 0.127739, 0.126159, 0.124621" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0308018, 0.0286086, 0.0287612, 0.0286112, 0.0282811, 0.0276948, 0.0262806", \ + "0.03234, 0.0289563, 0.0288517, 0.0289654, 0.0288378, 0.0301533, 0.0264903", \ + "0.0353278, 0.0309934, 0.0310217, 0.0306717, 0.0304326, 0.0307711, 0.029189", \ + "0.0423193, 0.0360978, 0.0357864, 0.03577, 0.0354391, 0.0346034, 0.0359996", \ + "0.0580277, 0.0481662, 0.047848, 0.0477694, 0.0468993, 0.0470677, 0.0453575", \ + "0.0894019, 0.0752059, 0.0738959, 0.0724719, 0.0718979, 0.0711319, 0.0710389", \ + "0.151255, 0.131366, 0.12775, 0.125393, 0.123095, 0.120963, 0.120766" \ + ); + } + } + internal_power () { + related_pin : "S"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0333303, 0.0330112, 0.0334158, 0.0331467, 0.032809, 0.03201, 0.0307497", \ + "0.0350999, 0.0335185, 0.0334692, 0.0337778, 0.0332313, 0.0335706, 0.0318701", \ + "0.0380294, 0.0354702, 0.0354148, 0.0350524, 0.0351379, 0.034338, 0.0336995", \ + "0.0452826, 0.0403983, 0.040237, 0.0401651, 0.0396736, 0.0387319, 0.0388698", \ + "0.0614281, 0.0531855, 0.0522717, 0.0517079, 0.0513741, 0.0510215, 0.0493143", \ + "0.093573, 0.080783, 0.078478, 0.077144, 0.076101, 0.075353, 0.075022", \ + "0.156685, 0.137285, 0.134013, 0.131032, 0.127739, 0.126159, 0.124621" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0308018, 0.0286086, 0.0287612, 0.0286112, 0.0282811, 0.0276948, 0.0262806", \ + "0.03234, 0.0289563, 0.0288517, 0.0289654, 0.0288378, 0.0301533, 0.0264903", \ + "0.0353278, 0.0309934, 0.0310217, 0.0306717, 0.0304326, 0.0307711, 0.029189", \ + "0.0423193, 0.0360978, 0.0357864, 0.03577, 0.0354391, 0.0346034, 0.0359996", \ + "0.0580277, 0.0481662, 0.047848, 0.0477694, 0.0468993, 0.0470677, 0.0453575", \ + "0.0894019, 0.0752059, 0.0738959, 0.0724719, 0.0718979, 0.0711319, 0.0710389", \ + "0.151255, 0.131366, 0.12775, 0.125393, 0.123095, 0.120963, 0.120766" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00223478; + rise_capacitance : 0.00400636; + rise_capacitance_range (0.00400636, 0.00400636); + fall_capacitance : 0.000463203; + fall_capacitance_range (0.000463203, 0.000463203); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00236774; + rise_capacitance : 0.000783854; + rise_capacitance_range (0.000783854, 0.000783854); + fall_capacitance : 0.00395162; + fall_capacitance_range (0.00395162, 0.00395162); + } + pin (S) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0058405; + rise_capacitance : 0.00589077; + rise_capacitance_range (0.00589077, 0.00589077); + fall_capacitance : 0.00579022; + fall_capacitance_range (0.00579022, 0.00579022); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00266473, 0.00395486, 0.0062949, 0.0116325, 0.023131, 0.046649, 0.0942611" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00685513, 0.00855505, 0.0110209, 0.0163247, 0.0275199, 0.0510571, 0.0982857" \ + ); + } + } + } + } + cell (sg13g2_mux4_1) { + area : 38.1024; + cell_footprint : "mux4"; + cell_leakage_power : 3933.01; + leakage_power () { + value : 2333.78; + when : "!S1&!S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 3102.67; + when : "!S1&!S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 2720.68; + when : "!S1&!S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 3441.2; + when : "!S1&!S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 3243.05; + when : "!S1&!S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 3893.67; + when : "!S1&!S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 3629.96; + when : "!S1&!S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 4232.21; + when : "!S1&!S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 2720.67; + when : "!S1&!S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 3489.57; + when : "!S1&!S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 3107.58; + when : "!S1&!S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 3828.09; + when : "!S1&!S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 3581.56; + when : "!S1&!S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4232.18; + when : "!S1&!S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 3968.47; + when : "!S1&!S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 4570.71; + when : "!S1&!S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 2760.8; + when : "!S1&S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 3147.71; + when : "!S1&S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 3529.7; + when : "!S1&S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 3868.23; + when : "!S1&S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 3147.7; + when : "!S1&S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 3534.61; + when : "!S1&S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 3916.6; + when : "!S1&S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 4255.12; + when : "!S1&S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 3670.05; + when : "!S1&S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 4056.96; + when : "!S1&S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 4320.67; + when : "!S1&S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 4659.2; + when : "!S1&S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 4008.58; + when : "!S1&S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4395.49; + when : "!S1&S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 4659.2; + when : "!S1&S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 4997.73; + when : "!S1&S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 2760.77; + when : "S1&!S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 3670.04; + when : "S1&!S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 3147.68; + when : "S1&!S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 4008.56; + when : "S1&!S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 3529.67; + when : "S1&!S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4320.67; + when : "S1&!S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 3916.57; + when : "S1&!S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 4659.2; + when : "S1&!S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 3147.66; + when : "S1&!S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 4056.93; + when : "S1&!S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 3534.57; + when : "S1&!S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 4395.46; + when : "S1&!S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 3868.18; + when : "S1&!S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4659.18; + when : "S1&!S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 4255.09; + when : "S1&!S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 4997.7; + when : "S1&!S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 3187.8; + when : "S1&S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 3574.7; + when : "S1&S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 4097.07; + when : "S1&S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 4435.6; + when : "S1&S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 3574.69; + when : "S1&S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 3961.6; + when : "S1&S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 4483.96; + when : "S1&S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 4822.49; + when : "S1&S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 3956.67; + when : "S1&S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 4343.57; + when : "S1&S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 4747.67; + when : "S1&S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 5086.19; + when : "S1&S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 4295.2; + when : "S1&S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4682.1; + when : "S1&S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 5086.19; + when : "S1&S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 5424.72; + when : "S1&S0&A3&A2&A1&A0"; + } + pin (X) { + direction : "output"; + function : "(A0*(!S0*!S1))+(A1*(S0*!S1))+(A2*(!S0*S1))+(A3*(S0*S1))"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0651115, 0.104698, 0.126301, 0.160784, 0.217838, 0.312645, 0.470195", \ + "0.0821484, 0.122355, 0.144099, 0.178694, 0.23574, 0.330416, 0.48803", \ + "0.0948425, 0.135813, 0.157787, 0.192534, 0.24965, 0.344372, 0.502008", \ + "0.115152, 0.158117, 0.180882, 0.21538, 0.272609, 0.36713, 0.524711", \ + "0.141961, 0.189276, 0.212509, 0.248254, 0.305784, 0.400729, 0.558438", \ + "0.178004, 0.232045, 0.257549, 0.295132, 0.354597, 0.451279, 0.610497", \ + "0.224406, 0.288783, 0.318434, 0.360399, 0.423454, 0.525241, 0.689521" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0142714, 0.0566942, 0.0857688, 0.135223, 0.219692, 0.361712, 0.598801", \ + "0.0153249, 0.0574588, 0.0863059, 0.135653, 0.219906, 0.362451, 0.598802", \ + "0.0166078, 0.0583331, 0.087156, 0.136187, 0.220177, 0.362452, 0.599061", \ + "0.0192973, 0.0608418, 0.0893399, 0.137416, 0.220772, 0.362453, 0.599062", \ + "0.0245125, 0.0662716, 0.093703, 0.141033, 0.223427, 0.363665, 0.59997", \ + "0.032826, 0.07693, 0.103965, 0.150232, 0.231346, 0.370173, 0.604512", \ + "0.045919, 0.093877, 0.121524, 0.167265, 0.247401, 0.38729, 0.621222" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0714334, 0.110584, 0.130429, 0.160866, 0.209781, 0.290347, 0.424231", \ + "0.0883332, 0.128035, 0.148166, 0.178732, 0.227796, 0.308376, 0.442203", \ + "0.099263, 0.140102, 0.160449, 0.191321, 0.24058, 0.321176, 0.45509", \ + "0.115788, 0.158761, 0.179524, 0.21088, 0.260296, 0.341242, 0.475042", \ + "0.142749, 0.189715, 0.21186, 0.24436, 0.294955, 0.375887, 0.510058", \ + "0.183184, 0.236649, 0.261382, 0.296574, 0.350116, 0.433712, 0.569308", \ + "0.230819, 0.293629, 0.321888, 0.36172, 0.419932, 0.509311, 0.652165" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0162149, 0.0509642, 0.0736226, 0.111694, 0.177421, 0.288905, 0.476558", \ + "0.0173737, 0.0517753, 0.0742658, 0.112298, 0.17758, 0.289033, 0.476658", \ + "0.0188129, 0.0532384, 0.0754465, 0.113104, 0.17816, 0.28924, 0.476839", \ + "0.0217228, 0.0560054, 0.077816, 0.114933, 0.179452, 0.290096, 0.477023", \ + "0.0269564, 0.0619276, 0.0835278, 0.119697, 0.18282, 0.291716, 0.47809", \ + "0.035349, 0.072467, 0.09438, 0.130389, 0.193227, 0.299931, 0.483193", \ + "0.048354, 0.089948, 0.112354, 0.149395, 0.212391, 0.320504, 0.503062" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0641114, 0.103595, 0.125186, 0.159665, 0.216622, 0.311451, 0.468986", \ + "0.0814219, 0.121454, 0.143291, 0.177849, 0.234926, 0.329582, 0.487137", \ + "0.0942482, 0.135175, 0.15728, 0.191832, 0.248956, 0.343568, 0.50121", \ + "0.11422, 0.157239, 0.180036, 0.21453, 0.271508, 0.366392, 0.523796", \ + "0.140627, 0.18806, 0.211374, 0.246952, 0.304617, 0.399458, 0.557056", \ + "0.176062, 0.230689, 0.256548, 0.294475, 0.353949, 0.450619, 0.609623", \ + "0.221558, 0.286301, 0.316309, 0.357622, 0.421492, 0.522304, 0.687501" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0141494, 0.0566083, 0.0856832, 0.135189, 0.21969, 0.361688, 0.59877", \ + "0.0152028, 0.05727, 0.0862607, 0.135564, 0.219849, 0.362835, 0.598771", \ + "0.016562, 0.0582991, 0.0871446, 0.136117, 0.220165, 0.362836, 0.599027", \ + "0.019328, 0.0607968, 0.0893197, 0.137353, 0.220781, 0.362837, 0.59903", \ + "0.0246569, 0.0665218, 0.0938306, 0.141121, 0.223462, 0.363604, 0.599804", \ + "0.03307, 0.077227, 0.104148, 0.150689, 0.231518, 0.370078, 0.604249", \ + "0.046209, 0.094509, 0.122394, 0.167142, 0.24834, 0.387228, 0.621639" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0723269, 0.111526, 0.131395, 0.161867, 0.210773, 0.291424, 0.42528", \ + "0.0886699, 0.128473, 0.148584, 0.17919, 0.228281, 0.308879, 0.442766", \ + "0.0997062, 0.140535, 0.161017, 0.191786, 0.241121, 0.321737, 0.455556", \ + "0.116512, 0.159405, 0.180285, 0.21153, 0.260959, 0.341869, 0.475868", \ + "0.144499, 0.191298, 0.213491, 0.245755, 0.296393, 0.377655, 0.511569", \ + "0.184635, 0.237922, 0.262479, 0.297593, 0.350734, 0.434652, 0.570223", \ + "0.233192, 0.295517, 0.324065, 0.363412, 0.421285, 0.511133, 0.653366" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0163214, 0.0510883, 0.0736901, 0.111818, 0.177392, 0.288958, 0.476517", \ + "0.0174595, 0.0518688, 0.0743656, 0.112317, 0.177681, 0.289107, 0.476603", \ + "0.0188605, 0.0532999, 0.0756009, 0.113126, 0.178286, 0.28927, 0.476754", \ + "0.021675, 0.0559651, 0.0779427, 0.114939, 0.179412, 0.290008, 0.47707", \ + "0.0267068, 0.0617183, 0.0834912, 0.119472, 0.182703, 0.291753, 0.47825", \ + "0.03516, 0.072227, 0.094087, 0.130123, 0.192726, 0.299876, 0.482956", \ + "0.04805, 0.089382, 0.112023, 0.148876, 0.21168, 0.320067, 0.50283" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0671555, 0.107128, 0.129016, 0.163606, 0.220707, 0.315367, 0.472986", \ + "0.0846934, 0.125362, 0.147348, 0.18206, 0.239099, 0.333812, 0.491348", \ + "0.0978354, 0.139456, 0.161607, 0.196427, 0.253489, 0.34827, 0.505892", \ + "0.118756, 0.162609, 0.184929, 0.219975, 0.277057, 0.371857, 0.529375", \ + "0.146375, 0.194343, 0.217959, 0.25369, 0.311282, 0.40609, 0.563923", \ + "0.183165, 0.238517, 0.264342, 0.302276, 0.361918, 0.458341, 0.617283", \ + "0.231089, 0.296466, 0.326502, 0.368734, 0.431838, 0.533175, 0.697464" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0146511, 0.05698, 0.0860903, 0.13543, 0.219763, 0.361725, 0.598826", \ + "0.015701, 0.0578742, 0.0866487, 0.135802, 0.219928, 0.361988, 0.598827", \ + "0.017041, 0.0588966, 0.0875311, 0.136406, 0.220216, 0.361989, 0.598838", \ + "0.0197047, 0.0617708, 0.0895349, 0.137716, 0.220922, 0.362251, 0.599023", \ + "0.0249481, 0.0669664, 0.0943687, 0.141448, 0.223542, 0.363646, 0.599869", \ + "0.033361, 0.077875, 0.104864, 0.150673, 0.231332, 0.370121, 0.60407", \ + "0.04636, 0.095169, 0.122853, 0.168212, 0.248207, 0.387079, 0.620637" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.075572, 0.115324, 0.135452, 0.165999, 0.215127, 0.295682, 0.429591", \ + "0.0926326, 0.132967, 0.153267, 0.184054, 0.233278, 0.313973, 0.447885", \ + "0.103951, 0.145507, 0.165905, 0.197126, 0.246502, 0.327125, 0.461028", \ + "0.121391, 0.164759, 0.185997, 0.217674, 0.267109, 0.348018, 0.481866", \ + "0.149608, 0.197081, 0.21944, 0.25224, 0.302818, 0.383853, 0.518046", \ + "0.191847, 0.246031, 0.27077, 0.305992, 0.359187, 0.443036, 0.578062", \ + "0.241899, 0.305395, 0.334106, 0.373985, 0.432194, 0.521615, 0.664193" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168248, 0.0517242, 0.0744675, 0.11233, 0.177933, 0.289122, 0.476655", \ + "0.0179645, 0.052537, 0.0749808, 0.112864, 0.178078, 0.289309, 0.47672", \ + "0.019341, 0.0540226, 0.0761578, 0.113769, 0.178653, 0.289532, 0.476786", \ + "0.0222456, 0.0566926, 0.0786328, 0.115868, 0.179923, 0.290247, 0.477081", \ + "0.0274152, 0.0625821, 0.084259, 0.120365, 0.183319, 0.292008, 0.478326", \ + "0.035838, 0.073125, 0.095222, 0.130872, 0.193014, 0.2999, 0.482966", \ + "0.048721, 0.090609, 0.113238, 0.150069, 0.212234, 0.320375, 0.502701" \ + ); + } + } + timing () { + related_pin : "A3"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.066016, 0.10612, 0.127801, 0.162429, 0.219402, 0.314129, 0.471692", \ + "0.0840472, 0.124627, 0.146612, 0.181288, 0.238302, 0.332982, 0.490582", \ + "0.0972629, 0.138787, 0.160908, 0.195751, 0.252855, 0.347565, 0.505208", \ + "0.11819, 0.162056, 0.184483, 0.219399, 0.276655, 0.371158, 0.528787", \ + "0.145857, 0.193849, 0.217523, 0.253253, 0.310944, 0.405632, 0.563246", \ + "0.182529, 0.23789, 0.264094, 0.301716, 0.361274, 0.457823, 0.616659", \ + "0.230847, 0.296112, 0.326016, 0.368425, 0.431777, 0.533096, 0.697648" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145765, 0.0570567, 0.0860032, 0.13544, 0.219742, 0.361689, 0.598736", \ + "0.0156484, 0.0578161, 0.0866373, 0.135793, 0.219913, 0.362554, 0.598737", \ + "0.0170035, 0.0588142, 0.0874206, 0.136397, 0.220199, 0.362555, 0.59882", \ + "0.0197285, 0.0616898, 0.0895685, 0.13766, 0.220804, 0.362556, 0.598933", \ + "0.0249801, 0.0668532, 0.0942693, 0.141357, 0.223547, 0.363648, 0.599629", \ + "0.03341, 0.077877, 0.10517, 0.150779, 0.231311, 0.369916, 0.604044", \ + "0.046322, 0.095211, 0.122638, 0.168407, 0.248093, 0.387005, 0.620773" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0762399, 0.115965, 0.136031, 0.166694, 0.215793, 0.296427, 0.430239", \ + "0.0926742, 0.132954, 0.153302, 0.184074, 0.233299, 0.314061, 0.447894", \ + "0.103876, 0.145407, 0.1658, 0.197065, 0.246296, 0.326997, 0.460865", \ + "0.121023, 0.164334, 0.185552, 0.217293, 0.266715, 0.347533, 0.481504", \ + "0.149614, 0.197171, 0.219486, 0.252626, 0.302856, 0.383817, 0.517865", \ + "0.191554, 0.2456, 0.270326, 0.305667, 0.358698, 0.442607, 0.577545", \ + "0.241488, 0.304839, 0.333445, 0.373809, 0.4319, 0.521408, 0.66352" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168037, 0.0517391, 0.0743967, 0.112337, 0.17803, 0.289087, 0.476456", \ + "0.0179374, 0.0525109, 0.0749836, 0.112866, 0.178081, 0.289206, 0.476714", \ + "0.019299, 0.0540122, 0.0761583, 0.113746, 0.178731, 0.289417, 0.476732", \ + "0.0222322, 0.0565527, 0.0786489, 0.115855, 0.179922, 0.290151, 0.477161", \ + "0.0272916, 0.0626285, 0.084358, 0.120664, 0.183286, 0.292086, 0.478048", \ + "0.035743, 0.073033, 0.095095, 0.130978, 0.192977, 0.299939, 0.483091", \ + "0.048546, 0.090485, 0.11286, 0.15037, 0.212437, 0.320392, 0.502674" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A2 == 1'b0 & A3 == 1'b1 & S1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A2 * A3 * S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0588754, 0.0990485, 0.120768, 0.155415, 0.212384, 0.307046, 0.464557", \ + "0.0810455, 0.122196, 0.144262, 0.178987, 0.235994, 0.330711, 0.488193", \ + "0.0958146, 0.138458, 0.160799, 0.195473, 0.252624, 0.347301, 0.504987", \ + "0.118027, 0.163693, 0.186553, 0.22142, 0.278766, 0.373377, 0.531007", \ + "0.146224, 0.197782, 0.221864, 0.257693, 0.315505, 0.410282, 0.568062", \ + "0.181336, 0.242038, 0.269607, 0.308001, 0.368097, 0.464564, 0.623581", \ + "0.223196, 0.295261, 0.32758, 0.371869, 0.435751, 0.537653, 0.70201" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145545, 0.0570363, 0.0860252, 0.135383, 0.219753, 0.3617, 0.59875", \ + "0.0167244, 0.0582972, 0.0869783, 0.135979, 0.219986, 0.361842, 0.598751", \ + "0.0189414, 0.0599143, 0.0881841, 0.136826, 0.220417, 0.361968, 0.598865", \ + "0.0229967, 0.0633535, 0.0907165, 0.1383, 0.221185, 0.362539, 0.599166", \ + "0.0300896, 0.0707592, 0.0968872, 0.142763, 0.224049, 0.363865, 0.599995", \ + "0.04053, 0.085179, 0.111171, 0.154401, 0.233376, 0.370973, 0.604788", \ + "0.055867, 0.107077, 0.134082, 0.17699, 0.25262, 0.388578, 0.621972" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0664186, 0.106064, 0.126337, 0.156962, 0.206122, 0.286711, 0.420598", \ + "0.0891036, 0.129837, 0.150306, 0.181242, 0.230537, 0.311145, 0.445153", \ + "0.10356, 0.145743, 0.166403, 0.197709, 0.247149, 0.327966, 0.461831", \ + "0.124551, 0.169273, 0.19069, 0.222172, 0.272116, 0.353024, 0.486919", \ + "0.157721, 0.207842, 0.230661, 0.263463, 0.314002, 0.394823, 0.529042", \ + "0.20577, 0.263984, 0.289771, 0.325474, 0.379048, 0.462368, 0.597444", \ + "0.265443, 0.334826, 0.365149, 0.406893, 0.465112, 0.554713, 0.695358" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167427, 0.0515739, 0.0743095, 0.112303, 0.177813, 0.289172, 0.476676", \ + "0.0189338, 0.0531275, 0.0755107, 0.113239, 0.178236, 0.289268, 0.476708", \ + "0.0212323, 0.0549728, 0.0769851, 0.114354, 0.179103, 0.289734, 0.47682", \ + "0.0254295, 0.0583667, 0.0798763, 0.116415, 0.180472, 0.29061, 0.477477", \ + "0.0324833, 0.0661402, 0.0867552, 0.121673, 0.183801, 0.292495, 0.478545", \ + "0.04356, 0.080113, 0.100293, 0.134284, 0.194503, 0.300266, 0.482851", \ + "0.060278, 0.102746, 0.123866, 0.157792, 0.215881, 0.321317, 0.50162" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1 & S1 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1 * !S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.055869, 0.0954218, 0.117006, 0.151459, 0.208702, 0.303281, 0.460684", \ + "0.0766593, 0.117332, 0.139189, 0.17377, 0.230738, 0.325423, 0.483604", \ + "0.0906611, 0.132562, 0.154546, 0.189307, 0.246498, 0.341179, 0.498743", \ + "0.111754, 0.156634, 0.17914, 0.21416, 0.271176, 0.366103, 0.523595", \ + "0.13826, 0.18916, 0.21285, 0.248757, 0.306312, 0.401312, 0.558935", \ + "0.171263, 0.23076, 0.258003, 0.296278, 0.355932, 0.452986, 0.612385", \ + "0.210302, 0.281009, 0.313251, 0.356596, 0.420903, 0.522104, 0.686833" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01408, 0.0566311, 0.0856588, 0.135145, 0.219786, 0.361711, 0.598818", \ + "0.0161993, 0.0578621, 0.0866399, 0.135735, 0.219976, 0.362419, 0.599347", \ + "0.0183686, 0.0592142, 0.0875799, 0.136506, 0.220434, 0.36242, 0.599348", \ + "0.0225004, 0.0625294, 0.0900443, 0.137862, 0.22111, 0.362451, 0.599349", \ + "0.0295443, 0.0699652, 0.0961389, 0.142358, 0.223985, 0.364028, 0.600103", \ + "0.039849, 0.083633, 0.109935, 0.153594, 0.233117, 0.371216, 0.605105", \ + "0.055303, 0.105698, 0.132775, 0.175647, 0.252703, 0.389156, 0.622922" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0610569, 0.100327, 0.120214, 0.150651, 0.199571, 0.280123, 0.413974", \ + "0.0829452, 0.123285, 0.143442, 0.174107, 0.223242, 0.303808, 0.437738", \ + "0.0967006, 0.138275, 0.158967, 0.189814, 0.239056, 0.319819, 0.453779", \ + "0.116613, 0.160917, 0.181784, 0.213339, 0.262707, 0.343664, 0.47777", \ + "0.148158, 0.197542, 0.220052, 0.252504, 0.303177, 0.384188, 0.518102", \ + "0.194126, 0.251731, 0.277147, 0.313057, 0.36552, 0.44863, 0.583958", \ + "0.250946, 0.319347, 0.349439, 0.390256, 0.448657, 0.537102, 0.678925" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0162152, 0.0509321, 0.0735907, 0.111735, 0.177329, 0.289104, 0.476592", \ + "0.0184025, 0.0524859, 0.0747691, 0.112618, 0.177748, 0.289105, 0.476703", \ + "0.0206979, 0.054288, 0.0763804, 0.113749, 0.178708, 0.289547, 0.476704", \ + "0.0248872, 0.0576868, 0.0789431, 0.115864, 0.179921, 0.290525, 0.477386", \ + "0.0320046, 0.065157, 0.0857554, 0.120666, 0.18345, 0.292164, 0.478317", \ + "0.042904, 0.07918, 0.099138, 0.133948, 0.193838, 0.299835, 0.483358", \ + "0.059439, 0.101513, 0.122833, 0.156399, 0.215525, 0.32088, 0.502466" \ + ); + } + } + timing () { + related_pin : "S0"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0588754, 0.0990485, 0.120768, 0.155415, 0.212384, 0.307046, 0.464557", \ + "0.0810455, 0.122196, 0.144262, 0.178987, 0.235994, 0.330711, 0.488193", \ + "0.0958146, 0.138458, 0.160799, 0.195473, 0.252624, 0.347301, 0.504987", \ + "0.118027, 0.163693, 0.186553, 0.22142, 0.278766, 0.373377, 0.531007", \ + "0.146224, 0.197782, 0.221864, 0.257693, 0.315505, 0.410282, 0.568062", \ + "0.181336, 0.242038, 0.269607, 0.308001, 0.368097, 0.464564, 0.623581", \ + "0.223196, 0.295261, 0.32758, 0.371869, 0.435751, 0.537653, 0.70201" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145545, 0.0570363, 0.0860252, 0.135383, 0.219753, 0.3617, 0.59875", \ + "0.0167244, 0.0582972, 0.0869783, 0.135979, 0.219986, 0.361842, 0.598751", \ + "0.0189414, 0.0599143, 0.0881841, 0.136826, 0.220417, 0.361968, 0.598865", \ + "0.0229967, 0.0633535, 0.0907165, 0.1383, 0.221185, 0.362539, 0.599166", \ + "0.0300896, 0.0707592, 0.0968872, 0.142763, 0.224049, 0.363865, 0.599995", \ + "0.04053, 0.085179, 0.111171, 0.154401, 0.233376, 0.370973, 0.604788", \ + "0.055867, 0.107077, 0.134082, 0.17699, 0.25262, 0.388578, 0.621972" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0664186, 0.106064, 0.126337, 0.156962, 0.206122, 0.286711, 0.420598", \ + "0.0891036, 0.129837, 0.150306, 0.181242, 0.230537, 0.311145, 0.445153", \ + "0.10356, 0.145743, 0.166403, 0.197709, 0.247149, 0.327966, 0.461831", \ + "0.124551, 0.169273, 0.19069, 0.222172, 0.272116, 0.353024, 0.486919", \ + "0.157721, 0.207842, 0.230661, 0.263463, 0.314002, 0.394823, 0.529042", \ + "0.20577, 0.263984, 0.289771, 0.325474, 0.379048, 0.462368, 0.597444", \ + "0.265443, 0.334826, 0.365149, 0.406893, 0.465112, 0.554713, 0.695358" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167427, 0.0515739, 0.0743095, 0.112303, 0.177813, 0.289172, 0.476676", \ + "0.0189338, 0.0531275, 0.0755107, 0.113239, 0.178236, 0.289268, 0.476708", \ + "0.0212323, 0.0549728, 0.0769851, 0.114354, 0.179103, 0.289734, 0.47682", \ + "0.0254295, 0.0583667, 0.0798763, 0.116415, 0.180472, 0.29061, 0.477477", \ + "0.0324833, 0.0661402, 0.0867552, 0.121673, 0.183801, 0.292495, 0.478545", \ + "0.04356, 0.080113, 0.100293, 0.134284, 0.194503, 0.300266, 0.482851", \ + "0.060278, 0.102746, 0.123866, 0.157792, 0.215881, 0.321317, 0.50162" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A2 == 1'b1 & A3 == 1'b0 & S1 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A2 * !A3 * S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0837801, 0.12386, 0.145627, 0.180283, 0.237283, 0.332011, 0.489471", \ + "0.104526, 0.144344, 0.166166, 0.200699, 0.257762, 0.352495, 0.511128", \ + "0.116542, 0.156349, 0.178252, 0.212738, 0.269772, 0.364483, 0.522058", \ + "0.132742, 0.172683, 0.194524, 0.229104, 0.286065, 0.380626, 0.538107", \ + "0.158488, 0.199452, 0.221257, 0.255994, 0.313055, 0.407738, 0.565246", \ + "0.196116, 0.237351, 0.259647, 0.294841, 0.352481, 0.447092, 0.604672", \ + "0.238728, 0.281676, 0.304251, 0.339788, 0.397286, 0.491952, 0.649419" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014861, 0.057193, 0.0861642, 0.135496, 0.219802, 0.361707, 0.598897", \ + "0.014862, 0.057194, 0.0861652, 0.135497, 0.219808, 0.362157, 0.599934", \ + "0.014863, 0.057195, 0.0861662, 0.135498, 0.219809, 0.362158, 0.602058", \ + "0.0149263, 0.057196, 0.0861672, 0.135499, 0.21981, 0.362159, 0.602059", \ + "0.0156412, 0.0578233, 0.0865876, 0.135811, 0.219947, 0.36216, 0.60206", \ + "0.017108, 0.058799, 0.087922, 0.137229, 0.220964, 0.362161, 0.602061", \ + "0.020001, 0.061013, 0.089788, 0.138415, 0.221534, 0.362162, 0.602062" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0897363, 0.12942, 0.14959, 0.180331, 0.229388, 0.309968, 0.443838", \ + "0.108861, 0.148324, 0.168385, 0.199088, 0.248167, 0.328783, 0.462674", \ + "0.121155, 0.160741, 0.1807, 0.211366, 0.260337, 0.340951, 0.474763", \ + "0.140572, 0.180232, 0.200463, 0.230885, 0.279984, 0.360398, 0.494123", \ + "0.166456, 0.206345, 0.226722, 0.257379, 0.306756, 0.387407, 0.521176", \ + "0.201797, 0.242697, 0.263369, 0.294494, 0.344659, 0.426328, 0.560377", \ + "0.248654, 0.291247, 0.312143, 0.344296, 0.395116, 0.476403, 0.610527" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0169376, 0.0517601, 0.0743918, 0.112505, 0.17787, 0.289184, 0.476572", \ + "0.0169386, 0.0517611, 0.0743928, 0.112506, 0.177871, 0.289185, 0.477462", \ + "0.0169396, 0.0517621, 0.0743938, 0.112507, 0.177872, 0.289186, 0.477463", \ + "0.0169406, 0.0517638, 0.0743948, 0.112508, 0.177873, 0.289187, 0.477464", \ + "0.0175747, 0.0522016, 0.0748582, 0.112725, 0.178059, 0.289307, 0.477465", \ + "0.019169, 0.053745, 0.076426, 0.114638, 0.180404, 0.291191, 0.477466", \ + "0.022085, 0.0563, 0.078751, 0.117223, 0.181892, 0.291615, 0.477467" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0 & S1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1 * !S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0818036, 0.121204, 0.14291, 0.177492, 0.234492, 0.329233, 0.486811", \ + "0.102099, 0.141432, 0.163153, 0.197665, 0.254707, 0.349426, 0.507007", \ + "0.114005, 0.153337, 0.175059, 0.209546, 0.266537, 0.361154, 0.518798", \ + "0.130029, 0.169279, 0.190989, 0.225529, 0.28283, 0.377415, 0.534929", \ + "0.155343, 0.195115, 0.217036, 0.251768, 0.30886, 0.403564, 0.561034", \ + "0.191432, 0.232051, 0.254433, 0.289411, 0.347363, 0.442192, 0.599732", \ + "0.232968, 0.275564, 0.298145, 0.333969, 0.391618, 0.48623, 0.643852" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0144798, 0.0566853, 0.0858469, 0.135322, 0.21979, 0.361763, 0.598795", \ + "0.0144808, 0.0566863, 0.0858479, 0.135323, 0.219791, 0.361788, 0.598878", \ + "0.0144818, 0.0566873, 0.0858489, 0.135324, 0.219792, 0.361789, 0.599726", \ + "0.0146408, 0.0567161, 0.0858499, 0.135325, 0.219793, 0.36179, 0.599727", \ + "0.0153698, 0.05728, 0.086392, 0.135766, 0.220007, 0.361791, 0.599728", \ + "0.016966, 0.058565, 0.08787, 0.137531, 0.221549, 0.362643, 0.599729", \ + "0.020137, 0.060938, 0.090013, 0.138886, 0.222155, 0.362695, 0.59973" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0854028, 0.124523, 0.144513, 0.174978, 0.224062, 0.304636, 0.438486", \ + "0.104482, 0.143444, 0.163351, 0.193856, 0.242814, 0.323381, 0.457267", \ + "0.11655, 0.155708, 0.175708, 0.205923, 0.254877, 0.335345, 0.469214", \ + "0.135761, 0.174819, 0.195039, 0.225289, 0.274199, 0.35476, 0.488454", \ + "0.161049, 0.20058, 0.220653, 0.251303, 0.300555, 0.381314, 0.515067", \ + "0.195055, 0.235773, 0.256314, 0.287313, 0.337502, 0.419291, 0.553762", \ + "0.240817, 0.283018, 0.303938, 0.335928, 0.387043, 0.46869, 0.602926" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0165391, 0.0510172, 0.0737383, 0.111972, 0.177685, 0.289006, 0.476512", \ + "0.0165401, 0.0510182, 0.0737393, 0.111973, 0.177686, 0.289007, 0.477849", \ + "0.0165411, 0.0510371, 0.0737403, 0.111974, 0.177687, 0.289008, 0.47785", \ + "0.0165421, 0.0510381, 0.0737659, 0.111975, 0.177688, 0.289009, 0.477851", \ + "0.0172687, 0.0516672, 0.074287, 0.112292, 0.177917, 0.289243, 0.477852", \ + "0.018968, 0.053384, 0.07608, 0.114426, 0.180522, 0.291791, 0.478122", \ + "0.022125, 0.055904, 0.078466, 0.117228, 0.182573, 0.292163, 0.478123" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A1 == 1'b0 & A3 == 1'b1 & S0 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A3 * S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.035716, 0.0751841, 0.0968293, 0.131388, 0.18825, 0.28279, 0.440329", \ + "0.0518307, 0.0916315, 0.113567, 0.148402, 0.205483, 0.300159, 0.45767", \ + "0.0620093, 0.102873, 0.124807, 0.159679, 0.216971, 0.31174, 0.469244", \ + "0.0769497, 0.12076, 0.143269, 0.178249, 0.235726, 0.330588, 0.488305", \ + "0.0947997, 0.143939, 0.167669, 0.203612, 0.261962, 0.357756, 0.51579", \ + "0.116132, 0.171683, 0.198187, 0.236923, 0.297074, 0.395824, 0.556759", \ + "0.139372, 0.202556, 0.2336, 0.276324, 0.341756, 0.445139, 0.61308" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123965, 0.0560711, 0.0854938, 0.135177, 0.219563, 0.361567, 0.598613", \ + "0.0147935, 0.0572435, 0.086595, 0.136024, 0.220124, 0.363303, 0.59963", \ + "0.0171721, 0.0584865, 0.0874468, 0.13666, 0.220832, 0.363304, 0.599631", \ + "0.0212686, 0.061886, 0.0899106, 0.138388, 0.221961, 0.363305, 0.599632", \ + "0.0277429, 0.069891, 0.0969845, 0.143906, 0.226145, 0.366037, 0.601325", \ + "0.0384124, 0.0831739, 0.10976, 0.156061, 0.237057, 0.37636, 0.609539", \ + "0.056284, 0.10329, 0.13128, 0.175988, 0.257007, 0.397485, 0.633265" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0403556, 0.0787325, 0.0984128, 0.128806, 0.177593, 0.258105, 0.391878", \ + "0.0572427, 0.0960098, 0.116148, 0.146841, 0.196039, 0.276653, 0.410566", \ + "0.0676327, 0.107391, 0.127447, 0.158252, 0.20791, 0.288783, 0.422771", \ + "0.0824221, 0.125123, 0.145653, 0.17692, 0.226529, 0.307438, 0.441818", \ + "0.107591, 0.15568, 0.177953, 0.21028, 0.261171, 0.342571, 0.476735", \ + "0.147057, 0.202773, 0.227729, 0.263528, 0.316656, 0.401357, 0.538076", \ + "0.200535, 0.265642, 0.295057, 0.335155, 0.393952, 0.484544, 0.629436" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135143, 0.0497876, 0.0727429, 0.111225, 0.176912, 0.28872, 0.476552", \ + "0.0158483, 0.0512851, 0.0742536, 0.112589, 0.177904, 0.289236, 0.476553", \ + "0.0180715, 0.0525511, 0.0752562, 0.113477, 0.179064, 0.290063, 0.477036", \ + "0.0227132, 0.0564262, 0.0778957, 0.11539, 0.180178, 0.29116, 0.478065", \ + "0.0304588, 0.0646455, 0.0857909, 0.121508, 0.184719, 0.293879, 0.479668", \ + "0.042747, 0.079751, 0.099954, 0.135397, 0.197, 0.304887, 0.487634", \ + "0.062357, 0.103629, 0.124949, 0.158761, 0.220388, 0.328442, 0.512393" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A0 == 1'b0 & A2 == 1'b1 & S0 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A2 * !S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0356961, 0.0751232, 0.096767, 0.131344, 0.188214, 0.282748, 0.440285", \ + "0.0518046, 0.0916532, 0.113596, 0.14839, 0.205499, 0.300109, 0.45758", \ + "0.0620231, 0.103057, 0.124774, 0.159675, 0.216966, 0.311771, 0.46933", \ + "0.0769755, 0.12081, 0.143148, 0.178277, 0.235757, 0.330627, 0.488348", \ + "0.0948906, 0.144009, 0.167591, 0.20365, 0.261986, 0.357662, 0.515793", \ + "0.116236, 0.171853, 0.198375, 0.236989, 0.297305, 0.396129, 0.556763", \ + "0.139778, 0.203139, 0.234009, 0.276836, 0.341344, 0.445577, 0.613486" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123833, 0.0560597, 0.0854723, 0.135179, 0.219563, 0.361563, 0.598612", \ + "0.0147707, 0.0572319, 0.0865909, 0.136033, 0.220129, 0.362925, 0.599565", \ + "0.0171524, 0.0587476, 0.0874395, 0.136662, 0.220836, 0.362926, 0.599566", \ + "0.0212638, 0.0618892, 0.0899154, 0.138346, 0.22196, 0.36308, 0.599612", \ + "0.0277271, 0.0699123, 0.0968779, 0.143915, 0.226144, 0.365876, 0.601325", \ + "0.0384629, 0.0831829, 0.109759, 0.156042, 0.237078, 0.376373, 0.609552", \ + "0.056241, 0.103523, 0.131306, 0.176012, 0.256391, 0.39741, 0.633256" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0402768, 0.0786459, 0.0984919, 0.128732, 0.177605, 0.258067, 0.391808", \ + "0.0571335, 0.0959043, 0.116031, 0.146725, 0.195942, 0.276538, 0.410418", \ + "0.0675526, 0.107287, 0.127378, 0.158321, 0.207753, 0.288671, 0.422607", \ + "0.0822226, 0.124968, 0.145501, 0.176763, 0.226306, 0.307278, 0.441653", \ + "0.107329, 0.155353, 0.177654, 0.209975, 0.260469, 0.342213, 0.476523", \ + "0.146761, 0.202389, 0.227397, 0.263071, 0.316261, 0.400966, 0.537892", \ + "0.199948, 0.264991, 0.294482, 0.334771, 0.393019, 0.483575, 0.628469" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135165, 0.049774, 0.072951, 0.11121, 0.176984, 0.288705, 0.476412", \ + "0.015829, 0.0512694, 0.0742401, 0.112567, 0.177916, 0.289227, 0.476505", \ + "0.018016, 0.0525752, 0.0752494, 0.113483, 0.179037, 0.29005, 0.476947", \ + "0.0226759, 0.0563987, 0.0779092, 0.115373, 0.180164, 0.291219, 0.47805", \ + "0.0304448, 0.064641, 0.0858433, 0.121546, 0.184535, 0.293834, 0.479712", \ + "0.042678, 0.079687, 0.099913, 0.135369, 0.197102, 0.304837, 0.487706", \ + "0.062216, 0.103582, 0.124905, 0.159013, 0.219765, 0.328562, 0.51249" \ + ); + } + } + timing () { + related_pin : "S1"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0356961, 0.0751232, 0.096767, 0.131344, 0.188214, 0.282748, 0.440285", \ + "0.0518046, 0.0916532, 0.113596, 0.14839, 0.205499, 0.300109, 0.45758", \ + "0.0620231, 0.103057, 0.124774, 0.159675, 0.216966, 0.311771, 0.46933", \ + "0.0769755, 0.12081, 0.143148, 0.178277, 0.235757, 0.330627, 0.488348", \ + "0.0948906, 0.144009, 0.167591, 0.20365, 0.261986, 0.357662, 0.515793", \ + "0.116236, 0.171853, 0.198375, 0.236989, 0.297305, 0.396129, 0.556763", \ + "0.139778, 0.203139, 0.234009, 0.276836, 0.341344, 0.445577, 0.613486" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123833, 0.0560597, 0.0854723, 0.135179, 0.219563, 0.361563, 0.598612", \ + "0.0147707, 0.0572319, 0.0865909, 0.136033, 0.220129, 0.362925, 0.599565", \ + "0.0171524, 0.0587476, 0.0874395, 0.136662, 0.220836, 0.362926, 0.599566", \ + "0.0212638, 0.0618892, 0.0899154, 0.138346, 0.22196, 0.36308, 0.599612", \ + "0.0277271, 0.0699123, 0.0968779, 0.143915, 0.226144, 0.365876, 0.601325", \ + "0.0384629, 0.0831829, 0.109759, 0.156042, 0.237078, 0.376373, 0.609552", \ + "0.056241, 0.103523, 0.131306, 0.176012, 0.256391, 0.39741, 0.633256" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0403556, 0.0787325, 0.0984128, 0.128806, 0.177593, 0.258105, 0.391878", \ + "0.0572427, 0.0960098, 0.116148, 0.146841, 0.196039, 0.276653, 0.410566", \ + "0.0676327, 0.107391, 0.127447, 0.158252, 0.20791, 0.288783, 0.422771", \ + "0.0824221, 0.125123, 0.145653, 0.17692, 0.226529, 0.307438, 0.441818", \ + "0.107591, 0.15568, 0.177953, 0.21028, 0.261171, 0.342571, 0.476735", \ + "0.147057, 0.202773, 0.227729, 0.263528, 0.316656, 0.401357, 0.538076", \ + "0.200535, 0.265642, 0.295057, 0.335155, 0.393952, 0.484544, 0.629436" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135143, 0.0497876, 0.0727429, 0.111225, 0.176912, 0.28872, 0.476552", \ + "0.0158483, 0.0512851, 0.0742536, 0.112589, 0.177904, 0.289236, 0.476553", \ + "0.0180715, 0.0525511, 0.0752562, 0.113477, 0.179064, 0.290063, 0.477036", \ + "0.0227132, 0.0564262, 0.0778957, 0.11539, 0.180178, 0.29116, 0.478065", \ + "0.0304588, 0.0646455, 0.0857909, 0.121508, 0.184719, 0.293879, 0.479668", \ + "0.042747, 0.079751, 0.099954, 0.135397, 0.197, 0.304887, 0.487634", \ + "0.062357, 0.103629, 0.124949, 0.158761, 0.220388, 0.328442, 0.512393" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A1 == 1'b1 & A3 == 1'b0 & S0 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A3 * S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0461906, 0.0851781, 0.106883, 0.141297, 0.198501, 0.293006, 0.450478", \ + "0.0648422, 0.102977, 0.124382, 0.158656, 0.215498, 0.310079, 0.467604", \ + "0.0743346, 0.112525, 0.133963, 0.168175, 0.224833, 0.31923, 0.476661", \ + "0.08583, 0.124208, 0.145675, 0.180006, 0.236715, 0.331013, 0.488117", \ + "0.103027, 0.141686, 0.163567, 0.198688, 0.25631, 0.351186, 0.508484", \ + "0.127567, 0.167015, 0.189375, 0.225569, 0.28494, 0.382517, 0.542441", \ + "0.153502, 0.194977, 0.217637, 0.254698, 0.315767, 0.416818, 0.582224" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.013241, 0.0559811, 0.0853319, 0.134944, 0.219701, 0.361618, 0.598735", \ + "0.013242, 0.0559821, 0.0853329, 0.134945, 0.219702, 0.361843, 0.599496", \ + "0.013243, 0.0559831, 0.0853339, 0.134946, 0.219703, 0.361844, 0.599497", \ + "0.013244, 0.0559841, 0.0853349, 0.134947, 0.219704, 0.361845, 0.599498", \ + "0.0140112, 0.0569341, 0.0867341, 0.136679, 0.220943, 0.361869, 0.599499", \ + "0.015922, 0.058399, 0.088948, 0.140512, 0.226525, 0.368392, 0.603436", \ + "0.019189, 0.060846, 0.091734, 0.144248, 0.233018, 0.379113, 0.617887" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0492288, 0.0883912, 0.108537, 0.138924, 0.188054, 0.268623, 0.402403", \ + "0.0678426, 0.105672, 0.125618, 0.155814, 0.204765, 0.285183, 0.418986", \ + "0.0782879, 0.116119, 0.135828, 0.166098, 0.214767, 0.294997, 0.428665", \ + "0.0930549, 0.130949, 0.150764, 0.181269, 0.229991, 0.310247, 0.443521", \ + "0.110925, 0.149053, 0.169091, 0.20016, 0.250145, 0.33165, 0.465719", \ + "0.134375, 0.172559, 0.193269, 0.225289, 0.277506, 0.362384, 0.500791", \ + "0.164783, 0.204343, 0.225242, 0.258149, 0.312228, 0.400703, 0.545212" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149097, 0.0508073, 0.0738683, 0.111907, 0.177388, 0.288915, 0.476387", \ + "0.0149107, 0.0508083, 0.0738693, 0.111908, 0.177389, 0.288916, 0.476495", \ + "0.0149117, 0.0508093, 0.0738703, 0.111909, 0.17739, 0.288917, 0.476496", \ + "0.0149127, 0.0508103, 0.0738713, 0.11191, 0.177391, 0.288918, 0.476497", \ + "0.0149137, 0.0510768, 0.0742848, 0.113345, 0.179383, 0.29042, 0.47682", \ + "0.0163612, 0.052274, 0.076468, 0.117239, 0.186043, 0.299675, 0.485905", \ + "0.01942, 0.054487, 0.079039, 0.12133, 0.193031, 0.311686, 0.504922" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A0 == 1'b1 & A2 == 1'b0 & S0 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A2 * !S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0461206, 0.0850882, 0.10674, 0.141196, 0.198378, 0.292816, 0.450291", \ + "0.0648093, 0.102923, 0.124354, 0.158583, 0.21541, 0.310019, 0.467581", \ + "0.0743566, 0.112472, 0.133951, 0.168153, 0.224758, 0.319144, 0.476591", \ + "0.0858223, 0.124161, 0.145638, 0.179946, 0.236649, 0.330966, 0.488075", \ + "0.103253, 0.141804, 0.163708, 0.198823, 0.256485, 0.351324, 0.508627", \ + "0.127595, 0.166957, 0.189379, 0.225295, 0.284869, 0.382438, 0.542139", \ + "0.153673, 0.19509, 0.218058, 0.254822, 0.315859, 0.416791, 0.582298" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0131923, 0.0559694, 0.0853056, 0.134949, 0.219709, 0.361542, 0.598857", \ + "0.0131933, 0.0559704, 0.0853066, 0.13495, 0.21971, 0.361837, 0.599123", \ + "0.0131943, 0.0559714, 0.0853076, 0.134951, 0.219711, 0.361838, 0.599124", \ + "0.0131953, 0.0559724, 0.0853086, 0.134952, 0.219712, 0.361839, 0.599125", \ + "0.0139919, 0.0568833, 0.0866653, 0.136675, 0.220938, 0.361851, 0.599126", \ + "0.015921, 0.058429, 0.08897, 0.140518, 0.226621, 0.368384, 0.603216", \ + "0.019197, 0.060833, 0.091705, 0.144231, 0.232912, 0.379116, 0.617881" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0493011, 0.0883592, 0.108637, 0.138997, 0.188105, 0.268665, 0.402504", \ + "0.0679306, 0.105735, 0.125624, 0.155819, 0.204658, 0.285129, 0.419091", \ + "0.0782695, 0.116078, 0.135873, 0.166114, 0.214788, 0.295, 0.428671", \ + "0.0930565, 0.130993, 0.15081, 0.181317, 0.230031, 0.310297, 0.443587", \ + "0.110916, 0.149059, 0.169118, 0.200192, 0.250201, 0.331789, 0.465677", \ + "0.134364, 0.172314, 0.19294, 0.22488, 0.277162, 0.362088, 0.500317", \ + "0.164853, 0.204431, 0.225232, 0.258273, 0.311991, 0.400621, 0.54507" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149354, 0.0507171, 0.0738797, 0.111945, 0.177392, 0.288965, 0.476828", \ + "0.0149364, 0.0507181, 0.0738807, 0.111946, 0.177393, 0.288966, 0.476829", \ + "0.0149374, 0.0507191, 0.0738817, 0.111947, 0.177394, 0.288967, 0.47683", \ + "0.0149384, 0.0507201, 0.0738827, 0.111948, 0.177395, 0.288968, 0.476831", \ + "0.0149394, 0.0510885, 0.0743515, 0.113296, 0.179407, 0.290573, 0.476832", \ + "0.0163618, 0.052377, 0.076509, 0.117273, 0.186065, 0.299695, 0.485937", \ + "0.019425, 0.054502, 0.079084, 0.121346, 0.193014, 0.311697, 0.50493" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0243461, 0.0238161, 0.0238052, 0.0237508, 0.0235817, 0.0232746, 0.0226728", \ + "0.0250952, 0.0242332, 0.0241625, 0.0242182, 0.0242575, 0.0237073, 0.0229155", \ + "0.0269189, 0.0257376, 0.0256176, 0.0254214, 0.0255594, 0.0250087, 0.0248816", \ + "0.03218, 0.0302689, 0.0301341, 0.0299047, 0.0295366, 0.0291382, 0.029921", \ + "0.0442372, 0.0412587, 0.0407121, 0.0403023, 0.0399501, 0.0396137, 0.0382552", \ + "0.0698705, 0.0653884, 0.0643919, 0.0635253, 0.0625288, 0.0619677, 0.0615469", \ + "0.121744, 0.114762, 0.113483, 0.111863, 0.110008, 0.109106, 0.107863" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0355183, 0.0346086, 0.0346014, 0.0345514, 0.0343751, 0.0340942, 0.0334815", \ + "0.0364156, 0.034989, 0.0349084, 0.034979, 0.0347624, 0.0346003, 0.0337687", \ + "0.0383291, 0.0365956, 0.0365165, 0.0363235, 0.0362604, 0.0359253, 0.0352401", \ + "0.0434296, 0.0410511, 0.0407899, 0.0407019, 0.0405027, 0.0399993, 0.0406552", \ + "0.0555768, 0.0519045, 0.0514831, 0.051083, 0.0509706, 0.0505506, 0.0499968", \ + "0.0812208, 0.0758428, 0.0750566, 0.0741156, 0.0739422, 0.0734235, 0.0732436", \ + "0.132611, 0.124912, 0.123281, 0.121961, 0.12069, 0.119311, 0.119095" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0344006, 0.0339272, 0.033916, 0.0338882, 0.0337413, 0.0334355, 0.0326759", \ + "0.0349627, 0.0340795, 0.03407, 0.0342726, 0.0341867, 0.0338049, 0.0328243", \ + "0.0368312, 0.035667, 0.0355657, 0.0353656, 0.0353452, 0.0349247, 0.0347882", \ + "0.0419199, 0.0400448, 0.0399438, 0.0397347, 0.0394029, 0.0389379, 0.038895", \ + "0.054027, 0.0511339, 0.0505721, 0.0500725, 0.0497676, 0.0494113, 0.0480953", \ + "0.079703, 0.0752067, 0.0741651, 0.0733884, 0.0723966, 0.0719356, 0.0713942", \ + "0.131675, 0.124706, 0.123323, 0.121466, 0.120014, 0.118733, 0.11766" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0386405, 0.0375943, 0.0375901, 0.0375437, 0.0372905, 0.0371125, 0.0364441", \ + "0.039477, 0.0380404, 0.0379477, 0.0380062, 0.0378012, 0.0380721, 0.0367839", \ + "0.0414576, 0.0396992, 0.0396672, 0.03937, 0.0393664, 0.039032, 0.039547", \ + "0.0466209, 0.0441999, 0.0439933, 0.0438671, 0.0436219, 0.0432538, 0.0439332", \ + "0.0587347, 0.0551366, 0.0547895, 0.0542539, 0.0541925, 0.053899, 0.0533802", \ + "0.0842984, 0.0789698, 0.0781668, 0.0771905, 0.0768487, 0.0764573, 0.0762595", \ + "0.135511, 0.12801, 0.126439, 0.125006, 0.123849, 0.122469, 0.121969" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0247228, 0.0241596, 0.0241881, 0.0241116, 0.0239776, 0.023648, 0.0230475", \ + "0.0255573, 0.0245651, 0.0245329, 0.0247762, 0.0246452, 0.0239496, 0.023272", \ + "0.0273327, 0.0260941, 0.0259414, 0.0257898, 0.0259113, 0.0253434, 0.0247753", \ + "0.0325145, 0.0306514, 0.0302483, 0.030149, 0.0297846, 0.0293438, 0.0294121", \ + "0.0444676, 0.0414708, 0.0409437, 0.0404709, 0.0400803, 0.0396518, 0.0388142", \ + "0.0700909, 0.065521, 0.0644867, 0.0635896, 0.0626093, 0.0621387, 0.0614028", \ + "0.121902, 0.11491, 0.113461, 0.111898, 0.110128, 0.109041, 0.107779" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0387065, 0.0375378, 0.0375508, 0.0373989, 0.0371687, 0.0369191, 0.0363317", \ + "0.0395252, 0.0379715, 0.0378715, 0.0379111, 0.0376712, 0.0379383, 0.0366994", \ + "0.0414754, 0.039611, 0.0394651, 0.0392393, 0.0392719, 0.0391639, 0.0391322", \ + "0.0465422, 0.0439863, 0.043831, 0.0437436, 0.0434537, 0.0428492, 0.0432822", \ + "0.0586159, 0.054816, 0.054367, 0.0539883, 0.0537829, 0.0534002, 0.0527139", \ + "0.0839858, 0.0785845, 0.0780196, 0.076893, 0.0762991, 0.0759193, 0.0752356", \ + "0.135317, 0.127557, 0.126021, 0.124541, 0.123253, 0.121799, 0.121647" \ + ); + } + } + internal_power () { + related_pin : "A3"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0259795, 0.0254278, 0.0254122, 0.0253914, 0.0251943, 0.0248774, 0.024282", \ + "0.0267814, 0.025758, 0.0257535, 0.0259925, 0.0254752, 0.0253508, 0.0245169", \ + "0.0285682, 0.0273196, 0.0271539, 0.027102, 0.0271465, 0.0268654, 0.0262284", \ + "0.0337281, 0.0318823, 0.0315218, 0.031418, 0.0311815, 0.0305953, 0.0310019", \ + "0.0458275, 0.0427551, 0.04219, 0.041808, 0.0414603, 0.0409497, 0.0396725", \ + "0.0713929, 0.0667624, 0.0659229, 0.0649874, 0.063932, 0.0634914, 0.0628729", \ + "0.123032, 0.116286, 0.114792, 0.113289, 0.111407, 0.110362, 0.109317" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0267182, 0.0255489, 0.025533, 0.0254216, 0.0251889, 0.0250448, 0.024293", \ + "0.0275047, 0.0259136, 0.02585, 0.0258793, 0.0256307, 0.0258714, 0.0246661", \ + "0.0294594, 0.0276024, 0.0274476, 0.0272426, 0.0272246, 0.0272617, 0.0273858", \ + "0.0345229, 0.0319172, 0.0317724, 0.0317401, 0.0314072, 0.0307605, 0.0315247", \ + "0.0466256, 0.0428863, 0.0424862, 0.0422448, 0.041882, 0.0414895, 0.0407816", \ + "0.0720155, 0.0666172, 0.0660424, 0.064961, 0.0643364, 0.0639604, 0.0632414", \ + "0.123493, 0.115796, 0.114074, 0.112908, 0.111575, 0.110187, 0.109725" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(A2 * !A3 * S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0305114, 0.0297056, 0.0296584, 0.0295959, 0.0294284, 0.0290897, 0.0285246", \ + "0.028018, 0.0273097, 0.0273269, 0.0274182, 0.0274023, 0.0268494, 0.0266442", \ + "0.025706, 0.0250593, 0.0250574, 0.0249171, 0.0250114, 0.0245357, 0.0246793", \ + "0.0206788, 0.019991, 0.0200099, 0.0200674, 0.0198098, 0.0199125, 0.0190034", \ + "0.0113999, 0.0104718, 0.0104846, 0.0105132, 0.0105503, 0.0103799, 0.009608", \ + "-0.006692, -0.008079, -0.00821, -0.00838099, -0.008246, -0.008383, -0.008389", \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0481469, 0.0468818, 0.0468385, 0.0467777, 0.0465636, 0.0462006, 0.0456335", \ + "0.0476804, 0.0464697, 0.0464239, 0.0465625, 0.0464617, 0.0458398, 0.0456625", \ + "0.045302, 0.0442178, 0.0441834, 0.044044, 0.0439114, 0.0439329, 0.0428574", \ + "0.0405433, 0.0393629, 0.0394211, 0.0393986, 0.0394013, 0.0389424, 0.0388452", \ + "0.031192, 0.0297375, 0.0297857, 0.0296465, 0.0298155, 0.0299133, 0.0293026", \ + "0.012999, 0.011207, 0.011035, 0.010839, 0.010948, 0.010982, 0.010975", \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(A0 * !A1 * !S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0303429, 0.0296212, 0.0295838, 0.0295365, 0.0293771, 0.0290448, 0.028406", \ + "0.0278874, 0.0272219, 0.027254, 0.0274823, 0.0272843, 0.0266859, 0.0261017", \ + "0.025631, 0.0250653, 0.0249879, 0.0249107, 0.0251308, 0.0244467, 0.0240134", \ + "0.0204943, 0.0199223, 0.0199533, 0.0200073, 0.0198434, 0.0198933, 0.0188086", \ + "0.0112002, 0.0102553, 0.0103261, 0.0103687, 0.0103934, 0.0101511, 0.0095785", \ + "-0.00703499, -0.008379, -0.008434, -0.00877099, -0.008626, -0.00875799, -0.00881", \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0482078, 0.0470668, 0.0470734, 0.046967, 0.0467941, 0.0465027, 0.0459181", \ + "0.0480596, 0.0469615, 0.0469232, 0.0470403, 0.0469358, 0.0463307, 0.0463641", \ + "0.0455827, 0.0446468, 0.0446424, 0.0443923, 0.0442994, 0.0445477, 0.0432862", \ + "0.0408588, 0.039697, 0.039864, 0.0398649, 0.039859, 0.0392958, 0.039189", \ + "0.031421, 0.0300727, 0.0301366, 0.0300109, 0.0301787, 0.0302326, 0.0296728", \ + "0.013143, 0.011561, 0.011357, 0.011205, 0.011254, 0.011185, 0.011318", \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(!A2 * A3 * S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0232769, 0.0228248, 0.0227878, 0.0227827, 0.0226109, 0.0222491, 0.0216113", \ + "0.0249582, 0.0236982, 0.0236833, 0.0237373, 0.0237081, 0.0230605, 0.0223155", \ + "0.0273598, 0.0257784, 0.0256142, 0.0253881, 0.0254537, 0.0248668, 0.0245245", \ + "0.03301, 0.030493, 0.0301947, 0.0299517, 0.0296781, 0.0290806, 0.0288685", \ + "0.0452761, 0.0414499, 0.0407689, 0.0402777, 0.0398963, 0.0395021, 0.0386982", \ + "0.070434, 0.064594, 0.063472, 0.062377, 0.061389, 0.060886, 0.060273", \ + "0.120403, 0.11199, 0.110026, 0.10809, 0.105716, 0.10447, 0.103242" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0222664, 0.0211673, 0.0211857, 0.0211042, 0.0209516, 0.0205565, 0.019742", \ + "0.0242661, 0.0223669, 0.0222906, 0.0223427, 0.0220789, 0.0222413, 0.0209105", \ + "0.027023, 0.0246271, 0.0244461, 0.0242002, 0.0241567, 0.0238111, 0.0231759", \ + "0.0327873, 0.0294784, 0.029263, 0.028982, 0.0287654, 0.028269, 0.0279622", \ + "0.0450991, 0.0403771, 0.0398771, 0.0392141, 0.0390241, 0.0387001, 0.0377661", \ + "0.070033, 0.063255, 0.062136, 0.060922, 0.060407, 0.059884, 0.0594", \ + "0.119219, 0.109937, 0.107825, 0.106097, 0.104271, 0.103025, 0.102083" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(!A0 * A1 * !S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0231381, 0.0228567, 0.0228171, 0.0227649, 0.0227244, 0.0222891, 0.0216366", \ + "0.0247039, 0.0236754, 0.0236138, 0.0238579, 0.0235585, 0.0230622, 0.0225689", \ + "0.0269729, 0.0254598, 0.0252886, 0.0250758, 0.0251415, 0.0245271, 0.0243192", \ + "0.0324558, 0.0300371, 0.0297578, 0.0296287, 0.0292948, 0.0288855, 0.029462", \ + "0.04474, 0.0409679, 0.0403171, 0.0398875, 0.0394744, 0.0390552, 0.0379513", \ + "0.069803, 0.063762, 0.062869, 0.061773, 0.060791, 0.060418, 0.059985", \ + "0.119197, 0.110743, 0.109166, 0.107325, 0.105158, 0.103916, 0.102726" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0228135, 0.0218493, 0.0218198, 0.0217923, 0.0215685, 0.0211689, 0.0203734", \ + "0.0247291, 0.0230804, 0.0229489, 0.0229675, 0.0227094, 0.0230657, 0.0216036", \ + "0.0275111, 0.0253698, 0.0252951, 0.0249902, 0.0248244, 0.0244, 0.0247018", \ + "0.0330034, 0.0299878, 0.0296573, 0.0295888, 0.0292138, 0.0286749, 0.0296015", \ + "0.0455021, 0.0408861, 0.0403971, 0.0398241, 0.0398751, 0.0395291, 0.0386061", \ + "0.070299, 0.06377, 0.062635, 0.061717, 0.060881, 0.060315, 0.059984", \ + "0.119966, 0.110633, 0.108759, 0.106878, 0.105441, 0.103578, 0.103144" \ + ); + } + } + internal_power () { + related_pin : "S0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0231381, 0.0228567, 0.0228171, 0.0227649, 0.0227244, 0.0222891, 0.0216366", \ + "0.0247039, 0.0236754, 0.0236138, 0.0238579, 0.0235585, 0.0230622, 0.0225689", \ + "0.0269729, 0.0254598, 0.0252886, 0.0250758, 0.0251415, 0.0245271, 0.0243192", \ + "0.0324558, 0.0300371, 0.0297578, 0.0296287, 0.0292948, 0.0288855, 0.029462", \ + "0.04474, 0.0409679, 0.0403171, 0.0398875, 0.0394744, 0.0390552, 0.0379513", \ + "0.069803, 0.063762, 0.062869, 0.061773, 0.060791, 0.060418, 0.059985", \ + "0.119197, 0.110743, 0.109166, 0.107325, 0.105158, 0.103916, 0.102726" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0222664, 0.0211673, 0.0211857, 0.0211042, 0.0209516, 0.0205565, 0.019742", \ + "0.0242661, 0.0223669, 0.0222906, 0.0223427, 0.0220789, 0.0222413, 0.0209105", \ + "0.027023, 0.0246271, 0.0244461, 0.0242002, 0.0241567, 0.0238111, 0.0231759", \ + "0.0327873, 0.0294784, 0.029263, 0.028982, 0.0287654, 0.028269, 0.0279622", \ + "0.0450991, 0.0403771, 0.0398771, 0.0392141, 0.0390241, 0.0387001, 0.0377661", \ + "0.070033, 0.063255, 0.062136, 0.060922, 0.060407, 0.059884, 0.0594", \ + "0.119219, 0.109937, 0.107825, 0.106097, 0.104271, 0.103025, 0.102083" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(A1 * !A3 * S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.016434, 0.0163118, 0.0163794, 0.0163529, 0.0163768, 0.0159723, 0.0153883", \ + "0.017732, 0.0174353, 0.0174563, 0.0176892, 0.0173315, 0.0170472, 0.0166645", \ + "0.0189274, 0.0188691, 0.0188671, 0.0187773, 0.0188296, 0.0182905, 0.0176139", \ + "0.021471, 0.0210791, 0.0211054, 0.021143, 0.0209518, 0.0208965, 0.0198814", \ + "0.0275005, 0.0266567, 0.0265855, 0.026709, 0.0268036, 0.0267574, 0.0260529", \ + "0.0432708, 0.0417058, 0.0415748, 0.0413458, 0.0414388, 0.0414358, 0.0417528", \ + "0.074046, 0.072213, 0.071847, 0.070894, 0.070698, 0.07042, 0.070306" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0205799, 0.0200914, 0.0201779, 0.0200617, 0.0199651, 0.0197231, 0.0189608", \ + "0.0216815, 0.0212542, 0.0213509, 0.0214129, 0.0212625, 0.0219441, 0.0202394", \ + "0.0229611, 0.0225125, 0.0226193, 0.0226249, 0.0223345, 0.0229583, 0.0215497", \ + "0.0252942, 0.0246076, 0.0246519, 0.0247278, 0.024744, 0.0242702, 0.0241044", \ + "0.0312644, 0.0300876, 0.0300075, 0.0300567, 0.0301633, 0.0303281, 0.0302601", \ + "0.0459903, 0.0441873, 0.0441383, 0.0437483, 0.0438323, 0.0438693, 0.0442043", \ + "0.077066, 0.074502, 0.074209, 0.073947, 0.073763, 0.073086, 0.073017" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(A0 * !A2 * !S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0164177, 0.0163057, 0.0163652, 0.0163551, 0.0163596, 0.0158224, 0.0153108", \ + "0.017663, 0.0174879, 0.0174405, 0.0176671, 0.0173051, 0.0170116, 0.0164486", \ + "0.0188148, 0.0186476, 0.0187045, 0.0185656, 0.0188024, 0.0180903, 0.0173894", \ + "0.0214583, 0.0210762, 0.0211104, 0.0211242, 0.0209298, 0.0209553, 0.0198937", \ + "0.0274568, 0.0266443, 0.0265856, 0.0266877, 0.0267999, 0.0267038, 0.0260363", \ + "0.0433878, 0.0417518, 0.0416198, 0.0413098, 0.0415258, 0.0415138, 0.0417108", \ + "0.074342, 0.072078, 0.0716, 0.071336, 0.07105, 0.070754, 0.070711" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0205413, 0.0200633, 0.0201908, 0.020057, 0.0199894, 0.0197276, 0.0191191", \ + "0.0216909, 0.0213302, 0.0213263, 0.0213809, 0.0212017, 0.0210279, 0.0202707", \ + "0.0229414, 0.022448, 0.0225882, 0.0225724, 0.0222954, 0.0227503, 0.0214924", \ + "0.0252538, 0.0245824, 0.0246412, 0.0247251, 0.0247179, 0.024377, 0.024176", \ + "0.0311931, 0.0300168, 0.0299151, 0.0298895, 0.0301331, 0.030285, 0.0301073", \ + "0.0464053, 0.0444873, 0.0441753, 0.0438063, 0.0439243, 0.0439853, 0.0443213", \ + "0.077504, 0.074585, 0.074316, 0.074106, 0.073658, 0.073105, 0.073168" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(!A1 * A3 * S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0102536, 0.0105693, 0.0105932, 0.0105719, 0.0103381, 0.00996912, 0.00928342", \ + "0.0118957, 0.0114159, 0.0114004, 0.0116146, 0.0111874, 0.0112363, 0.0105546", \ + "0.0142647, 0.0132364, 0.0132227, 0.0130699, 0.0131119, 0.012526, 0.0123598", \ + "0.0193287, 0.0171607, 0.01705, 0.0169362, 0.0167491, 0.0162818, 0.0165236", \ + "0.0305654, 0.0267154, 0.0263286, 0.0258093, 0.0255743, 0.0253627, 0.0244127", \ + "0.0524043, 0.0464173, 0.0451523, 0.0444093, 0.0433873, 0.0427923, 0.0423293", \ + "0.094419, 0.084989, 0.083375, 0.081624, 0.079873, 0.078569, 0.076971" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0091698, 0.009105, 0.0091031, 0.0091131, 0.008909, 0.0086348, 0.007901", \ + "0.0109086, 0.0100351, 0.0099904, 0.0099695, 0.0099074, 0.0097981, 0.0087365", \ + "0.0133891, 0.0119678, 0.0119494, 0.011721, 0.0116771, 0.0116565, 0.0109286", \ + "0.0186759, 0.0161518, 0.0158729, 0.0159616, 0.0158822, 0.0152367, 0.0159874", \ + "0.0298986, 0.0254654, 0.0250614, 0.0247477, 0.0246515, 0.0244867, 0.0236136", \ + "0.0517368, 0.0447698, 0.0436548, 0.0428468, 0.0420198, 0.0415628, 0.0411088", \ + "0.094694, 0.084587, 0.082406, 0.080406, 0.078986, 0.077036, 0.076274" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(!A0 * A2 * !S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0102514, 0.0105853, 0.0105814, 0.0105552, 0.0103475, 0.00995192, 0.00929112", \ + "0.011901, 0.0114237, 0.0114392, 0.0115201, 0.0111987, 0.0110935, 0.0104492", \ + "0.0142611, 0.0133468, 0.0132485, 0.0130551, 0.0131744, 0.012608, 0.0130278", \ + "0.0193428, 0.0171669, 0.0170538, 0.0169409, 0.0167862, 0.0163506, 0.016062", \ + "0.0306466, 0.0268067, 0.0263327, 0.0258687, 0.0256247, 0.025259, 0.0246831", \ + "0.0524723, 0.0464903, 0.0451913, 0.0444233, 0.0434403, 0.0428993, 0.0423693", \ + "0.094736, 0.085086, 0.083745, 0.081794, 0.079771, 0.078583, 0.077047" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0105292, 0.0104232, 0.0105434, 0.0104517, 0.0102576, 0.0100052, 0.0092327", \ + "0.0122149, 0.0113565, 0.0113099, 0.011302, 0.011246, 0.0111171, 0.0100889", \ + "0.0147064, 0.0131891, 0.0131678, 0.0129868, 0.0128737, 0.0132643, 0.01181", \ + "0.0200096, 0.017494, 0.0172318, 0.0172792, 0.0172207, 0.0165735, 0.01719", \ + "0.0310799, 0.0265526, 0.0261564, 0.0258691, 0.025617, 0.0255877, 0.0245351", \ + "0.0534038, 0.0463338, 0.0452848, 0.0444718, 0.0436068, 0.0431278, 0.0428028", \ + "0.09683, 0.087014, 0.084704, 0.08272, 0.081054, 0.079327, 0.078544" \ + ); + } + } + internal_power () { + related_pin : "S1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0102514, 0.0105853, 0.0105814, 0.0105552, 0.0103475, 0.00995192, 0.00929112", \ + "0.011901, 0.0114237, 0.0114392, 0.0115201, 0.0111987, 0.0110935, 0.0104492", \ + "0.0142611, 0.0133468, 0.0132485, 0.0130551, 0.0131744, 0.012608, 0.0130278", \ + "0.0193428, 0.0171669, 0.0170538, 0.0169409, 0.0167862, 0.0163506, 0.016062", \ + "0.0306466, 0.0268067, 0.0263327, 0.0258687, 0.0256247, 0.025259, 0.0246831", \ + "0.0524723, 0.0464903, 0.0451913, 0.0444233, 0.0434403, 0.0428993, 0.0423693", \ + "0.094736, 0.085086, 0.083745, 0.081794, 0.079771, 0.078583, 0.077047" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0105292, 0.0104232, 0.0105434, 0.0104517, 0.0102576, 0.0100052, 0.0092327", \ + "0.0122149, 0.0113565, 0.0113099, 0.011302, 0.011246, 0.0111171, 0.0100889", \ + "0.0147064, 0.0131891, 0.0131678, 0.0129868, 0.0128737, 0.0132643, 0.01181", \ + "0.0200096, 0.017494, 0.0172318, 0.0172792, 0.0172207, 0.0165735, 0.01719", \ + "0.0310799, 0.0265526, 0.0261564, 0.0258691, 0.025617, 0.0255877, 0.0245351", \ + "0.0534038, 0.0463338, 0.0452848, 0.0444718, 0.0436068, 0.0431278, 0.0428028", \ + "0.09683, 0.087014, 0.084704, 0.08272, 0.081054, 0.079327, 0.078544" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00320385; + rise_capacitance : 0.00323891; + rise_capacitance_range (0.00323891, 0.00323891); + fall_capacitance : 0.00316879; + fall_capacitance_range (0.00316879, 0.00316879); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00317831; + rise_capacitance : 0.00321631; + rise_capacitance_range (0.00321631, 0.00321631); + fall_capacitance : 0.0031403; + fall_capacitance_range (0.0031403, 0.0031403); + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00319542; + rise_capacitance : 0.00323604; + rise_capacitance_range (0.00323604, 0.00323604); + fall_capacitance : 0.0031548; + fall_capacitance_range (0.0031548, 0.0031548); + } + pin (A3) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00328522; + rise_capacitance : 0.00331951; + rise_capacitance_range (0.00331951, 0.00331951); + fall_capacitance : 0.00325094; + fall_capacitance_range (0.00325094, 0.00325094); + } + pin (S0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00916756; + rise_capacitance : 0.0109274; + rise_capacitance_range (0.0109274, 0.0109274); + fall_capacitance : 0.00740774; + fall_capacitance_range (0.00740774, 0.00740774); + internal_power () { + when : "(A2 * A3 * S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00700774, 0.0101837, 0.0153002, 0.0267249, 0.0511476, 0.100842, 0.202137" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0191268, 0.0233544, 0.0285647, 0.0397304, 0.0635939, 0.112789, 0.212946" \ + ); + } + } + internal_power () { + when : "(A0 * A1 * !S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00796991, 0.010935, 0.0159052, 0.0270955, 0.0513192, 0.100823, 0.201727" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0222705, 0.026451, 0.0316273, 0.0426744, 0.0665292, 0.115472, 0.21568" \ + ); + } + } + internal_power () { + when : "(!A2 * !A3 * S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0100813, 0.0132719, 0.0184646, 0.0298915, 0.0542702, 0.103999, 0.205181" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0180488, 0.022144, 0.0273595, 0.0386168, 0.0622389, 0.111416, 0.211522" \ + ); + } + } + internal_power () { + when : "(!A0 * !A1 * !S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0115518, 0.0145551, 0.0195422, 0.0308179, 0.0551391, 0.104619, 0.205357" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0123556, 0.0161375, 0.0214925, 0.0323775, 0.0561629, 0.105155, 0.205486" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0100813, 0.0132719, 0.0184646, 0.0298915, 0.0542702, 0.103999, 0.205181" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0180488, 0.022144, 0.0273595, 0.0386168, 0.0622389, 0.111416, 0.211522" \ + ); + } + } + } + pin (S1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00557659; + rise_capacitance : 0.00565713; + rise_capacitance_range (0.00565713, 0.00565713); + fall_capacitance : 0.00549605; + fall_capacitance_range (0.00549605, 0.00549605); + internal_power () { + when : "(A1 * A3 * S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00327997, 0.00544447, 0.00846107, 0.0150246, 0.0288994, 0.0571519, 0.11447" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00785268, 0.0104523, 0.0135876, 0.0198911, 0.0334139, 0.0620846, 0.118157" \ + ); + } + } + internal_power () { + when : "(A0 * A2 * !S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00327417, 0.00537554, 0.00843767, 0.015062, 0.0289137, 0.0569947, 0.114289" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0078403, 0.0104262, 0.0135968, 0.0198611, 0.0334279, 0.0614082, 0.118105" \ + ); + } + } + internal_power () { + when : "(!A1 * !A3 * S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00603475, 0.00818266, 0.0112474, 0.0178041, 0.0317302, 0.0600443, 0.118215" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00709123, 0.00955216, 0.0127037, 0.0190231, 0.032485, 0.0606205, 0.117354" \ + ); + } + } + internal_power () { + when : "(!A0 * !A2 * !S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00605558, 0.00818407, 0.0112105, 0.017814, 0.0316455, 0.0599457, 0.117956" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.007105, 0.00954244, 0.0126637, 0.0190248, 0.0326723, 0.0606885, 0.117299" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00605558, 0.00818407, 0.0112105, 0.017814, 0.0316455, 0.0599457, 0.117956" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0078403, 0.0104262, 0.0135968, 0.0198611, 0.0334279, 0.0614082, 0.118105" \ + ); + } + } + } + } + cell (sg13g2_nand2_1) { + area : 7.2576; + cell_footprint : "nand2"; + cell_leakage_power : 727.009; + leakage_power () { + value : 383.06; + when : "!A&!B"; + } + leakage_power () { + value : 862.634; + when : "!A&B"; + } + leakage_power () { + value : 203.352; + when : "A*!B"; + } + leakage_power () { + value : 1458.99; + when : "A*B"; + } + pin (Y) { + direction : "output"; + function : "!(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124193, 0.044902, 0.0653623, 0.0991224, 0.155574, 0.249528, 0.406438", \ + "0.0201723, 0.0727569, 0.0980975, 0.135261, 0.19302, 0.287125, 0.443743", \ + "0.0230633, 0.089027, 0.119788, 0.162972, 0.226447, 0.323551, 0.480524", \ + "0.0250144, 0.110216, 0.149524, 0.203005, 0.278677, 0.38752, 0.551935", \ + "0.0250154, 0.13898, 0.190796, 0.259639, 0.355681, 0.488853, 0.677409", \ + "0.0250164, 0.172218, 0.241461, 0.334094, 0.4587, 0.628563, 0.865022", \ + "0.0250174, 0.194716, 0.28833, 0.412889, 0.580913, 0.804739, 1.10846" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00939109, 0.0505494, 0.0808582, 0.131459, 0.216248, 0.357533, 0.593118", \ + "0.0221421, 0.0677716, 0.0951384, 0.140861, 0.220573, 0.358625, 0.593144", \ + "0.031773, 0.0847137, 0.113841, 0.159209, 0.235407, 0.367151, 0.595901", \ + "0.0458214, 0.113531, 0.14651, 0.195336, 0.272384, 0.398616, 0.615996", \ + "0.0712506, 0.162414, 0.201848, 0.258018, 0.342361, 0.473674, 0.683936", \ + "0.118526, 0.243083, 0.294889, 0.362876, 0.462203, 0.610319, 0.83265", \ + "0.207487, 0.375871, 0.447909, 0.540194, 0.664409, 0.834055, 1.0933" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0164821, 0.0644211, 0.09578, 0.147526, 0.233917, 0.377965, 0.618024", \ + "0.0271944, 0.0929922, 0.127919, 0.18136, 0.268143, 0.412158, 0.652132", \ + "0.0348082, 0.113376, 0.153441, 0.212314, 0.302741, 0.447612, 0.687521", \ + "0.047288, 0.144396, 0.19258, 0.261473, 0.363097, 0.516742, 0.759996", \ + "0.0645274, 0.188351, 0.246823, 0.331434, 0.451855, 0.628507, 0.891318", \ + "0.0917738, 0.253157, 0.327309, 0.430788, 0.580257, 0.791728, 1.09939", \ + "0.137228, 0.346866, 0.444934, 0.578944, 0.762268, 1.02486, 1.40012" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118965, 0.0721192, 0.115935, 0.187879, 0.308495, 0.510129, 0.845986", \ + "0.0234739, 0.0862844, 0.126078, 0.193461, 0.310424, 0.510218, 0.845987", \ + "0.0331672, 0.101921, 0.14293, 0.208762, 0.320876, 0.514524, 0.84756", \ + "0.0498782, 0.128907, 0.173327, 0.242563, 0.353049, 0.53877, 0.858862", \ + "0.0788432, 0.175176, 0.227051, 0.301395, 0.419531, 0.605207, 0.91302", \ + "0.129175, 0.255035, 0.31289, 0.400573, 0.530656, 0.734187, 1.04769", \ + "0.222883, 0.391623, 0.465641, 0.566762, 0.719444, 0.947143, 1.29237" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014757, 0.0464065, 0.0668419, 0.100565, 0.157024, 0.251065, 0.407697", \ + "0.0257717, 0.0750138, 0.100052, 0.136767, 0.194512, 0.28854, 0.445119", \ + "0.0312291, 0.0923162, 0.122381, 0.165132, 0.228229, 0.325171, 0.482087", \ + "0.0374275, 0.115374, 0.153335, 0.206158, 0.281071, 0.389378, 0.553601", \ + "0.0456653, 0.149022, 0.198001, 0.265202, 0.359466, 0.491538, 0.679766", \ + "0.0569055, 0.193749, 0.258095, 0.345797, 0.466369, 0.634199, 0.868304", \ + "0.063249, 0.242978, 0.328248, 0.443909, 0.603432, 0.819149, 1.11726" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114736, 0.0528965, 0.0832492, 0.133909, 0.218705, 0.360038, 0.595485", \ + "0.0245706, 0.0700551, 0.0974422, 0.143294, 0.222996, 0.361012, 0.595491", \ + "0.0344585, 0.0867666, 0.116031, 0.16167, 0.237714, 0.369633, 0.598619", \ + "0.0483772, 0.115217, 0.148581, 0.197717, 0.274614, 0.400974, 0.618439", \ + "0.0749088, 0.162979, 0.202248, 0.259761, 0.344371, 0.475715, 0.685879", \ + "0.12332, 0.242393, 0.292952, 0.361267, 0.46198, 0.609488, 0.834381", \ + "0.213505, 0.374134, 0.442971, 0.533096, 0.655721, 0.831033, 1.08914" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.017863, 0.0648788, 0.0962169, 0.147966, 0.23436, 0.378492, 0.618452", \ + "0.0256458, 0.0849816, 0.11867, 0.171586, 0.258419, 0.402543, 0.642703", \ + "0.0308774, 0.100658, 0.137385, 0.193542, 0.282654, 0.4275, 0.667568", \ + "0.0395043, 0.125415, 0.168004, 0.230426, 0.326367, 0.476427, 0.718722", \ + "0.0495648, 0.160317, 0.211762, 0.28521, 0.394045, 0.557781, 0.811522", \ + "0.0620699, 0.20784, 0.274429, 0.365278, 0.495212, 0.684484, 0.964866", \ + "0.0778904, 0.270021, 0.357938, 0.478155, 0.642318, 0.870344, 1.20061" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.010773, 0.0720548, 0.115753, 0.187741, 0.308666, 0.510117, 0.845909", \ + "0.02106, 0.0807219, 0.121832, 0.191162, 0.309657, 0.512867, 0.84591", \ + "0.0309845, 0.0919152, 0.132773, 0.200393, 0.315967, 0.512868, 0.846834", \ + "0.0477694, 0.113792, 0.154916, 0.222816, 0.335809, 0.527046, 0.8533", \ + "0.0757481, 0.155025, 0.198466, 0.267591, 0.381367, 0.569153, 0.885533", \ + "0.123331, 0.228056, 0.277252, 0.351085, 0.468633, 0.659578, 0.973832", \ + "0.208975, 0.350083, 0.415295, 0.502948, 0.630474, 0.832882, 1.15307" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00318099, 0.00398767, 0.00406766, 0.00392951, 0.00376544, 0.003406, 0.00286901", \ + "0.00570118, 0.00449756, 0.00429052, 0.00426375, 0.00400716, 0.00348064, 0.00283934", \ + "0.00900775, 0.00629568, 0.00571346, 0.00504185, 0.00484586, 0.00404408, 0.00301018", \ + "0.0156058, 0.011047, 0.0097079, 0.00839603, 0.00712907, 0.00603789, 0.00436412", \ + "0.0295296, 0.0221271, 0.0197184, 0.0169253, 0.0141734, 0.0111713, 0.00851858", \ + "0.0579525, 0.0472103, 0.0429709, 0.0377118, 0.0320898, 0.0268182, 0.0208262", \ + "0.114825, 0.101247, 0.0947785, 0.0864438, 0.0767462, 0.0648591, 0.0535757" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00424969, 0.00507054, 0.0051608, 0.00505389, 0.00484671, 0.00452735, 0.00398661", \ + "0.00646168, 0.00533554, 0.00527769, 0.00505767, 0.00527164, 0.00452889, 0.00386183", \ + "0.00956582, 0.00684776, 0.0062914, 0.00589399, 0.00559675, 0.0048906, 0.00436494", \ + "0.0163259, 0.0110203, 0.00973884, 0.00856032, 0.00727047, 0.00678306, 0.00506672", \ + "0.0306156, 0.0217439, 0.0190463, 0.0164265, 0.0136266, 0.0109363, 0.00878991", \ + "0.0595754, 0.0467833, 0.0416522, 0.036146, 0.0304065, 0.0249045, 0.0193411", \ + "0.117867, 0.100751, 0.0930433, 0.0834303, 0.0719281, 0.060647, 0.0490784" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00320689, 0.00348641, 0.00343684, 0.00334626, 0.00313342, 0.00273786, 0.00210473", \ + "0.00569133, 0.00432937, 0.0039917, 0.0039091, 0.00350669, 0.00288321, 0.00207496", \ + "0.00905915, 0.00627669, 0.0055719, 0.00485523, 0.00436252, 0.00336278, 0.00250653", \ + "0.0160374, 0.0113586, 0.00988849, 0.00848957, 0.00683492, 0.0057518, 0.00381997", \ + "0.0303149, 0.0233194, 0.020701, 0.0177383, 0.0145685, 0.0110949, 0.00837591", \ + "0.0598505, 0.0500764, 0.0455653, 0.0402715, 0.0339762, 0.0278373, 0.02176", \ + "0.119087, 0.107035, 0.101024, 0.0923412, 0.0821535, 0.0695072, 0.0562998" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0077068, 0.00848679, 0.00849841, 0.00841587, 0.00826688, 0.00793897, 0.00733423", \ + "0.00903311, 0.00847572, 0.00851277, 0.00834196, 0.00895106, 0.00864543, 0.00724627", \ + "0.0119649, 0.00979199, 0.00938909, 0.00904492, 0.0090933, 0.00809825, 0.00753068", \ + "0.0189094, 0.013797, 0.0126498, 0.0116065, 0.0104551, 0.00994734, 0.00881976", \ + "0.0336476, 0.0248614, 0.022012, 0.0191939, 0.016746, 0.0141295, 0.0121", \ + "0.0636628, 0.0509168, 0.0456979, 0.0396696, 0.0337206, 0.0281321, 0.0224828", \ + "0.124307, 0.107349, 0.0993202, 0.089527, 0.0775002, 0.0655598, 0.0537356" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00323183; + rise_capacitance : 0.00320875; + rise_capacitance_range (0.00320875, 0.00320875); + fall_capacitance : 0.00325492; + fall_capacitance_range (0.00325492, 0.00325492); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00336763; + rise_capacitance : 0.00353609; + rise_capacitance_range (0.00353609, 0.00353609); + fall_capacitance : 0.00319917; + fall_capacitance_range (0.00319917, 0.00319917); + } + } + cell (sg13g2_nand2_2) { + area : 10.8864; + cell_footprint : "nand2"; + cell_leakage_power : 1419.67; + leakage_power () { + value : 765.522; + when : "!A&!B"; + } + leakage_power () { + value : 1679.12; + when : "!A&B"; + } + leakage_power () { + value : 406.166; + when : "A*!B"; + } + leakage_power () { + value : 2827.89; + when : "A*B"; + } + pin (Y) { + direction : "output"; + function : "!(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0111162, 0.044945, 0.0655282, 0.0994876, 0.156291, 0.25089, 0.408486", \ + "0.0173975, 0.0727322, 0.0982592, 0.135622, 0.19368, 0.288327, 0.445898", \ + "0.0192052, 0.088894, 0.119841, 0.163276, 0.22708, 0.32479, 0.482689", \ + "0.0198293, 0.109592, 0.149354, 0.203201, 0.279298, 0.388596, 0.553787", \ + "0.0198303, 0.137694, 0.189725, 0.2594, 0.355888, 0.489495, 0.679309", \ + "0.0198313, 0.168713, 0.238937, 0.332155, 0.457744, 0.629335, 0.86621", \ + "0.0198323, 0.186747, 0.281187, 0.407548, 0.577251, 0.802533, 1.1088" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00831254, 0.0507107, 0.0812344, 0.132165, 0.217608, 0.359941, 0.597342", \ + "0.0204845, 0.0679126, 0.0954304, 0.141552, 0.221893, 0.360827, 0.597343", \ + "0.0297029, 0.0848823, 0.114177, 0.15991, 0.236624, 0.36949, 0.599921", \ + "0.0432587, 0.113757, 0.147019, 0.196327, 0.273615, 0.400781, 0.619861", \ + "0.0676181, 0.163332, 0.202595, 0.2593, 0.344035, 0.475992, 0.68756", \ + "0.11269, 0.244701, 0.296928, 0.364284, 0.464377, 0.613081, 0.837054", \ + "0.200372, 0.378535, 0.45031, 0.544451, 0.669108, 0.838643, 1.09839" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.015193, 0.0668829, 0.0998416, 0.154454, 0.244885, 0.396186, 0.648387", \ + "0.0251764, 0.095787, 0.132069, 0.187945, 0.278984, 0.430334, 0.682389", \ + "0.0325052, 0.116567, 0.158145, 0.219295, 0.313676, 0.465619, 0.717376", \ + "0.0447773, 0.148558, 0.198147, 0.269557, 0.374969, 0.535076, 0.789845", \ + "0.0622591, 0.194104, 0.254775, 0.341387, 0.466314, 0.648681, 0.922377", \ + "0.0906996, 0.262285, 0.338068, 0.444171, 0.598053, 0.816283, 1.13444", \ + "0.139892, 0.362525, 0.462802, 0.598595, 0.787151, 1.05769, 1.4417" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0106004, 0.0756585, 0.121539, 0.198038, 0.32513, 0.537537, 0.891214", \ + "0.0214467, 0.0895431, 0.131522, 0.202953, 0.326578, 0.538015, 0.891215", \ + "0.0307147, 0.105169, 0.148225, 0.21781, 0.336426, 0.541347, 0.891781", \ + "0.0465944, 0.132305, 0.178784, 0.251483, 0.367837, 0.5644, 0.902767", \ + "0.0745847, 0.17937, 0.232191, 0.31031, 0.43447, 0.629889, 0.955484", \ + "0.123558, 0.257776, 0.318516, 0.411514, 0.545839, 0.758981, 1.08774", \ + "0.216284, 0.395982, 0.471315, 0.577166, 0.735409, 0.973096, 1.33371" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.01382, 0.0467025, 0.0672699, 0.101236, 0.157993, 0.252536, 0.410116", \ + "0.0239677, 0.0754048, 0.100514, 0.137563, 0.195515, 0.290171, 0.447631", \ + "0.0288036, 0.0924958, 0.122756, 0.165656, 0.229132, 0.326605, 0.484406", \ + "0.0338923, 0.115431, 0.153576, 0.206573, 0.281824, 0.390748, 0.555672", \ + "0.0402336, 0.148188, 0.197807, 0.265181, 0.360054, 0.492878, 0.681696", \ + "0.0476575, 0.190886, 0.255855, 0.344905, 0.46581, 0.634571, 0.870088", \ + "0.0476585, 0.235381, 0.321768, 0.439248, 0.600204, 0.818231, 1.11816" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0106194, 0.0532763, 0.0838408, 0.134822, 0.220243, 0.362534, 0.599762", \ + "0.0232478, 0.0703297, 0.0979122, 0.144052, 0.22449, 0.363511, 0.599763", \ + "0.032818, 0.0872666, 0.116661, 0.162431, 0.239144, 0.372046, 0.603083", \ + "0.0464698, 0.116292, 0.149436, 0.198624, 0.275919, 0.403285, 0.622443", \ + "0.0715019, 0.164296, 0.203696, 0.261104, 0.346258, 0.478085, 0.689944", \ + "0.118583, 0.244405, 0.295221, 0.364052, 0.464065, 0.612682, 0.838554", \ + "0.206386, 0.37773, 0.446988, 0.537995, 0.660932, 0.837931, 1.09428" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0173545, 0.0679935, 0.100921, 0.155131, 0.245956, 0.3972, 0.649425", \ + "0.0245562, 0.0882299, 0.123412, 0.178819, 0.269931, 0.421328, 0.673463", \ + "0.0293983, 0.104254, 0.142414, 0.201026, 0.294245, 0.446163, 0.698292", \ + "0.0378648, 0.129626, 0.173839, 0.238639, 0.338444, 0.495367, 0.749257", \ + "0.0480847, 0.166005, 0.218767, 0.295367, 0.407424, 0.57829, 0.843042", \ + "0.0622203, 0.216654, 0.284634, 0.377762, 0.512168, 0.708364, 0.999565", \ + "0.0816292, 0.285065, 0.375038, 0.49723, 0.66289, 0.899592, 1.24086" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00949503, 0.0756574, 0.121765, 0.197662, 0.325132, 0.537155, 0.89117", \ + "0.0196113, 0.0841021, 0.127446, 0.200728, 0.325931, 0.537457, 0.891171", \ + "0.0295739, 0.0950606, 0.13827, 0.209624, 0.331722, 0.539773, 0.893711", \ + "0.0462432, 0.117024, 0.160715, 0.231889, 0.351061, 0.553322, 0.898011", \ + "0.0738059, 0.158396, 0.203654, 0.27736, 0.396163, 0.594636, 0.928902", \ + "0.121155, 0.231676, 0.281832, 0.360301, 0.483374, 0.68541, 1.01525", \ + "0.206897, 0.354288, 0.421815, 0.511067, 0.646486, 0.857708, 1.1945" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00601646, 0.00770964, 0.00777547, 0.00754338, 0.0071978, 0.00647357, 0.00518588", \ + "0.0113435, 0.00866266, 0.00827583, 0.0083796, 0.00777899, 0.0069794, 0.00522778", \ + "0.017913, 0.012185, 0.0110599, 0.00976811, 0.00948813, 0.00751674, 0.00577475", \ + "0.0310072, 0.0212739, 0.0187116, 0.0162817, 0.0136564, 0.0117277, 0.00848108", \ + "0.0583363, 0.0429866, 0.0380544, 0.032737, 0.0272999, 0.0216045, 0.0161986", \ + "0.114018, 0.0918383, 0.083527, 0.0728674, 0.0619906, 0.0520085, 0.0400052", \ + "0.225198, 0.197225, 0.18452, 0.168107, 0.148879, 0.125226, 0.103767" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00791853, 0.00967819, 0.00966991, 0.00982814, 0.00927708, 0.00870992, 0.00731522", \ + "0.0126082, 0.0101825, 0.0100414, 0.00977811, 0.00927667, 0.0087935, 0.00716989", \ + "0.0188666, 0.0131047, 0.0119992, 0.0112563, 0.0103322, 0.0105412, 0.00772642", \ + "0.032308, 0.0212326, 0.0186896, 0.0163274, 0.0139112, 0.0125521, 0.00936369", \ + "0.0605009, 0.0422074, 0.037074, 0.031623, 0.0266183, 0.021077, 0.0170441", \ + "0.11738, 0.0909828, 0.0808418, 0.0704354, 0.0589995, 0.0480748, 0.0371267", \ + "0.232155, 0.19661, 0.181259, 0.162118, 0.139769, 0.118098, 0.0946987" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00711782, 0.00765483, 0.00754999, 0.00737489, 0.0069803, 0.00618368, 0.00493713", \ + "0.0120813, 0.00918193, 0.00862603, 0.00852055, 0.0074438, 0.00666535, 0.00492569", \ + "0.018799, 0.0130111, 0.0116718, 0.0102178, 0.00971811, 0.00747089, 0.00583089", \ + "0.0325258, 0.0229483, 0.0200705, 0.0172344, 0.0141757, 0.0120994, 0.00869993", \ + "0.060505, 0.0461307, 0.0410955, 0.0351488, 0.0289734, 0.0223629, 0.0167321", \ + "0.118407, 0.0982599, 0.0893621, 0.0791027, 0.0664376, 0.0544124, 0.0423242", \ + "0.234365, 0.20947, 0.197249, 0.180423, 0.160293, 0.135893, 0.109756" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0145909, 0.0163665, 0.0163711, 0.0161521, 0.0158651, 0.0151425, 0.0137677", \ + "0.0172926, 0.0161913, 0.0163083, 0.0160655, 0.0161493, 0.0151104, 0.0137223", \ + "0.023124, 0.0185997, 0.0178213, 0.0173293, 0.0165929, 0.0162634, 0.0150891", \ + "0.0369225, 0.0263105, 0.0242597, 0.0221539, 0.0200611, 0.0188483, 0.0156142", \ + "0.0660701, 0.0478104, 0.0422708, 0.0374272, 0.0319036, 0.0268615, 0.0234958", \ + "0.125166, 0.0987014, 0.0884516, 0.0766095, 0.065096, 0.0546155, 0.0437971", \ + "0.244168, 0.208985, 0.193471, 0.173759, 0.149498, 0.12674, 0.103617" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00615541; + rise_capacitance : 0.00610963; + rise_capacitance_range (0.00610963, 0.00610963); + fall_capacitance : 0.00620119; + fall_capacitance_range (0.00620119, 0.00620119); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00640503; + rise_capacitance : 0.00673429; + rise_capacitance_range (0.00673429, 0.00673429); + fall_capacitance : 0.00607578; + fall_capacitance_range (0.00607578, 0.00607578); + } + } + cell (sg13g2_nand2b_1) { + area : 9.072; + cell_footprint : "nand2b1"; + cell_leakage_power : 1055.55; + leakage_power () { + value : 1612.75; + when : "!A_N&B"; + } + leakage_power () { + value : 1366; + when : "A_N&B"; + } + leakage_power () { + value : 886.397; + when : "A_N&!B"; + } + leakage_power () { + value : 357.063; + when : "!A_N&!B"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0276252, 0.0592572, 0.0799715, 0.114102, 0.171186, 0.266386, 0.424475", \ + "0.0426635, 0.0748532, 0.0955906, 0.129711, 0.186858, 0.281929, 0.440213", \ + "0.0519975, 0.0853184, 0.105903, 0.139865, 0.197058, 0.292177, 0.450442", \ + "0.0656143, 0.101754, 0.122335, 0.15641, 0.213216, 0.30806, 0.466292", \ + "0.081612, 0.122795, 0.144197, 0.178921, 0.236379, 0.331727, 0.489595", \ + "0.101165, 0.149778, 0.173579, 0.209822, 0.269595, 0.367197, 0.527219", \ + "0.124101, 0.18355, 0.211922, 0.252759, 0.315956, 0.418914, 0.584682" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00893646, 0.0518703, 0.0829555, 0.134083, 0.219652, 0.362776, 0.600556", \ + "0.0119965, 0.0524824, 0.0831311, 0.134169, 0.21974, 0.36286, 0.600967", \ + "0.0144663, 0.0533052, 0.0835798, 0.134455, 0.219973, 0.362861, 0.600968", \ + "0.0185781, 0.0558377, 0.0852264, 0.135454, 0.220582, 0.363037, 0.600969", \ + "0.025169, 0.0619819, 0.0899651, 0.139288, 0.223591, 0.364928, 0.601974", \ + "0.035981, 0.0740862, 0.100617, 0.148298, 0.232002, 0.372715, 0.607622", \ + "0.053434, 0.095371, 0.121692, 0.167563, 0.249356, 0.390981, 0.626108" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0323439, 0.0796869, 0.111115, 0.162882, 0.249263, 0.393471, 0.63325", \ + "0.0484759, 0.0960035, 0.127626, 0.179477, 0.266027, 0.410271, 0.650021", \ + "0.057506, 0.105287, 0.136589, 0.188493, 0.274909, 0.418958, 0.659012", \ + "0.0687757, 0.11812, 0.149522, 0.201182, 0.287607, 0.431898, 0.671982", \ + "0.086884, 0.140025, 0.171959, 0.224163, 0.310527, 0.454567, 0.694586", \ + "0.113231, 0.172687, 0.205603, 0.259456, 0.348522, 0.493539, 0.733896", \ + "0.142074, 0.210419, 0.247036, 0.304121, 0.396863, 0.547196, 0.791968" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115517, 0.0733477, 0.116821, 0.188915, 0.309661, 0.510996, 0.846546", \ + "0.0140065, 0.0736939, 0.117072, 0.189024, 0.30967, 0.511971, 0.846547", \ + "0.0158313, 0.0741378, 0.117334, 0.189274, 0.31, 0.511972, 0.846555", \ + "0.0192195, 0.075711, 0.11822, 0.189942, 0.310257, 0.511973, 0.846556", \ + "0.0255294, 0.0802646, 0.121753, 0.192316, 0.311931, 0.511974, 0.846635", \ + "0.0358244, 0.090056, 0.130262, 0.200383, 0.318937, 0.516978, 0.849572", \ + "0.052976, 0.108279, 0.147797, 0.216776, 0.336141, 0.534586, 0.863518" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0143097, 0.0464256, 0.0669609, 0.100842, 0.157483, 0.251834, 0.409009", \ + "0.0254518, 0.0751533, 0.100237, 0.137217, 0.195002, 0.289416, 0.446486", \ + "0.0310715, 0.0923842, 0.122539, 0.165387, 0.228657, 0.325901, 0.483301", \ + "0.0373126, 0.115499, 0.153502, 0.206472, 0.281457, 0.390076, 0.554746", \ + "0.0457141, 0.14915, 0.198289, 0.265372, 0.360001, 0.492137, 0.680959", \ + "0.0572919, 0.194196, 0.258727, 0.346364, 0.467045, 0.635237, 0.869704", \ + "0.064193, 0.243562, 0.329179, 0.444799, 0.604952, 0.820344, 1.11925" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011888, 0.0532891, 0.0837215, 0.134487, 0.219632, 0.361501, 0.597999", \ + "0.0250514, 0.0703457, 0.0978491, 0.143746, 0.223899, 0.362439, 0.598", \ + "0.034925, 0.0871307, 0.116513, 0.162118, 0.238555, 0.370989, 0.601198", \ + "0.0490102, 0.115444, 0.149159, 0.198249, 0.275299, 0.402285, 0.620694", \ + "0.0758535, 0.16321, 0.202573, 0.260049, 0.344947, 0.476722, 0.688053", \ + "0.124286, 0.242699, 0.2933, 0.361582, 0.462189, 0.611006, 0.836393", \ + "0.213293, 0.374554, 0.443548, 0.533562, 0.656918, 0.832979, 1.09262" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0189606, 0.0666692, 0.098065, 0.149788, 0.236365, 0.380356, 0.620213", \ + "0.0262443, 0.0860656, 0.119859, 0.172886, 0.259823, 0.404032, 0.644064", \ + "0.0312182, 0.101482, 0.138333, 0.194664, 0.283907, 0.428839, 0.66894", \ + "0.039561, 0.12593, 0.168818, 0.231428, 0.327436, 0.477497, 0.719913", \ + "0.0495837, 0.160706, 0.211998, 0.286167, 0.394786, 0.558904, 0.812642", \ + "0.0622093, 0.20753, 0.274667, 0.365459, 0.495845, 0.6851, 0.965365", \ + "0.0780073, 0.270122, 0.358957, 0.478433, 0.642912, 0.87058, 1.2001" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115984, 0.0732599, 0.116809, 0.188945, 0.309703, 0.511202, 0.846794", \ + "0.0207011, 0.0815879, 0.122823, 0.192222, 0.310712, 0.513827, 0.846795", \ + "0.0306345, 0.0924199, 0.133609, 0.201352, 0.316836, 0.513979, 0.847278", \ + "0.0476998, 0.114253, 0.155966, 0.22404, 0.336576, 0.527968, 0.854172", \ + "0.0755896, 0.155337, 0.198893, 0.268757, 0.382282, 0.569885, 0.886466", \ + "0.123233, 0.228643, 0.277015, 0.351464, 0.46903, 0.660125, 0.974602", \ + "0.209006, 0.350503, 0.415217, 0.503668, 0.6306, 0.833379, 1.15048" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00536689, 0.00622779, 0.00629709, 0.00623039, 0.00599069, 0.00572709, 0.00492839", \ + "0.00550264, 0.00586124, 0.00583954, 0.00605604, 0.00566374, 0.00538184, 0.00464354", \ + "0.0057008, 0.0056627, 0.0056999, 0.0055207, 0.0055814, 0.0050561, 0.0055342", \ + "0.0064479, 0.0056736, 0.0056331, 0.0055636, 0.0053092, 0.0048167, 0.0056723", \ + "0.0077802, 0.0058603, 0.0056854, 0.0055102, 0.0054034, 0.0051716, 0.0041769", \ + "0.0107844, 0.0071297, 0.00657, 0.0060128, 0.0057288, 0.0054959, 0.0050494", \ + "0.0161066, 0.0094806, 0.0084126, 0.0076516, 0.0061726, 0.00585561, 0.0051286" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00868228, 0.00956398, 0.00958138, 0.00949668, 0.00931688, 0.00896448, 0.00833638", \ + "0.00886222, 0.00926002, 0.00938582, 0.00937692, 0.00952532, 0.00912052, 0.00823902", \ + "0.00914468, 0.00921018, 0.00914058, 0.00923038, 0.00901978, 0.00905608, 0.00813308", \ + "0.0096092, 0.0091605, 0.0092019, 0.0092371, 0.0089814, 0.0088204, 0.0080951", \ + "0.0108078, 0.0091034, 0.009067, 0.0090233, 0.008934, 0.0085198, 0.0089979", \ + "0.0132941, 0.0096265, 0.0090825, 0.0087214, 0.0085436, 0.0084139, 0.0078931", \ + "0.0187007, 0.0119887, 0.0108597, 0.0099927, 0.0088407, 0.0082607, 0.0076647" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00320955, 0.0034542, 0.00342, 0.00330963, 0.00313247, 0.0027711, 0.0021427", \ + "0.00570587, 0.00427712, 0.00397752, 0.00391062, 0.0034915, 0.00300584, 0.00215276", \ + "0.00911395, 0.00627161, 0.00557562, 0.00479015, 0.00453847, 0.00334894, 0.00258176", \ + "0.0160735, 0.0113393, 0.00991154, 0.00847409, 0.00682093, 0.00572343, 0.00408545", \ + "0.0303507, 0.0232018, 0.0206701, 0.0176698, 0.0145468, 0.0113593, 0.00829235", \ + "0.0598844, 0.050053, 0.045576, 0.0402344, 0.0339182, 0.0278906, 0.021687", \ + "0.119041, 0.107029, 0.101001, 0.0922606, 0.0822089, 0.0695615, 0.0565037" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00804754, 0.00884805, 0.0087932, 0.00874082, 0.00856423, 0.00826418, 0.00760195", \ + "0.00924816, 0.0087877, 0.00882479, 0.00864296, 0.00853655, 0.00894002, 0.00751047", \ + "0.012062, 0.0100042, 0.00961228, 0.00928226, 0.00941844, 0.00840768, 0.00771733", \ + "0.0189345, 0.0139348, 0.0129088, 0.011849, 0.0106258, 0.0103129, 0.00875911", \ + "0.0337014, 0.0249114, 0.0220481, 0.019561, 0.0169153, 0.0142893, 0.0123336", \ + "0.0636271, 0.0508773, 0.0457107, 0.0396583, 0.0338712, 0.0282549, 0.0231719", \ + "0.12419, 0.107268, 0.0994011, 0.0895229, 0.0775052, 0.0655698, 0.0530669" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00262304; + rise_capacitance : 0.00266861; + rise_capacitance_range (0.00266861, 0.00266861); + fall_capacitance : 0.00257748; + fall_capacitance_range (0.00257748, 0.00257748); + internal_power () { + when : "!B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00607821, 0.00772636, 0.0102482, 0.0157732, 0.0274023, 0.0510244, 0.0991734" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00354182, 0.00538498, 0.00791702, 0.0133121, 0.0246335, 0.048352, 0.0957623" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00607821, 0.00772636, 0.0102482, 0.0157732, 0.0274023, 0.0510244, 0.0991734" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00354182, 0.00538498, 0.00791702, 0.0133121, 0.0246335, 0.048352, 0.0957623" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00348575; + rise_capacitance : 0.00369735; + rise_capacitance_range (0.00369735, 0.00369735); + fall_capacitance : 0.00327414; + fall_capacitance_range (0.00327414, 0.00327414); + } + } + cell (sg13g2_nand2b_2) { + area : 14.5152; + cell_footprint : "nand2b2"; + cell_leakage_power : 1748.14; + leakage_power () { + value : 2981.53; + when : "!A_N&B"; + } + leakage_power () { + value : 909.416; + when : "A_N&B"; + } + leakage_power () { + value : 1268.82; + when : "A_N&!B"; + } + leakage_power () { + value : 1832.78; + when : "!A_N&!B"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0363779, 0.069515, 0.0901939, 0.124499, 0.181288, 0.27575, 0.433605", \ + "0.0559822, 0.0900569, 0.110683, 0.144664, 0.201397, 0.296019, 0.453731", \ + "0.0685832, 0.103662, 0.124322, 0.157952, 0.214531, 0.309106, 0.466447", \ + "0.0870151, 0.124559, 0.145161, 0.178558, 0.235014, 0.32911, 0.486455", \ + "0.109753, 0.152374, 0.173726, 0.207663, 0.263982, 0.358538, 0.515174", \ + "0.138878, 0.188563, 0.212626, 0.247588, 0.305966, 0.401571, 0.559926", \ + "0.174697, 0.234482, 0.262842, 0.303505, 0.364169, 0.46404, 0.627383" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0118643, 0.0551194, 0.0857633, 0.136949, 0.222316, 0.364477, 0.601737", \ + "0.0152434, 0.0561589, 0.086272, 0.13695, 0.222317, 0.365789, 0.601738", \ + "0.0178554, 0.057357, 0.0869802, 0.137262, 0.222418, 0.36579, 0.601784", \ + "0.0225626, 0.0604117, 0.0888169, 0.138357, 0.223002, 0.365791, 0.601929", \ + "0.0299781, 0.0680849, 0.0944577, 0.142166, 0.22554, 0.366665, 0.60304", \ + "0.0415287, 0.082579, 0.107668, 0.152401, 0.233894, 0.37337, 0.607736", \ + "0.06027, 0.106827, 0.131846, 0.174968, 0.252639, 0.390677, 0.624605" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0425745, 0.0943308, 0.127334, 0.181736, 0.272557, 0.423828, 0.675937", \ + "0.0636822, 0.116059, 0.149148, 0.203535, 0.294451, 0.445705, 0.698045", \ + "0.0758976, 0.129033, 0.162088, 0.216423, 0.307537, 0.458715, 0.711258", \ + "0.092146, 0.146866, 0.179654, 0.23418, 0.324958, 0.47638, 0.728553", \ + "0.117678, 0.175452, 0.208047, 0.262521, 0.353292, 0.5039, 0.756346", \ + "0.153519, 0.216146, 0.24995, 0.304533, 0.396028, 0.547476, 0.799713", \ + "0.194006, 0.264452, 0.300664, 0.356722, 0.44996, 0.602494, 0.856258" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0110287, 0.076411, 0.122118, 0.198171, 0.325608, 0.538158, 0.892201", \ + "0.0133739, 0.0767531, 0.122288, 0.198213, 0.325609, 0.538159, 0.892202", \ + "0.0155969, 0.0772287, 0.122513, 0.198244, 0.32561, 0.53816, 0.892203", \ + "0.0196172, 0.0785982, 0.123164, 0.198515, 0.325696, 0.538161, 0.892204", \ + "0.0263394, 0.0824015, 0.125115, 0.199749, 0.326341, 0.538162, 0.892205", \ + "0.036829, 0.089869, 0.131013, 0.203595, 0.328985, 0.53966, 0.8926", \ + "0.053594, 0.104926, 0.144129, 0.21373, 0.337435, 0.546987, 0.89797" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0110211, 0.0443122, 0.0645603, 0.0981695, 0.154633, 0.249095, 0.406247", \ + "0.0173027, 0.072517, 0.0976083, 0.134858, 0.19255, 0.286901, 0.443999", \ + "0.0191711, 0.0887959, 0.119647, 0.162817, 0.226216, 0.323486, 0.480989", \ + "0.0197417, 0.109856, 0.149449, 0.203089, 0.278864, 0.387948, 0.552823", \ + "0.0197427, 0.137773, 0.189912, 0.259508, 0.355755, 0.489518, 0.678621", \ + "0.0197437, 0.169033, 0.239027, 0.332333, 0.457709, 0.628418, 0.864496", \ + "0.0197447, 0.186567, 0.281347, 0.407827, 0.577465, 0.803555, 1.1078" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0082693, 0.0507466, 0.0812604, 0.132196, 0.217605, 0.360098, 0.597175", \ + "0.0203078, 0.0680608, 0.0958117, 0.141774, 0.222084, 0.360998, 0.597451", \ + "0.0294678, 0.0848152, 0.114279, 0.160338, 0.236927, 0.369693, 0.599843", \ + "0.0429977, 0.113435, 0.146917, 0.196123, 0.273691, 0.401093, 0.620152", \ + "0.0672358, 0.162337, 0.201972, 0.259231, 0.343859, 0.475995, 0.687934", \ + "0.112828, 0.243253, 0.295334, 0.364183, 0.46444, 0.612028, 0.838865", \ + "0.20082, 0.377462, 0.449025, 0.541705, 0.665294, 0.840722, 1.09672" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0150767, 0.0670911, 0.100094, 0.154746, 0.245225, 0.39658, 0.648845", \ + "0.0250699, 0.0959197, 0.132285, 0.188249, 0.279396, 0.430812, 0.682818", \ + "0.0324122, 0.116648, 0.158286, 0.219435, 0.313912, 0.465885, 0.717865", \ + "0.0446985, 0.148611, 0.198549, 0.269534, 0.375255, 0.535397, 0.790327", \ + "0.0622727, 0.194236, 0.254388, 0.341587, 0.466451, 0.649236, 0.923194", \ + "0.0907789, 0.262399, 0.338617, 0.444602, 0.598263, 0.816829, 1.13437", \ + "0.140074, 0.363224, 0.463119, 0.598748, 0.787278, 1.05821, 1.44201" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0106466, 0.0761328, 0.122337, 0.198475, 0.32571, 0.538175, 0.891946", \ + "0.0215086, 0.0898436, 0.131944, 0.203362, 0.327122, 0.538496, 0.892307", \ + "0.0308089, 0.105283, 0.148511, 0.21823, 0.336883, 0.541885, 0.893155", \ + "0.04691, 0.132498, 0.179161, 0.251847, 0.368278, 0.564925, 0.903614", \ + "0.0747917, 0.178848, 0.232805, 0.310427, 0.434243, 0.630143, 0.955888", \ + "0.123797, 0.257939, 0.319414, 0.411414, 0.546102, 0.759153, 1.08826", \ + "0.216656, 0.395019, 0.471606, 0.577407, 0.738099, 0.970528, 1.33385" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0096487, 0.0103116, 0.0101754, 0.0102024, 0.0097617, 0.0088115, 0.0077895", \ + "0.0107084, 0.0102658, 0.0101022, 0.0102573, 0.0094671, 0.0093818, 0.0073875", \ + "0.0118871, 0.0105997, 0.0105965, 0.0101328, 0.0097469, 0.008747, 0.0086707", \ + "0.0140355, 0.0110213, 0.0108108, 0.0106283, 0.0099802, 0.0083906, 0.0082678", \ + "0.0183766, 0.0127491, 0.0120195, 0.0113531, 0.0107756, 0.0100985, 0.0071512", \ + "0.0259595, 0.0162416, 0.0145855, 0.0130985, 0.0119698, 0.0108293, 0.0096101", \ + "0.0393475, 0.0233105, 0.0201255, 0.0179535, 0.0146225, 0.0131675, 0.0111745" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.016889, 0.0185408, 0.0185804, 0.018485, 0.0181522, 0.017506, 0.0164138", \ + "0.0171323, 0.0179736, 0.0184098, 0.0181806, 0.0185888, 0.0172058, 0.0161636", \ + "0.0178149, 0.018066, 0.0180097, 0.0183313, 0.0179135, 0.0180659, 0.0162791", \ + "0.0194114, 0.0182157, 0.0183421, 0.0183806, 0.0181505, 0.018285, 0.0168642", \ + "0.0227423, 0.0188867, 0.018513, 0.0185769, 0.0186113, 0.0174991, 0.0177293", \ + "0.0290414, 0.0206514, 0.0195042, 0.0191934, 0.0190405, 0.0187971, 0.0179019", \ + "0.040355, 0.02519, 0.023139, 0.021555, 0.020424, 0.019678, 0.018568" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.010777, 0.0110779, 0.0104639, 0.00958938, 0.00871561, 0.00783636, 0.00601121", \ + "0.0160692, 0.0131897, 0.0123623, 0.0117616, 0.0101022, 0.0087693, 0.00699125", \ + "0.0226758, 0.0168853, 0.0154551, 0.0139844, 0.0125441, 0.0103663, 0.00779372", \ + "0.0357112, 0.0255906, 0.0231097, 0.0205221, 0.0176234, 0.01523, 0.0115358", \ + "0.0626922, 0.0472592, 0.042585, 0.0369572, 0.0315309, 0.0258089, 0.0204277", \ + "0.120224, 0.0965641, 0.0877812, 0.0791059, 0.067082, 0.0572644, 0.0467486", \ + "0.230906, 0.203002, 0.189659, 0.172334, 0.153676, 0.134272, 0.111303" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0123673, 0.0141365, 0.0143325, 0.0142908, 0.0137792, 0.0132163, 0.011613", \ + "0.0170227, 0.0146074, 0.0145623, 0.0142336, 0.0141531, 0.0132009, 0.0120228", \ + "0.0233255, 0.0174945, 0.0164397, 0.0156958, 0.0146973, 0.0146648, 0.0122632", \ + "0.0367178, 0.0255742, 0.023098, 0.0206392, 0.018419, 0.0174635, 0.0137223", \ + "0.0648482, 0.0463925, 0.0411671, 0.0356852, 0.0305173, 0.0251837, 0.0216243", \ + "0.121824, 0.0955764, 0.0857945, 0.0751073, 0.0635947, 0.0528491, 0.0411951", \ + "0.237937, 0.202433, 0.187054, 0.168104, 0.146419, 0.123188, 0.100196" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00249092; + rise_capacitance : 0.00253007; + rise_capacitance_range (0.00253007, 0.00253007); + fall_capacitance : 0.00245177; + fall_capacitance_range (0.00245177, 0.00245177); + internal_power () { + when : "!B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0107378, 0.0117278, 0.0137325, 0.0187067, 0.0295781, 0.0526394, 0.0997955" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0116914, 0.0131771, 0.0154145, 0.0203721, 0.0311213, 0.0540899, 0.100688" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0107378, 0.0117278, 0.0137325, 0.0187067, 0.0295781, 0.0526394, 0.0997955" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0116914, 0.0131771, 0.0154145, 0.0203721, 0.0311213, 0.0540899, 0.100688" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00584614; + rise_capacitance : 0.00776202; + rise_capacitance_range (0.00776202, 0.00776202); + fall_capacitance : 0.00393026; + fall_capacitance_range (0.00393026, 0.00393026); + } + } + cell (sg13g2_nand3_1) { + area : 9.072; + cell_footprint : "nand3"; + cell_leakage_power : 893.009; + leakage_power () { + value : 564.84; + when : "!A&!B&!C"; + } + leakage_power () { + value : 1037.31; + when : "!A&!B&C"; + } + leakage_power () { + value : 392.389; + when : "!A&B&!C"; + } + leakage_power () { + value : 1516.91; + when : "!A&B&C"; + } + leakage_power () { + value : 379.063; + when : "A&!B&!C"; + } + leakage_power () { + value : 857.567; + when : "A&!B&C"; + } + leakage_power () { + value : 207.352; + when : "A&B&!C"; + } + leakage_power () { + value : 2188.64; + when : "A&B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0143588, 0.0462623, 0.0667492, 0.100565, 0.157142, 0.251718, 0.408393", \ + "0.0228431, 0.0743926, 0.0997103, 0.136774, 0.194569, 0.288924, 0.445901", \ + "0.0252971, 0.0906163, 0.121323, 0.164573, 0.228051, 0.325331, 0.48269", \ + "0.0257758, 0.110703, 0.150506, 0.20432, 0.280068, 0.389065, 0.55391", \ + "0.0257768, 0.136252, 0.189107, 0.259247, 0.35601, 0.489147, 0.679037", \ + "0.0257778, 0.16024, 0.232062, 0.326999, 0.454047, 0.626702, 0.864588", \ + "0.0257788, 0.160357, 0.257536, 0.387736, 0.561348, 0.792734, 1.10033" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108921, 0.0523546, 0.0827669, 0.133491, 0.218496, 0.36051, 0.596211", \ + "0.0248099, 0.0694222, 0.0967588, 0.142674, 0.222714, 0.360999, 0.596212", \ + "0.0358811, 0.0866633, 0.115675, 0.161076, 0.237427, 0.369565, 0.598786", \ + "0.0521443, 0.116861, 0.149474, 0.197999, 0.274427, 0.401009, 0.618898", \ + "0.0803097, 0.168833, 0.206426, 0.262644, 0.346089, 0.476729, 0.686858", \ + "0.13015, 0.254537, 0.306115, 0.373099, 0.470051, 0.616311, 0.837712", \ + "0.219676, 0.393792, 0.467497, 0.561475, 0.683408, 0.850902, 1.10428" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0235323, 0.0908281, 0.136248, 0.211188, 0.336291, 0.544815, 0.892338", \ + "0.0380052, 0.119608, 0.166748, 0.242082, 0.367165, 0.575927, 0.923166", \ + "0.0490129, 0.142555, 0.194314, 0.273293, 0.399639, 0.607993, 0.95497", \ + "0.0677474, 0.179459, 0.238912, 0.327278, 0.461949, 0.674422, 1.02176", \ + "0.0962103, 0.233161, 0.304779, 0.407875, 0.561879, 0.792271, 1.15088", \ + "0.143143, 0.318894, 0.404277, 0.528941, 0.710938, 0.976387, 1.37467", \ + "0.225133, 0.453318, 0.562745, 0.714236, 0.933704, 1.25304, 1.71454" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0195997, 0.108547, 0.17164, 0.276045, 0.450759, 0.742369, 1.22803", \ + "0.0313534, 0.118869, 0.177957, 0.278511, 0.451841, 0.74237, 1.22804", \ + "0.0413597, 0.134014, 0.192449, 0.289595, 0.456716, 0.743743, 1.22805", \ + "0.0594383, 0.160598, 0.22232, 0.319734, 0.481742, 0.757746, 1.23283", \ + "0.0901176, 0.208565, 0.273938, 0.379108, 0.543051, 0.812822, 1.268", \ + "0.142613, 0.286622, 0.363581, 0.477153, 0.655011, 0.934712, 1.38374", \ + "0.240347, 0.42635, 0.51425, 0.644847, 0.847272, 1.14689, 1.62539" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167107, 0.0480399, 0.0685316, 0.10236, 0.158957, 0.253157, 0.41033", \ + "0.0281624, 0.0769688, 0.101875, 0.13875, 0.196466, 0.290817, 0.447755", \ + "0.0330426, 0.093975, 0.124091, 0.166846, 0.23003, 0.32722, 0.484504", \ + "0.0371197, 0.115937, 0.154323, 0.207315, 0.282589, 0.391186, 0.555771", \ + "0.039875, 0.14537, 0.196158, 0.264339, 0.35977, 0.492468, 0.681278", \ + "0.039876, 0.178316, 0.246344, 0.337381, 0.461303, 0.631957, 0.867636", \ + "0.039877, 0.198807, 0.28979, 0.412723, 0.5801, 0.804022, 1.10862" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0129818, 0.0547833, 0.0852696, 0.136033, 0.221083, 0.362793, 0.599017", \ + "0.0274435, 0.07168, 0.0990989, 0.145041, 0.22525, 0.36377, 0.599018", \ + "0.0387536, 0.0890708, 0.117997, 0.163424, 0.23978, 0.372158, 0.602198", \ + "0.0551803, 0.118876, 0.151746, 0.200368, 0.276812, 0.403417, 0.621673", \ + "0.0848428, 0.170174, 0.208553, 0.264613, 0.34814, 0.478571, 0.689369", \ + "0.136723, 0.255762, 0.305786, 0.37285, 0.471265, 0.616666, 0.839199", \ + "0.227118, 0.396859, 0.467502, 0.557739, 0.678947, 0.849992, 1.10322" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0274007, 0.0943112, 0.139682, 0.214601, 0.339679, 0.548328, 0.895674", \ + "0.0382388, 0.115755, 0.162494, 0.237918, 0.36328, 0.572206, 0.919381", \ + "0.0469907, 0.133937, 0.183791, 0.261571, 0.387881, 0.596573, 0.944262", \ + "0.0626444, 0.164987, 0.220298, 0.304389, 0.435852, 0.647278, 0.995022", \ + "0.085673, 0.211999, 0.276725, 0.37166, 0.515767, 0.738694, 1.09304", \ + "0.121838, 0.284736, 0.363444, 0.4761, 0.642285, 0.889527, 1.26902", \ + "0.181751, 0.395564, 0.497595, 0.637964, 0.836235, 1.12798, 1.55365" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.019016, 0.108661, 0.171761, 0.276064, 0.450761, 0.742141, 1.22795", \ + "0.0298733, 0.115474, 0.175802, 0.27764, 0.451255, 0.742719, 1.22796", \ + "0.0406033, 0.126481, 0.185725, 0.284717, 0.454432, 0.743665, 1.22797", \ + "0.0591827, 0.14836, 0.208097, 0.305603, 0.470554, 0.751742, 1.22988", \ + "0.0898194, 0.19037, 0.252378, 0.350894, 0.514252, 0.787152, 1.25196", \ + "0.142129, 0.266072, 0.33231, 0.43637, 0.602633, 0.876285, 1.32959", \ + "0.232668, 0.396572, 0.47614, 0.588839, 0.770252, 1.05194, 1.51058" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179475, 0.0494562, 0.0700158, 0.103862, 0.160363, 0.25479, 0.411184", \ + "0.0317478, 0.0788892, 0.103492, 0.140349, 0.19788, 0.292048, 0.44864", \ + "0.0386541, 0.0969398, 0.126367, 0.168748, 0.231635, 0.328408, 0.485408", \ + "0.0461191, 0.120555, 0.157736, 0.210094, 0.28459, 0.392734, 0.556838", \ + "0.0562428, 0.154173, 0.202511, 0.268861, 0.362985, 0.494795, 0.682907", \ + "0.0687898, 0.197078, 0.260612, 0.347809, 0.468688, 0.636413, 0.871017", \ + "0.0741527, 0.242008, 0.325611, 0.440342, 0.599831, 0.817605, 1.1156" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149508, 0.0569702, 0.0875543, 0.138142, 0.223023, 0.364809, 0.600099", \ + "0.0300071, 0.0737506, 0.101126, 0.147039, 0.227137, 0.365319, 0.6001", \ + "0.0414432, 0.0911608, 0.120075, 0.165279, 0.241635, 0.373694, 0.603325", \ + "0.0589605, 0.12109, 0.153652, 0.202043, 0.278561, 0.404934, 0.622643", \ + "0.0909509, 0.172444, 0.21028, 0.266096, 0.349708, 0.480065, 0.690335", \ + "0.147625, 0.259041, 0.307315, 0.373317, 0.471908, 0.616821, 0.840627", \ + "0.247881, 0.405655, 0.470845, 0.558479, 0.67689, 0.849981, 1.10339" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0290041, 0.0957427, 0.141119, 0.216014, 0.341102, 0.54974, 0.897094", \ + "0.0371473, 0.110292, 0.156593, 0.231853, 0.357182, 0.566136, 0.913382", \ + "0.0427084, 0.122565, 0.170759, 0.247515, 0.37339, 0.58192, 0.929669", \ + "0.0520523, 0.144694, 0.196272, 0.276472, 0.405809, 0.615708, 0.963086", \ + "0.0653434, 0.179283, 0.236796, 0.324498, 0.460446, 0.677357, 1.02843", \ + "0.0841082, 0.230162, 0.300413, 0.400935, 0.552422, 0.782674, 1.14818", \ + "0.110338, 0.300332, 0.392473, 0.51871, 0.696312, 0.955224, 1.3521" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0184597, 0.108731, 0.171666, 0.276109, 0.450749, 0.742126, 1.22795", \ + "0.0261591, 0.113104, 0.174364, 0.277084, 0.451168, 0.74272, 1.22804", \ + "0.035952, 0.12074, 0.181168, 0.281942, 0.453411, 0.743816, 1.22805", \ + "0.055526, 0.138275, 0.197255, 0.296637, 0.46428, 0.748642, 1.22933", \ + "0.0874684, 0.176806, 0.234067, 0.331142, 0.495611, 0.773505, 1.24466", \ + "0.140906, 0.249239, 0.309547, 0.40549, 0.565055, 0.838458, 1.29978", \ + "0.234609, 0.37649, 0.447267, 0.550819, 0.713348, 0.982185, 1.43257" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00379496, 0.00448339, 0.00452166, 0.00442888, 0.00425591, 0.00408976, 0.00324949", \ + "0.00568231, 0.00492286, 0.00479555, 0.00476416, 0.00446467, 0.00418468, 0.00325863", \ + "0.00847243, 0.00637996, 0.00594701, 0.00544697, 0.00513995, 0.00432172, 0.00346261", \ + "0.0143378, 0.0103769, 0.00934234, 0.00826393, 0.00708275, 0.00624215, 0.00452173", \ + "0.0267386, 0.020167, 0.0179445, 0.0156115, 0.012973, 0.0104424, 0.00823913", \ + "0.051903, 0.04248, 0.0384381, 0.0339415, 0.0286954, 0.0241333, 0.019027", \ + "0.103194, 0.0908008, 0.0848185, 0.0770661, 0.0680749, 0.0575919, 0.0468716" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00636704, 0.00709546, 0.00713628, 0.00710215, 0.00692074, 0.00659703, 0.00603317", \ + "0.00798302, 0.00726678, 0.00721202, 0.00712528, 0.00696513, 0.00655613, 0.00591651", \ + "0.0106376, 0.00847938, 0.00802887, 0.00775624, 0.00761118, 0.00683102, 0.00611338", \ + "0.0166442, 0.0121739, 0.0110665, 0.00994803, 0.00904303, 0.00787608, 0.00816712", \ + "0.0292695, 0.0215275, 0.0193292, 0.0169298, 0.0142625, 0.0120446, 0.00991433", \ + "0.0551771, 0.0436221, 0.0390853, 0.0343398, 0.0292082, 0.0239076, 0.0185873", \ + "0.107816, 0.091905, 0.0846997, 0.0757096, 0.066248, 0.0558485, 0.0452455" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00396147, 0.00428897, 0.00425122, 0.00416294, 0.00397064, 0.00359945, 0.00296847", \ + "0.00570751, 0.00481336, 0.00463056, 0.0046544, 0.00422261, 0.00374158, 0.00294094", \ + "0.00857942, 0.00642397, 0.00590293, 0.00531846, 0.00507487, 0.00407424, 0.00337685", \ + "0.014441, 0.0106612, 0.00949869, 0.00833394, 0.00696896, 0.00609862, 0.00419537", \ + "0.0270815, 0.0211409, 0.0187557, 0.0161629, 0.0134869, 0.0105284, 0.00803689", \ + "0.0530133, 0.0442213, 0.0403948, 0.0354958, 0.030115, 0.0249234, 0.0193363", \ + "0.105514, 0.0944286, 0.0887858, 0.081122, 0.0717471, 0.0607, 0.0493104" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00994649, 0.0106148, 0.010625, 0.0105399, 0.0103655, 0.0100343, 0.00947455", \ + "0.0106615, 0.0104783, 0.0104595, 0.0104152, 0.0102672, 0.0100647, 0.00941799", \ + "0.0129805, 0.0113668, 0.0110882, 0.0111325, 0.0104833, 0.0101958, 0.00948222", \ + "0.0188944, 0.0147281, 0.0138094, 0.012819, 0.0121765, 0.011066, 0.0102689", \ + "0.0318212, 0.0240466, 0.0218114, 0.0193706, 0.0171165, 0.0149462, 0.0132388", \ + "0.0584185, 0.046608, 0.0418468, 0.0369749, 0.0317508, 0.0268867, 0.0214507", \ + "0.112394, 0.0963529, 0.0890051, 0.0796787, 0.0694419, 0.0590677, 0.0485163" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00442431, 0.00452036, 0.00447028, 0.004337, 0.00410805, 0.00395884, 0.00315408", \ + "0.00620862, 0.00515985, 0.00489265, 0.00486816, 0.00435163, 0.00384463, 0.00308009", \ + "0.00921056, 0.00687688, 0.00621382, 0.00555522, 0.00534751, 0.00419017, 0.00347619", \ + "0.0156048, 0.0114437, 0.0101004, 0.00877488, 0.00737965, 0.00629037, 0.00480625", \ + "0.0292787, 0.0227778, 0.0200834, 0.0171849, 0.0142522, 0.0110652, 0.00862558", \ + "0.0579597, 0.0481094, 0.0436984, 0.0382128, 0.0323103, 0.0263512, 0.0205052", \ + "0.11539, 0.103286, 0.0969432, 0.0882716, 0.0776346, 0.0656689, 0.0524753" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.013058, 0.0137447, 0.0137349, 0.0136783, 0.013509, 0.0131258, 0.0126184", \ + "0.0133884, 0.0134595, 0.0135859, 0.0134373, 0.013365, 0.0131291, 0.0125511", \ + "0.0154558, 0.0142169, 0.014011, 0.0140203, 0.0137644, 0.013343, 0.0126271", \ + "0.0215055, 0.0175741, 0.0167203, 0.0157652, 0.0152191, 0.0140926, 0.015555", \ + "0.0355495, 0.0276342, 0.0251578, 0.0229035, 0.0205791, 0.0182098, 0.017099", \ + "0.0645769, 0.0525091, 0.0474799, 0.0420853, 0.0366044, 0.0313368, 0.0257386", \ + "0.123473, 0.10716, 0.0994939, 0.0898848, 0.0783675, 0.0663633, 0.0555767" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00319815; + rise_capacitance : 0.00313521; + rise_capacitance_range (0.00313521, 0.00313521); + fall_capacitance : 0.00326109; + fall_capacitance_range (0.00326109, 0.00326109); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00336641; + rise_capacitance : 0.00347152; + rise_capacitance_range (0.00347152, 0.00347152); + fall_capacitance : 0.0032613; + fall_capacitance_range (0.0032613, 0.0032613); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00334284; + rise_capacitance : 0.00352705; + rise_capacitance_range (0.00352705, 0.00352705); + fall_capacitance : 0.00315862; + fall_capacitance_range (0.00315862, 0.00315862); + } + } + cell (sg13g2_nand3b_1) { + area : 12.7008; + cell_footprint : "nand3b1"; + cell_leakage_power : 1221.39; + leakage_power () { + value : 532.672; + when : "!A_N&!B&!C"; + } + leakage_power () { + value : 1011.17; + when : "!A_N&!B&C"; + } + leakage_power () { + value : 360.909; + when : "!A_N&B&!C"; + } + leakage_power () { + value : 2342.21; + when : "!A_N&B&C"; + } + leakage_power () { + value : 1068.02; + when : "A_N&!B&!C"; + } + leakage_power () { + value : 1540.5; + when : "A_N&!B&C"; + } + leakage_power () { + value : 895.552; + when : "A_N&B&!C"; + } + leakage_power () { + value : 2020.08; + when : "A_N&B&C"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0290456, 0.0601195, 0.0806323, 0.114502, 0.17107, 0.265552, 0.42235", \ + "0.0441174, 0.0757055, 0.096254, 0.13013, 0.186888, 0.281125, 0.438074", \ + "0.0536849, 0.0861388, 0.106531, 0.140262, 0.196947, 0.29136, 0.448398", \ + "0.0674338, 0.102597, 0.123132, 0.156942, 0.213129, 0.307283, 0.464278", \ + "0.0837665, 0.123485, 0.144742, 0.179187, 0.236337, 0.330848, 0.48768", \ + "0.103256, 0.151068, 0.174998, 0.210625, 0.270113, 0.367063, 0.525311", \ + "0.125821, 0.184987, 0.213067, 0.253643, 0.316363, 0.419277, 0.584336" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0103433, 0.0531, 0.0839781, 0.134587, 0.219415, 0.361305, 0.59706", \ + "0.0132548, 0.0536744, 0.0840772, 0.134687, 0.219544, 0.361306, 0.597428", \ + "0.0159102, 0.0544663, 0.0845157, 0.134979, 0.219754, 0.361307, 0.597429", \ + "0.0202433, 0.0569571, 0.0861403, 0.135973, 0.220428, 0.361594, 0.59743", \ + "0.0272208, 0.0629597, 0.0909299, 0.139822, 0.223375, 0.363512, 0.598385", \ + "0.0385451, 0.0751863, 0.101934, 0.148505, 0.231731, 0.371313, 0.603967", \ + "0.056661, 0.097076, 0.123017, 0.1682, 0.249054, 0.389605, 0.622457" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0386643, 0.105935, 0.151344, 0.226406, 0.351554, 0.559899, 0.907355", \ + "0.0546026, 0.12153, 0.167133, 0.242042, 0.3675, 0.575976, 0.92378", \ + "0.0636516, 0.130105, 0.175525, 0.250528, 0.375684, 0.584309, 0.931862", \ + "0.0751888, 0.142204, 0.18753, 0.262456, 0.387759, 0.596245, 0.94372", \ + "0.0936074, 0.163275, 0.208969, 0.283763, 0.409102, 0.61784, 0.965397", \ + "0.12089, 0.194931, 0.241669, 0.318124, 0.444449, 0.653466, 1.00051", \ + "0.151461, 0.233821, 0.282819, 0.362399, 0.493437, 0.707258, 1.05576" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0190869, 0.109541, 0.172585, 0.277094, 0.451824, 0.743247, 1.22816", \ + "0.0207693, 0.10975, 0.172785, 0.277106, 0.451825, 0.743316, 1.22857", \ + "0.0222406, 0.109984, 0.172984, 0.277132, 0.451826, 0.743317, 1.22893", \ + "0.0252062, 0.110862, 0.173566, 0.277481, 0.451865, 0.743318, 1.23582", \ + "0.0306117, 0.114201, 0.176029, 0.279173, 0.452789, 0.743319, 1.23583", \ + "0.040393, 0.122113, 0.182969, 0.285695, 0.45796, 0.746377, 1.23584", \ + "0.057141, 0.137963, 0.197772, 0.300688, 0.473454, 0.760271, 1.23853" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0162365, 0.0478901, 0.0684524, 0.102299, 0.158902, 0.253127, 0.410241", \ + "0.027759, 0.0768223, 0.101761, 0.138698, 0.196382, 0.290809, 0.44775", \ + "0.0326321, 0.0938692, 0.123999, 0.166746, 0.229988, 0.327151, 0.484491", \ + "0.0367994, 0.115883, 0.15426, 0.207265, 0.28254, 0.391205, 0.555849", \ + "0.0395696, 0.14524, 0.196116, 0.264277, 0.359741, 0.49267, 0.681254", \ + "0.0395706, 0.178245, 0.246053, 0.337338, 0.461258, 0.632012, 0.867514", \ + "0.0395716, 0.198673, 0.289703, 0.4127, 0.580068, 0.804384, 1.10849" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0133782, 0.0549628, 0.0853878, 0.136111, 0.221127, 0.362817, 0.598867", \ + "0.0277433, 0.0718161, 0.0991754, 0.145142, 0.225286, 0.363792, 0.5989", \ + "0.0391293, 0.0892144, 0.118095, 0.163448, 0.239855, 0.372188, 0.602222", \ + "0.0555991, 0.119388, 0.151838, 0.199994, 0.27675, 0.403387, 0.621609", \ + "0.0855512, 0.170175, 0.208684, 0.264662, 0.348297, 0.478778, 0.689362", \ + "0.137104, 0.25587, 0.306242, 0.373188, 0.471289, 0.616964, 0.839279", \ + "0.228532, 0.397158, 0.466741, 0.557902, 0.678964, 0.850794, 1.10386" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0287403, 0.0960579, 0.141647, 0.216388, 0.341484, 0.549989, 0.897475", \ + "0.0388886, 0.116898, 0.163771, 0.239262, 0.364753, 0.573652, 0.920957", \ + "0.0472208, 0.134774, 0.184841, 0.262701, 0.38914, 0.597962, 0.945604", \ + "0.0625759, 0.165597, 0.221063, 0.305053, 0.436813, 0.648354, 0.996289", \ + "0.0855276, 0.212147, 0.277216, 0.372369, 0.51642, 0.739615, 1.09451", \ + "0.121886, 0.285329, 0.363571, 0.476261, 0.642775, 0.890154, 1.26941", \ + "0.181752, 0.395812, 0.497473, 0.638097, 0.83688, 1.1256, 1.55356" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0195394, 0.10984, 0.17272, 0.277026, 0.451601, 0.742847, 1.22883", \ + "0.0293944, 0.116151, 0.17657, 0.278497, 0.452199, 0.743551, 1.22884", \ + "0.0400214, 0.126929, 0.186192, 0.285529, 0.455289, 0.74497, 1.22885", \ + "0.0587987, 0.149001, 0.208912, 0.306121, 0.471215, 0.752406, 1.23081", \ + "0.0895715, 0.190944, 0.252558, 0.351113, 0.515129, 0.7879, 1.25269", \ + "0.14126, 0.265877, 0.332453, 0.436716, 0.602865, 0.877132, 1.33022", \ + "0.23259, 0.396798, 0.477304, 0.58893, 0.768371, 1.05154, 1.50861" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0176826, 0.0493565, 0.0699208, 0.103797, 0.160325, 0.254758, 0.411176", \ + "0.0315424, 0.0788949, 0.103577, 0.140271, 0.197834, 0.291954, 0.448575", \ + "0.0384551, 0.0968935, 0.126286, 0.168741, 0.231602, 0.328392, 0.485401", \ + "0.0459205, 0.120461, 0.157696, 0.210139, 0.284635, 0.392725, 0.556822", \ + "0.0561293, 0.154009, 0.20234, 0.268826, 0.362953, 0.494666, 0.682985", \ + "0.0687759, 0.197103, 0.260553, 0.3479, 0.467967, 0.635849, 0.871009", \ + "0.0742284, 0.241991, 0.325585, 0.440459, 0.599165, 0.816657, 1.1156" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015463, 0.057148, 0.0876705, 0.138273, 0.223066, 0.364834, 0.600039", \ + "0.0304089, 0.0738479, 0.101185, 0.147105, 0.227178, 0.365385, 0.600064", \ + "0.0418929, 0.091368, 0.120189, 0.165444, 0.241675, 0.373722, 0.603353", \ + "0.0594518, 0.121267, 0.153772, 0.202007, 0.278653, 0.404977, 0.622602", \ + "0.0913827, 0.172643, 0.210234, 0.266209, 0.349727, 0.480166, 0.690224", \ + "0.148033, 0.25897, 0.307178, 0.373373, 0.472178, 0.617439, 0.840651", \ + "0.249275, 0.405719, 0.470884, 0.557882, 0.676361, 0.849525, 1.10339" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0311002, 0.0982365, 0.143647, 0.218439, 0.343736, 0.552098, 0.899587", \ + "0.0391612, 0.112482, 0.158853, 0.234184, 0.359555, 0.568592, 0.916158", \ + "0.0442916, 0.124445, 0.172869, 0.249677, 0.375589, 0.584332, 0.931651", \ + "0.0527371, 0.145966, 0.197936, 0.278333, 0.407746, 0.617878, 0.96523", \ + "0.0653303, 0.179723, 0.237777, 0.325403, 0.461924, 0.678949, 1.03063", \ + "0.0842395, 0.230006, 0.301419, 0.401589, 0.552743, 0.783786, 1.14967", \ + "0.110332, 0.300894, 0.392657, 0.518669, 0.696603, 0.957138, 1.35262" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0190841, 0.109551, 0.17262, 0.277087, 0.451813, 0.743298, 1.22883", \ + "0.0254856, 0.113724, 0.175185, 0.277938, 0.451837, 0.743704, 1.22884", \ + "0.0345565, 0.121107, 0.181787, 0.282637, 0.454247, 0.746525, 1.22885", \ + "0.0545856, 0.138342, 0.197818, 0.297224, 0.465035, 0.749351, 1.23054", \ + "0.0873314, 0.176978, 0.234036, 0.330913, 0.496134, 0.774126, 1.24541", \ + "0.140916, 0.24916, 0.309669, 0.405127, 0.566045, 0.838904, 1.29999", \ + "0.234582, 0.375169, 0.447471, 0.5511, 0.713762, 0.980793, 1.4327" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00584391, 0.00658521, 0.00663121, 0.00652741, 0.00628961, 0.00600021, 0.00524941", \ + "0.00578108, 0.00625058, 0.00619038, 0.00629598, 0.00600748, 0.00563238, 0.00505158", \ + "0.0059242, 0.0060749, 0.0060986, 0.0059113, 0.0060411, 0.0053834, 0.0054994", \ + "0.0064272, 0.0060056, 0.0060251, 0.0059958, 0.005751, 0.0052292, 0.0059399", \ + "0.0074714, 0.0060734, 0.0059972, 0.0058526, 0.0057862, 0.0055067, 0.0047648", \ + "0.0100657, 0.007142, 0.0068189, 0.0061747, 0.0060417, 0.0058818, 0.0053488", \ + "0.0147989, 0.0091139, 0.0082719, 0.0074889, 0.0063049, 0.0062639, 0.00570291" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107525, 0.0114822, 0.0115189, 0.0114776, 0.011291, 0.0108842, 0.0104051", \ + "0.0107961, 0.0112106, 0.011317, 0.0113124, 0.0111564, 0.0108223, 0.0103107", \ + "0.01092, 0.0111042, 0.0111579, 0.0111783, 0.0109707, 0.0106535, 0.010325", \ + "0.0111814, 0.0110556, 0.0110914, 0.0110546, 0.0113084, 0.0104075, 0.0112038", \ + "0.0119587, 0.0109728, 0.0109435, 0.0108646, 0.0106275, 0.0107804, 0.0100795", \ + "0.0138187, 0.0113119, 0.0108289, 0.0105422, 0.0103515, 0.0103989, 0.0095577", \ + "0.0180195, 0.0128245, 0.0118705, 0.0108935, 0.0103425, 0.0098715, 0.0095905" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00400158, 0.00431702, 0.00429797, 0.0041833, 0.004011, 0.00363651, 0.00297926", \ + "0.00579112, 0.00487233, 0.00467692, 0.00469464, 0.00423614, 0.00379242, 0.0029815", \ + "0.0086676, 0.00648035, 0.0059588, 0.00534722, 0.00513408, 0.0041151, 0.00342389", \ + "0.0145443, 0.010765, 0.00956464, 0.00832955, 0.00702742, 0.00602402, 0.00468046", \ + "0.0271827, 0.0211365, 0.0188362, 0.0162203, 0.0135745, 0.0106227, 0.00816984", \ + "0.053111, 0.0442949, 0.0404193, 0.0355508, 0.0301926, 0.0250221, 0.0194232", \ + "0.105599, 0.0945096, 0.0888234, 0.0811611, 0.0717965, 0.0608656, 0.049316" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.010133, 0.0108403, 0.0108458, 0.0107439, 0.0105312, 0.010163, 0.00959921", \ + "0.0107355, 0.0106225, 0.010636, 0.010545, 0.0104238, 0.0101824, 0.00943566", \ + "0.0129268, 0.0114385, 0.011202, 0.0112889, 0.0107732, 0.010391, 0.00961132", \ + "0.0187904, 0.0147615, 0.013862, 0.0128051, 0.0122351, 0.0111064, 0.0113081", \ + "0.0317188, 0.0239802, 0.0217763, 0.0193986, 0.017092, 0.0150147, 0.013783", \ + "0.0583468, 0.0465787, 0.0417678, 0.0368799, 0.0317109, 0.0268985, 0.0214046", \ + "0.112305, 0.0962292, 0.0889238, 0.0795524, 0.0692267, 0.0582821, 0.0479942" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00441831, 0.00451077, 0.00447255, 0.00436505, 0.00412302, 0.003929, 0.00314333", \ + "0.00622501, 0.00515185, 0.0048936, 0.00486247, 0.00430734, 0.00382262, 0.00305289", \ + "0.00924924, 0.0068794, 0.00624055, 0.00557377, 0.00537447, 0.00427421, 0.00346666", \ + "0.0156648, 0.0114514, 0.0101169, 0.00880851, 0.00728972, 0.00638555, 0.00479806", \ + "0.0293337, 0.0227748, 0.0200635, 0.017209, 0.0142517, 0.0110258, 0.00844225", \ + "0.0580299, 0.0481376, 0.0436778, 0.0382891, 0.0321984, 0.0261709, 0.0204914", \ + "0.115454, 0.103351, 0.0969514, 0.0882492, 0.0775225, 0.0653844, 0.052461" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0136806, 0.0143597, 0.0143585, 0.0142975, 0.0141257, 0.0137606, 0.0131526", \ + "0.0138618, 0.0140185, 0.0141103, 0.014047, 0.0138993, 0.0137626, 0.0130851", \ + "0.0156849, 0.0146683, 0.0145086, 0.0146409, 0.0143119, 0.0141538, 0.0131289", \ + "0.021464, 0.0177952, 0.0170468, 0.0161838, 0.0156995, 0.014539, 0.014017", \ + "0.0353957, 0.0275713, 0.0252476, 0.0229411, 0.0207286, 0.0186477, 0.0171311", \ + "0.0643787, 0.0523537, 0.047475, 0.0420009, 0.03668, 0.031412, 0.0261016", \ + "0.123309, 0.10702, 0.0993697, 0.0897034, 0.0782765, 0.0665253, 0.0555793" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00254876; + rise_capacitance : 0.00259251; + rise_capacitance_range (0.00259251, 0.00259251); + fall_capacitance : 0.00250501; + fall_capacitance_range (0.00250501, 0.00250501); + internal_power () { + when : "(B * !C) + (!B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00599299, 0.00760312, 0.010136, 0.015703, 0.0272723, 0.0508951, 0.0988891" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00366057, 0.00552444, 0.00803893, 0.0134464, 0.0247511, 0.0484477, 0.0958245" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00599299, 0.00760312, 0.010136, 0.015703, 0.0272723, 0.0508951, 0.0988891" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00366057, 0.00552444, 0.00803893, 0.0134464, 0.0247511, 0.0484477, 0.0958245" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00336912; + rise_capacitance : 0.00351347; + rise_capacitance_range (0.00351347, 0.00351347); + fall_capacitance : 0.00322476; + fall_capacitance_range (0.00322476, 0.00322476); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00338887; + rise_capacitance : 0.00361564; + rise_capacitance_range (0.00361564, 0.00361564); + fall_capacitance : 0.00316209; + fall_capacitance_range (0.00316209, 0.00316209); + } + } + cell (sg13g2_nand4_1) { + area : 10.8864; + cell_footprint : "nand4"; + cell_leakage_power : 1017.88; + leakage_power () { + value : 746.712; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 1219; + when : "!A&!B&!C&D&Y"; + } + leakage_power () { + value : 576.189; + when : "!A&!B&C&!D&Y"; + } + leakage_power () { + value : 1691.46; + when : "!A&!B&C&D&Y"; + } + leakage_power () { + value : 571.031; + when : "!A&B&!C&!D&Y"; + } + leakage_power () { + value : 1046.47; + when : "!A&B&!C&D&Y"; + } + leakage_power () { + value : 395.95; + when : "!A&B&C&!D&Y"; + } + leakage_power () { + value : 2170.98; + when : "!A&B&C&D&Y"; + } + leakage_power () { + value : 560.783; + when : "A&!B&!C&!D&Y"; + } + leakage_power () { + value : 1033.24; + when : "A&!B&!C&D&Y"; + } + leakage_power () { + value : 388.557; + when : "A&!B&C&!D&Y"; + } + leakage_power () { + value : 1511.73; + when : "A&!B&C&D&Y"; + } + leakage_power () { + value : 383.031; + when : "A&B&!C&!D&Y"; + } + leakage_power () { + value : 861.425; + when : "A&B&!C&D&Y"; + } + leakage_power () { + value : 211.444; + when : "A&B&C&!D&Y"; + } + leakage_power () { + value : 2918.1; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0152005, 0.0468041, 0.0672889, 0.101135, 0.157697, 0.251918, 0.409003", \ + "0.0239133, 0.0752045, 0.100353, 0.137399, 0.19518, 0.289597, 0.446577", \ + "0.0257892, 0.0912874, 0.121896, 0.165153, 0.228627, 0.325906, 0.483331", \ + "0.0257902, 0.110713, 0.150603, 0.204517, 0.280563, 0.389478, 0.554491", \ + "0.0257912, 0.133485, 0.187266, 0.258088, 0.355094, 0.489088, 0.679227", \ + "0.0257922, 0.149527, 0.223414, 0.321063, 0.450217, 0.623808, 0.863085", \ + "0.0257932, 0.149528, 0.231929, 0.36679, 0.545817, 0.780609, 1.09328" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115712, 0.0531471, 0.0835862, 0.134336, 0.219356, 0.360979, 0.597183", \ + "0.0258507, 0.0700837, 0.097437, 0.143457, 0.22358, 0.36196, 0.597184", \ + "0.0376023, 0.0876102, 0.116505, 0.161817, 0.23817, 0.37045, 0.60017", \ + "0.0552465, 0.118212, 0.15091, 0.198863, 0.275385, 0.401953, 0.619901", \ + "0.0854179, 0.17223, 0.210187, 0.264952, 0.348656, 0.478011, 0.688022", \ + "0.137696, 0.26124, 0.312784, 0.379159, 0.475036, 0.620241, 0.839834", \ + "0.22897, 0.404242, 0.480179, 0.574198, 0.696784, 0.861551, 1.11037" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0290656, 0.116126, 0.175392, 0.272949, 0.436104, 0.707911, 1.16078", \ + "0.0458163, 0.14375, 0.20369, 0.301293, 0.464501, 0.736543, 1.18904", \ + "0.0592819, 0.168505, 0.232076, 0.331478, 0.494599, 0.765984, 1.21875", \ + "0.0825845, 0.209313, 0.280291, 0.387811, 0.556245, 0.828936, 1.28078", \ + "0.119359, 0.271798, 0.354318, 0.475721, 0.661588, 0.947335, 1.40389", \ + "0.18173, 0.371867, 0.469718, 0.612985, 0.825523, 1.14577, 1.63327", \ + "0.292488, 0.538176, 0.658834, 0.828852, 1.08178, 1.45164, 2.00604" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0276326, 0.144939, 0.227279, 0.36328, 0.590852, 0.970431, 1.60275", \ + "0.0385872, 0.152301, 0.230713, 0.364558, 0.591055, 0.970672, 1.60369", \ + "0.0480191, 0.166104, 0.242862, 0.371669, 0.593947, 0.971168, 1.6037", \ + "0.0661933, 0.192354, 0.270898, 0.397737, 0.612017, 0.979344, 1.60459", \ + "0.0980166, 0.237323, 0.321361, 0.453905, 0.666912, 1.0222, 1.62837", \ + "0.152391, 0.317986, 0.410242, 0.549596, 0.776807, 1.13413, 1.72408", \ + "0.250002, 0.453978, 0.55995, 0.716496, 0.960858, 1.34149, 1.94885" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0176231, 0.0487681, 0.0692592, 0.103089, 0.159653, 0.25425, 0.410942", \ + "0.0292139, 0.07783, 0.102654, 0.1395, 0.197193, 0.291578, 0.448499", \ + "0.033355, 0.0947357, 0.124819, 0.167538, 0.230714, 0.327899, 0.485214", \ + "0.0355585, 0.115929, 0.154447, 0.207815, 0.283057, 0.391803, 0.556428", \ + "0.0355595, 0.142052, 0.193885, 0.263142, 0.359462, 0.491771, 0.681265", \ + "0.0355605, 0.165878, 0.236135, 0.330512, 0.456521, 0.628716, 0.866927", \ + "0.0355615, 0.165879, 0.259341, 0.38822, 0.56138, 0.792046, 1.10018" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0136807, 0.0556185, 0.0861458, 0.137043, 0.221937, 0.363941, 0.599953", \ + "0.0285938, 0.0723383, 0.0998122, 0.145789, 0.226032, 0.364587, 0.60004", \ + "0.0406925, 0.0900974, 0.118833, 0.164142, 0.240495, 0.373008, 0.603057", \ + "0.0587854, 0.12116, 0.153124, 0.201266, 0.277574, 0.40421, 0.622342", \ + "0.0903126, 0.174222, 0.211969, 0.267404, 0.349939, 0.480239, 0.690358", \ + "0.14425, 0.263308, 0.313546, 0.379742, 0.47642, 0.620664, 0.841898", \ + "0.237554, 0.409881, 0.481546, 0.573247, 0.692947, 0.861934, 1.11091" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0355301, 0.122332, 0.181462, 0.278994, 0.442242, 0.714196, 1.16694", \ + "0.0477677, 0.143578, 0.203547, 0.301445, 0.465016, 0.737115, 1.18966", \ + "0.0588805, 0.163462, 0.225929, 0.32514, 0.488805, 0.760688, 1.21372", \ + "0.0795095, 0.198297, 0.266073, 0.370703, 0.537808, 0.81067, 1.2632", \ + "0.112159, 0.254271, 0.331413, 0.446058, 0.624424, 0.905489, 1.36161", \ + "0.166258, 0.345411, 0.435834, 0.568993, 0.768626, 1.07257, 1.54722", \ + "0.259899, 0.492618, 0.606592, 0.765837, 0.999611, 1.34581, 1.86548" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0275252, 0.145001, 0.227328, 0.363219, 0.590929, 0.970669, 1.60314", \ + "0.03815, 0.150222, 0.229749, 0.364337, 0.591414, 0.97067, 1.60369", \ + "0.0484598, 0.160676, 0.23817, 0.368988, 0.593038, 0.971185, 1.6037", \ + "0.0674336, 0.182215, 0.25984, 0.387281, 0.604868, 0.975921, 1.60441", \ + "0.0996526, 0.224051, 0.3026, 0.431303, 0.643927, 1.0045, 1.61805", \ + "0.153947, 0.298967, 0.38182, 0.515392, 0.731935, 1.08742, 1.68319", \ + "0.248535, 0.429572, 0.524618, 0.668138, 0.89275, 1.25775, 1.85487" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0189515, 0.0503633, 0.0709955, 0.104906, 0.161545, 0.256165, 0.413033", \ + "0.0326901, 0.0799151, 0.104643, 0.141371, 0.199004, 0.293402, 0.450452", \ + "0.0387088, 0.0976455, 0.127295, 0.169698, 0.232727, 0.329765, 0.487149", \ + "0.0439069, 0.120174, 0.158031, 0.210743, 0.285585, 0.393968, 0.558403", \ + "0.048068, 0.14983, 0.199832, 0.267574, 0.362901, 0.495103, 0.683781", \ + "0.048069, 0.181815, 0.248651, 0.339661, 0.462987, 0.633645, 0.86985", \ + "0.04807, 0.198936, 0.288541, 0.410628, 0.577851, 0.803743, 1.1077" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0156105, 0.0579006, 0.0886783, 0.139515, 0.224392, 0.366486, 0.602329", \ + "0.0310869, 0.0744185, 0.101854, 0.148023, 0.228405, 0.367005, 0.602396", \ + "0.043455, 0.0921671, 0.120954, 0.16627, 0.242835, 0.37534, 0.605783", \ + "0.0626442, 0.123559, 0.155232, 0.2032, 0.279991, 0.406579, 0.624772", \ + "0.0965843, 0.176748, 0.214198, 0.269278, 0.352225, 0.48212, 0.692595", \ + "0.154181, 0.267713, 0.316452, 0.381877, 0.478454, 0.622163, 0.843843", \ + "0.255859, 0.420124, 0.488567, 0.576153, 0.694437, 0.863134, 1.11351" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0394088, 0.126118, 0.185282, 0.282771, 0.44623, 0.717779, 1.17065", \ + "0.0487088, 0.141447, 0.20126, 0.299114, 0.462502, 0.73485, 1.18747", \ + "0.0565737, 0.155528, 0.217069, 0.315882, 0.479425, 0.75127, 1.20425", \ + "0.07178, 0.182374, 0.246823, 0.348895, 0.51462, 0.786901, 1.23939", \ + "0.0965466, 0.227005, 0.297734, 0.406381, 0.579137, 0.855616, 1.30971", \ + "0.136694, 0.301217, 0.383476, 0.505084, 0.691323, 0.98103, 1.44635", \ + "0.2037, 0.417405, 0.521638, 0.668041, 0.879647, 1.19949, 1.68911" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0271577, 0.14497, 0.227347, 0.363355, 0.5911, 0.970308, 1.60293", \ + "0.0351469, 0.148641, 0.229095, 0.364092, 0.591101, 0.970563, 1.60375", \ + "0.0449115, 0.156297, 0.234992, 0.367344, 0.592336, 0.97087, 1.60376", \ + "0.0657621, 0.173454, 0.251296, 0.380621, 0.600987, 0.974111, 1.60377", \ + "0.100029, 0.211308, 0.28638, 0.414687, 0.630422, 0.994961, 1.61386", \ + "0.156402, 0.284902, 0.361423, 0.48685, 0.698557, 1.05747, 1.66027", \ + "0.254698, 0.415622, 0.504515, 0.635109, 0.847564, 1.19779, 1.78923" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194437, 0.0514369, 0.0722022, 0.106205, 0.162883, 0.257356, 0.413821", \ + "0.0349274, 0.0815512, 0.106093, 0.142714, 0.200329, 0.294598, 0.451274", \ + "0.0424303, 0.0999337, 0.129225, 0.171386, 0.234148, 0.330938, 0.488063", \ + "0.0502435, 0.123852, 0.160944, 0.21295, 0.287276, 0.395379, 0.559498", \ + "0.0608261, 0.156907, 0.205463, 0.271791, 0.365732, 0.497184, 0.685498", \ + "0.0728465, 0.197754, 0.261479, 0.348509, 0.469011, 0.637513, 0.87234", \ + "0.0755427, 0.237449, 0.320534, 0.435031, 0.594859, 0.813417, 1.11417" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0173226, 0.059952, 0.0905365, 0.141305, 0.226174, 0.368068, 0.603393", \ + "0.0334375, 0.0762723, 0.103649, 0.149806, 0.230124, 0.368583, 0.603397", \ + "0.0462005, 0.0943187, 0.122865, 0.168043, 0.244473, 0.376829, 0.605861", \ + "0.0669485, 0.125916, 0.157366, 0.205201, 0.281534, 0.407959, 0.625851", \ + "0.104107, 0.180022, 0.216727, 0.2713, 0.35433, 0.483638, 0.69348", \ + "0.169021, 0.273755, 0.32007, 0.383207, 0.479888, 0.622855, 0.844653", \ + "0.283404, 0.433567, 0.499831, 0.581809, 0.694504, 0.861907, 1.11264" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0409243, 0.127592, 0.186763, 0.284241, 0.447408, 0.719472, 1.17211", \ + "0.0484815, 0.139001, 0.19868, 0.296538, 0.460138, 0.732242, 1.185", \ + "0.0538793, 0.148378, 0.209217, 0.307723, 0.471202, 0.743087, 1.19606", \ + "0.0624416, 0.166898, 0.229354, 0.329924, 0.494596, 0.766617, 1.21911", \ + "0.0765246, 0.198217, 0.265045, 0.369382, 0.537891, 0.812816, 1.26508", \ + "0.0987088, 0.249541, 0.327449, 0.440614, 0.618146, 0.898597, 1.35789", \ + "0.131285, 0.326172, 0.422031, 0.557891, 0.756429, 1.05753, 1.5311" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0269661, 0.144942, 0.227226, 0.363371, 0.590956, 0.970665, 1.60271", \ + "0.0323702, 0.147513, 0.228496, 0.364551, 0.590957, 0.970666, 1.60272", \ + "0.0402468, 0.153182, 0.232879, 0.366256, 0.591911, 0.97575, 1.60369", \ + "0.0601483, 0.167064, 0.245001, 0.376273, 0.598543, 0.975751, 1.60449", \ + "0.0962331, 0.201934, 0.275439, 0.402795, 0.620713, 0.988832, 1.61103", \ + "0.154824, 0.273807, 0.347988, 0.468274, 0.678619, 1.03758, 1.64747", \ + "0.25955, 0.405503, 0.485523, 0.611167, 0.814679, 1.15798, 1.75268" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00375946, 0.00435803, 0.00436625, 0.00430084, 0.00410707, 0.0037278, 0.00302163", \ + "0.0053665, 0.00480445, 0.00467308, 0.00477519, 0.00430933, 0.00401772, 0.00317406", \ + "0.00780015, 0.00607186, 0.00568649, 0.00519857, 0.00512987, 0.00432315, 0.00344575", \ + "0.0130051, 0.00960213, 0.00867795, 0.00774115, 0.00662843, 0.00583818, 0.00477742", \ + "0.0242005, 0.0184168, 0.0164658, 0.0142035, 0.012087, 0.00980235, 0.00757606", \ + "0.047278, 0.0385124, 0.03473, 0.0306529, 0.0261349, 0.0217131, 0.0171442", \ + "0.0941487, 0.0824829, 0.0768317, 0.0696379, 0.0616138, 0.0514957, 0.0419041" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00772822, 0.00840157, 0.00844744, 0.00840703, 0.00821787, 0.00787224, 0.00724052", \ + "0.00906978, 0.00848892, 0.00835607, 0.00834541, 0.00814405, 0.007864, 0.00738949", \ + "0.0113784, 0.00945147, 0.00925796, 0.00886018, 0.00856562, 0.00805762, 0.00752096", \ + "0.0167386, 0.0128633, 0.0117604, 0.0107892, 0.0101153, 0.00911867, 0.0079676", \ + "0.0282659, 0.0212664, 0.0192579, 0.0169865, 0.0146386, 0.0127561, 0.0105342", \ + "0.0519301, 0.0410734, 0.0373543, 0.0328477, 0.0281909, 0.0230817, 0.0188778", \ + "0.100187, 0.0851209, 0.0784468, 0.070207, 0.0614368, 0.0520993, 0.0426999" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00411553, 0.00441115, 0.0043764, 0.00434641, 0.00407782, 0.00384479, 0.0030647", \ + "0.0054645, 0.00485617, 0.00466892, 0.00473809, 0.00443714, 0.00384431, 0.00320665", \ + "0.00794067, 0.00623172, 0.00578353, 0.00525226, 0.00493685, 0.00414237, 0.0034404", \ + "0.0131249, 0.00997853, 0.00890825, 0.00790549, 0.00674506, 0.00588617, 0.00422714", \ + "0.0245282, 0.0191554, 0.0170512, 0.0147755, 0.0122771, 0.00967934, 0.00750136", \ + "0.0478401, 0.0397257, 0.0361184, 0.0318683, 0.0272448, 0.0223244, 0.0176998", \ + "0.0953803, 0.0848466, 0.0796981, 0.0725049, 0.0638656, 0.0540707, 0.0436866" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0113349, 0.0119179, 0.0119272, 0.0118719, 0.011697, 0.0113293, 0.0108238", \ + "0.0117104, 0.0117772, 0.0116538, 0.0116839, 0.0115789, 0.0112762, 0.0108336", \ + "0.0136264, 0.0123508, 0.0122786, 0.0119387, 0.0118987, 0.0113388, 0.010792", \ + "0.0188188, 0.0152692, 0.0144669, 0.0136459, 0.0132405, 0.0122758, 0.0112984", \ + "0.0304389, 0.0235852, 0.0215249, 0.0193705, 0.0171471, 0.015543, 0.0136036", \ + "0.0544679, 0.0435298, 0.0393726, 0.0350989, 0.0304903, 0.0254506, 0.0215232", \ + "0.103561, 0.0882369, 0.081347, 0.0730108, 0.0638008, 0.0542523, 0.0446197" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00449798, 0.00460818, 0.00459111, 0.00453061, 0.0042084, 0.00403367, 0.00320824", \ + "0.00578627, 0.00501736, 0.00486487, 0.00485385, 0.00432589, 0.00387037, 0.0031493", \ + "0.00834548, 0.00647363, 0.00601354, 0.00538124, 0.00507989, 0.00429631, 0.00357631", \ + "0.0138762, 0.0103541, 0.00928159, 0.00816313, 0.00691252, 0.00609423, 0.00452305", \ + "0.0260296, 0.0202424, 0.0179002, 0.0154061, 0.0127634, 0.0101591, 0.00781872", \ + "0.0511702, 0.0425315, 0.0384491, 0.0338197, 0.0287006, 0.0233075, 0.0179714", \ + "0.102565, 0.0913155, 0.0853875, 0.0775218, 0.0681524, 0.0576139, 0.0461563" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145471, 0.0151321, 0.01516, 0.015091, 0.0149184, 0.014535, 0.0140224", \ + "0.0145169, 0.0147585, 0.0147689, 0.0148302, 0.014659, 0.0144301, 0.0139972", \ + "0.0160907, 0.0151906, 0.0152005, 0.0149461, 0.0155618, 0.0144504, 0.0140715", \ + "0.0212811, 0.0180307, 0.0172489, 0.0165692, 0.0164457, 0.0156785, 0.0144617", \ + "0.0337015, 0.0266232, 0.0244998, 0.0225105, 0.0203882, 0.0190355, 0.0172284", \ + "0.0595988, 0.0483107, 0.0438667, 0.0391507, 0.0344292, 0.0296107, 0.0251948", \ + "0.112399, 0.0968069, 0.0896605, 0.0806583, 0.0709923, 0.0607231, 0.0501636" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00478485, 0.00484898, 0.00471961, 0.00459769, 0.00437427, 0.00414927, 0.00333278", \ + "0.00611047, 0.00527149, 0.00502183, 0.00490101, 0.00454093, 0.00400568, 0.00329389", \ + "0.00880346, 0.00675512, 0.00624635, 0.00560504, 0.00539215, 0.00434423, 0.00333101", \ + "0.0148271, 0.0109038, 0.00971579, 0.00847585, 0.00723426, 0.00635195, 0.00494041", \ + "0.0280704, 0.0215221, 0.0189904, 0.0162564, 0.0135748, 0.0105401, 0.00814753", \ + "0.0559452, 0.0458541, 0.0414473, 0.0359689, 0.0303946, 0.0244434, 0.0189071", \ + "0.112127, 0.0994862, 0.0930349, 0.0839692, 0.0731817, 0.0610641, 0.0487079" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0176676, 0.0182307, 0.0182511, 0.0181971, 0.0180068, 0.0176551, 0.0172188", \ + "0.0174936, 0.0178224, 0.0178309, 0.0179708, 0.0177774, 0.0174967, 0.0170588", \ + "0.0188595, 0.0182137, 0.0182307, 0.0180446, 0.017896, 0.0183237, 0.0171951", \ + "0.0239347, 0.0209635, 0.0202197, 0.0196302, 0.0195455, 0.0187657, 0.0177358", \ + "0.0372073, 0.0300452, 0.0278878, 0.0258287, 0.0237756, 0.0223565, 0.0209009", \ + "0.0655391, 0.0538132, 0.0490927, 0.0440727, 0.0391445, 0.0339284, 0.0294185", \ + "0.123236, 0.107411, 0.0996867, 0.0902636, 0.079374, 0.0682953, 0.0573801" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00316567; + rise_capacitance : 0.00307595; + rise_capacitance_range (0.00307595, 0.00307595); + fall_capacitance : 0.0032554; + fall_capacitance_range (0.0032554, 0.0032554); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00332341; + rise_capacitance : 0.00338771; + rise_capacitance_range (0.00338771, 0.00338771); + fall_capacitance : 0.0032591; + fall_capacitance_range (0.0032591, 0.0032591); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00334487; + rise_capacitance : 0.00346996; + rise_capacitance_range (0.00346996, 0.00346996); + fall_capacitance : 0.00321977; + fall_capacitance_range (0.00321977, 0.00321977); + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0033409; + rise_capacitance : 0.00353411; + rise_capacitance_range (0.00353411, 0.00353411); + fall_capacitance : 0.0031477; + fall_capacitance_range (0.0031477, 0.0031477); + } + } + cell (sg13g2_nor2_1) { + area : 7.2576; + cell_footprint : "nor2"; + cell_leakage_power : 844.019; + leakage_power () { + value : 708.448; + when : "A&!B"; + } + leakage_power () { + value : 417.198; + when : "!A&!B"; + } + leakage_power () { + value : 911.541; + when : "!A&B"; + } + leakage_power () { + value : 1338.89; + when : "A&B"; + } + pin (Y) { + direction : "output"; + function : "!(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0208444, 0.0812896, 0.122274, 0.18993, 0.303152, 0.491619, 0.805787", \ + "0.0291023, 0.100043, 0.142436, 0.210516, 0.323774, 0.515041, 0.826877", \ + "0.0344804, 0.114282, 0.15953, 0.22998, 0.344083, 0.532527, 0.846498", \ + "0.0423294, 0.137094, 0.187157, 0.263139, 0.382389, 0.573102, 0.887145", \ + "0.0547747, 0.17552, 0.234202, 0.320036, 0.449912, 0.651429, 0.971767", \ + "0.076192, 0.233, 0.306358, 0.409439, 0.557289, 0.781155, 1.12448", \ + "0.102687, 0.307604, 0.403278, 0.534218, 0.717506, 0.974924, 1.3608" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0144317, 0.0990599, 0.158772, 0.257683, 0.423294, 0.699259, 1.15959", \ + "0.0251833, 0.106154, 0.163007, 0.259409, 0.424894, 0.701849, 1.1596", \ + "0.0347878, 0.11695, 0.17293, 0.266553, 0.427133, 0.70185, 1.15961", \ + "0.0488514, 0.137962, 0.194408, 0.286602, 0.442757, 0.708791, 1.16487", \ + "0.0759773, 0.177809, 0.235003, 0.329254, 0.483827, 0.743761, 1.18348", \ + "0.125637, 0.247595, 0.311198, 0.408439, 0.566786, 0.826839, 1.2599", \ + "0.214685, 0.365646, 0.44344, 0.555003, 0.722718, 0.989847, 1.42591" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0143565, 0.0424354, 0.0599258, 0.0887032, 0.136832, 0.217079, 0.350676", \ + "0.0242637, 0.0697273, 0.0922926, 0.125195, 0.175476, 0.256178, 0.389739", \ + "0.0296385, 0.0868, 0.114345, 0.153152, 0.209739, 0.294914, 0.429893", \ + "0.0368985, 0.111177, 0.146211, 0.194848, 0.263135, 0.361252, 0.50662", \ + "0.0426771, 0.141075, 0.186398, 0.24876, 0.336441, 0.456997, 0.628755", \ + "0.046157, 0.176221, 0.236994, 0.31965, 0.431558, 0.587736, 0.802083", \ + "0.046158, 0.213899, 0.295321, 0.405594, 0.556654, 0.757006, 1.03674" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101561, 0.0431974, 0.0671374, 0.107319, 0.175074, 0.287927, 0.476151", \ + "0.0228386, 0.0605772, 0.0831403, 0.11951, 0.181975, 0.290447, 0.476557", \ + "0.0332789, 0.076639, 0.100906, 0.138369, 0.199222, 0.302566, 0.482005", \ + "0.0510331, 0.104447, 0.131717, 0.173391, 0.237066, 0.338719, 0.509834", \ + "0.0821091, 0.152631, 0.185238, 0.232784, 0.304334, 0.413468, 0.584565", \ + "0.136421, 0.235921, 0.276781, 0.333312, 0.417331, 0.54158, 0.729683", \ + "0.234749, 0.377909, 0.435303, 0.509619, 0.610342, 0.757979, 0.970764" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0184365, 0.0796496, 0.120697, 0.188402, 0.301686, 0.490168, 0.804249", \ + "0.0309206, 0.108505, 0.15142, 0.219614, 0.332706, 0.521208, 0.835346", \ + "0.0393335, 0.129685, 0.177566, 0.249615, 0.363852, 0.551912, 0.865717", \ + "0.0508955, 0.161293, 0.217545, 0.29914, 0.421995, 0.613971, 0.92757", \ + "0.0727425, 0.212532, 0.279715, 0.377158, 0.519177, 0.730391, 1.05493", \ + "0.113372, 0.292055, 0.37626, 0.493938, 0.664837, 0.913156, 1.27736", \ + "0.177881, 0.40834, 0.516343, 0.66499, 0.874291, 1.17378, 1.60863" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015644, 0.0993279, 0.158741, 0.257688, 0.423301, 0.699201, 1.15934", \ + "0.0268471, 0.110422, 0.165687, 0.2603, 0.423914, 0.699367, 1.15935", \ + "0.0354963, 0.126372, 0.181182, 0.272072, 0.429459, 0.700348, 1.15936", \ + "0.0493799, 0.153487, 0.21156, 0.303183, 0.454961, 0.714993, 1.16456", \ + "0.0777392, 0.198212, 0.263256, 0.36247, 0.518504, 0.770478, 1.19935", \ + "0.127604, 0.275611, 0.347657, 0.459359, 0.630384, 0.895745, 1.31959", \ + "0.218189, 0.405909, 0.495804, 0.620721, 0.813868, 1.10861, 1.56286" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121113, 0.0409477, 0.0584425, 0.0871991, 0.135333, 0.215546, 0.349109", \ + "0.0184996, 0.0673705, 0.0903201, 0.123525, 0.173966, 0.254746, 0.388352", \ + "0.0209348, 0.0833417, 0.111721, 0.150961, 0.207874, 0.29327, 0.428337", \ + "0.0225663, 0.105751, 0.14195, 0.191528, 0.260622, 0.359206, 0.505", \ + "0.0225673, 0.13047, 0.178751, 0.242863, 0.332246, 0.454105, 0.626527", \ + "0.0225683, 0.152804, 0.219293, 0.306872, 0.422735, 0.582224, 0.79843", \ + "0.0225693, 0.160689, 0.25172, 0.372354, 0.532777, 0.741544, 1.02664" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00776132, 0.0407698, 0.0646632, 0.104877, 0.172658, 0.285396, 0.473505", \ + "0.0192113, 0.0579867, 0.0806485, 0.116982, 0.179537, 0.287893, 0.474242", \ + "0.0284691, 0.0739029, 0.0982805, 0.135979, 0.196653, 0.300034, 0.479579", \ + "0.0445057, 0.101672, 0.129671, 0.170375, 0.234539, 0.336321, 0.507261", \ + "0.0723564, 0.150082, 0.182527, 0.231473, 0.302181, 0.41092, 0.582068", \ + "0.12063, 0.232213, 0.275193, 0.331919, 0.416225, 0.53819, 0.726834", \ + "0.208666, 0.367252, 0.429823, 0.507456, 0.608915, 0.759417, 0.972893" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00823825, 0.00882259, 0.00883584, 0.00875471, 0.00861126, 0.00825877, 0.00820218", \ + "0.00955798, 0.00886638, 0.00899897, 0.00868591, 0.00869257, 0.00876985, 0.0081171", \ + "0.0124173, 0.0102145, 0.00980261, 0.00970214, 0.00911574, 0.00862814, 0.00823543", \ + "0.0188067, 0.0144055, 0.0132838, 0.0120822, 0.0113225, 0.00973311, 0.00952478", \ + "0.0325686, 0.0253822, 0.0228524, 0.0204161, 0.0175151, 0.0152288, 0.0127011", \ + "0.0611166, 0.0503491, 0.0461124, 0.0410739, 0.0356547, 0.029843, 0.0240407", \ + "0.118893, 0.104288, 0.0976762, 0.0895964, 0.0798256, 0.0686594, 0.0572043" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00357247, 0.0038596, 0.00384743, 0.00363524, 0.00344811, 0.00310829, 0.00252123", \ + "0.00542217, 0.00443982, 0.00417336, 0.00399818, 0.00395379, 0.00364231, 0.00260368", \ + "0.00839399, 0.00596437, 0.00543665, 0.00490186, 0.00425649, 0.00400295, 0.00349109", \ + "0.0149671, 0.0101808, 0.00897576, 0.00778737, 0.00663756, 0.00512465, 0.00442698", \ + "0.0288111, 0.0214957, 0.0187016, 0.0158026, 0.0131117, 0.0104917, 0.00762771", \ + "0.0572732, 0.046626, 0.0419749, 0.0365691, 0.0302309, 0.0242567, 0.0192287", \ + "0.114397, 0.100814, 0.0940179, 0.0848986, 0.074469, 0.0615258, 0.0494921" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00390528, 0.00463989, 0.00463766, 0.00461859, 0.00445306, 0.00410045, 0.00401789", \ + "0.0063546, 0.00505778, 0.00493292, 0.00471951, 0.00450355, 0.00407273, 0.00393836", \ + "0.0094401, 0.00680012, 0.00621983, 0.00572259, 0.00543696, 0.00439679, 0.0042505", \ + "0.0157932, 0.0114157, 0.0101062, 0.0087731, 0.00785009, 0.00604809, 0.00524344", \ + "0.0288212, 0.0220204, 0.0197115, 0.0171748, 0.0142023, 0.0118192, 0.00939035", \ + "0.0562874, 0.0459995, 0.0420295, 0.0369806, 0.0318648, 0.0261373, 0.0205202", \ + "0.110833, 0.0964992, 0.0902962, 0.0827298, 0.0735304, 0.063387, 0.0525739" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0034701, 0.00446724, 0.00448584, 0.00431914, 0.00418728, 0.00384453, 0.0031466", \ + "0.00539259, 0.00472255, 0.00463193, 0.00450211, 0.00438706, 0.00432262, 0.00337268", \ + "0.00835009, 0.00602059, 0.00570673, 0.0053111, 0.00478027, 0.00499649, 0.00420636", \ + "0.0146336, 0.00982789, 0.00890367, 0.00777527, 0.0069019, 0.00560455, 0.00505584", \ + "0.0280389, 0.0203246, 0.0175347, 0.0151472, 0.0127385, 0.0104917, 0.00835805", \ + "0.0549912, 0.043702, 0.0390965, 0.0339658, 0.0281751, 0.0229606, 0.0184606", \ + "0.109463, 0.094487, 0.0877208, 0.0786847, 0.0685304, 0.0569287, 0.0465761" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00339091; + rise_capacitance : 0.0032937; + rise_capacitance_range (0.0032937, 0.0032937); + fall_capacitance : 0.00348813; + fall_capacitance_range (0.00348813, 0.00348813); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00322204; + rise_capacitance : 0.00336911; + rise_capacitance_range (0.00336911, 0.00336911); + fall_capacitance : 0.00307497; + fall_capacitance_range (0.00307497, 0.00307497); + } + } + cell (sg13g2_nor2_2) { + area : 10.8864; + cell_footprint : "nor2"; + cell_leakage_power : 1688.03; + leakage_power () { + value : 1416.8; + when : "A&!B"; + } + leakage_power () { + value : 834.315; + when : "!A&!B"; + } + leakage_power () { + value : 1823.15; + when : "!A&B"; + } + leakage_power () { + value : 2677.84; + when : "A&B"; + } + pin (Y) { + direction : "output"; + function : "!(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0197358, 0.0510914, 0.0719971, 0.106238, 0.163472, 0.25884, 0.417373", \ + "0.027113, 0.0669828, 0.0900423, 0.12601, 0.183994, 0.279362, 0.438005", \ + "0.0318518, 0.0778768, 0.103467, 0.142057, 0.202658, 0.2994, 0.458098", \ + "0.0388737, 0.0947109, 0.124217, 0.167604, 0.233863, 0.336187, 0.498125", \ + "0.0501864, 0.123164, 0.15976, 0.211619, 0.286424, 0.399314, 0.573061", \ + "0.0701144, 0.165842, 0.212711, 0.278345, 0.369307, 0.50009, 0.694698", \ + "0.0954178, 0.219862, 0.281613, 0.366001, 0.484433, 0.646349, 0.875648" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122996, 0.0545201, 0.0848966, 0.134951, 0.218606, 0.358574, 0.591481", \ + "0.0229599, 0.0643389, 0.0926047, 0.139959, 0.221063, 0.358965, 0.591482", \ + "0.0327528, 0.0748467, 0.103576, 0.150449, 0.229225, 0.363723, 0.592976", \ + "0.0466246, 0.0949067, 0.124028, 0.171755, 0.250197, 0.381219, 0.603918", \ + "0.0732005, 0.131248, 0.163624, 0.212395, 0.2918, 0.42296, 0.641626", \ + "0.123185, 0.192905, 0.230809, 0.286657, 0.36903, 0.505539, 0.726743", \ + "0.213077, 0.297284, 0.345162, 0.413382, 0.512613, 0.654954, 0.884827" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135154, 0.0291416, 0.0382989, 0.0529431, 0.0772116, 0.117562, 0.184865", \ + "0.0228001, 0.0499696, 0.0638556, 0.0834964, 0.112531, 0.155728, 0.223875", \ + "0.0278077, 0.0623652, 0.0795061, 0.103752, 0.138159, 0.18771, 0.261312", \ + "0.0344835, 0.079622, 0.101822, 0.132597, 0.176218, 0.236819, 0.323078", \ + "0.0393818, 0.098849, 0.128469, 0.169054, 0.224886, 0.302837, 0.410522", \ + "0.0417993, 0.119562, 0.158876, 0.213377, 0.288117, 0.388174, 0.527769", \ + "0.0418003, 0.138439, 0.190637, 0.263038, 0.362867, 0.497711, 0.680741" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00951222, 0.0260164, 0.0376869, 0.0574626, 0.0912474, 0.148093, 0.242932", \ + "0.0218337, 0.0431698, 0.0550706, 0.0741359, 0.104904, 0.156875, 0.246573", \ + "0.0317411, 0.0570692, 0.070917, 0.0911168, 0.123487, 0.174825, 0.260803", \ + "0.0489749, 0.0816417, 0.0974749, 0.121108, 0.157, 0.211914, 0.298133", \ + "0.0791503, 0.124884, 0.144355, 0.172481, 0.214486, 0.275925, 0.371283", \ + "0.131579, 0.197335, 0.224729, 0.261841, 0.311338, 0.385118, 0.492176", \ + "0.228552, 0.321849, 0.361736, 0.414959, 0.4831, 0.572064, 0.70142" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.016363, 0.0485632, 0.0694998, 0.103878, 0.161195, 0.25659, 0.415126", \ + "0.0277271, 0.0727152, 0.0972247, 0.134147, 0.192269, 0.287442, 0.44593", \ + "0.0354607, 0.0886076, 0.116926, 0.1584, 0.221092, 0.318524, 0.476928", \ + "0.0461757, 0.112318, 0.146352, 0.195014, 0.26702, 0.373869, 0.538144", \ + "0.0662895, 0.151805, 0.193942, 0.253015, 0.339082, 0.464227, 0.648077", \ + "0.105255, 0.214765, 0.268831, 0.343295, 0.448402, 0.598582, 0.81782", \ + "0.1679, 0.308778, 0.378215, 0.474158, 0.608152, 0.794927, 1.05693" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135905, 0.054656, 0.084718, 0.134815, 0.218688, 0.35861, 0.59149", \ + "0.0237867, 0.0695725, 0.0974665, 0.143329, 0.222636, 0.359242, 0.591491", \ + "0.0322342, 0.0828089, 0.112809, 0.1593, 0.235946, 0.367238, 0.593856", \ + "0.0449085, 0.105486, 0.138311, 0.18833, 0.267158, 0.395301, 0.61246", \ + "0.0721523, 0.145126, 0.181716, 0.236942, 0.324051, 0.457046, 0.671814", \ + "0.121752, 0.210101, 0.256247, 0.318985, 0.415267, 0.564862, 0.792479", \ + "0.211094, 0.323512, 0.381088, 0.461246, 0.574623, 0.738756, 0.995265" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109015, 0.0274218, 0.0366351, 0.0513239, 0.075653, 0.116142, 0.183606", \ + "0.0161006, 0.0465174, 0.0610052, 0.0811968, 0.110647, 0.154087, 0.222688", \ + "0.0176584, 0.0573584, 0.0754168, 0.10063, 0.135695, 0.185896, 0.259986", \ + "0.0181231, 0.0712725, 0.0953805, 0.127623, 0.17255, 0.233972, 0.321357", \ + "0.0181241, 0.0831924, 0.116192, 0.159813, 0.218175, 0.298461, 0.407399", \ + "0.0181251, 0.0881849, 0.133164, 0.19319, 0.273265, 0.377991, 0.521297", \ + "0.0181261, 0.0881859, 0.133557, 0.215658, 0.325734, 0.471415, 0.66251" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00679599, 0.0234245, 0.0350658, 0.0548741, 0.0887709, 0.145791, 0.240845", \ + "0.0175069, 0.0400313, 0.0522078, 0.0715685, 0.102493, 0.154536, 0.244607", \ + "0.0260634, 0.0537004, 0.0676848, 0.0885444, 0.120961, 0.172564, 0.258823", \ + "0.0412252, 0.0783299, 0.0942439, 0.118399, 0.154123, 0.209711, 0.296154", \ + "0.0678195, 0.119797, 0.141341, 0.169344, 0.211794, 0.274418, 0.369334", \ + "0.114565, 0.188802, 0.21942, 0.258909, 0.309527, 0.383673, 0.491856", \ + "0.201246, 0.304689, 0.349837, 0.406609, 0.479332, 0.571192, 0.701342" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0166758, 0.0177244, 0.0179496, 0.0180698, 0.0178986, 0.0176815, 0.0170751", \ + "0.0193057, 0.0181584, 0.0179324, 0.0180728, 0.0179111, 0.0176715, 0.0168377", \ + "0.0250747, 0.0218036, 0.0209089, 0.0199641, 0.0195664, 0.0185716, 0.0174712", \ + "0.037937, 0.0317729, 0.0294869, 0.0272499, 0.0249816, 0.0232006, 0.020255", \ + "0.0655486, 0.0559681, 0.0520596, 0.0474371, 0.0422336, 0.0368955, 0.0316528", \ + "0.122645, 0.10918, 0.10279, 0.0951042, 0.0851995, 0.0748067, 0.0642108", \ + "0.238082, 0.220483, 0.211656, 0.19945, 0.184411, 0.165429, 0.144653" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00717361, 0.00763384, 0.00776288, 0.00765143, 0.00744999, 0.00718967, 0.00671505", \ + "0.011052, 0.00945882, 0.00904458, 0.00862895, 0.00815984, 0.00835695, 0.00715586", \ + "0.0170921, 0.0132818, 0.0123476, 0.0112305, 0.0102658, 0.00884588, 0.00862955", \ + "0.0302283, 0.0238381, 0.0213144, 0.018712, 0.0163102, 0.013985, 0.0110948", \ + "0.0579329, 0.0487602, 0.0441235, 0.0390547, 0.0333233, 0.0274115, 0.0226754", \ + "0.114668, 0.102176, 0.0956075, 0.0869182, 0.0766845, 0.0645699, 0.0527077", \ + "0.228637, 0.213293, 0.204479, 0.192579, 0.175988, 0.155285, 0.131999" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00803652, 0.00909727, 0.00938567, 0.00957785, 0.00961157, 0.00944307, 0.00873483", \ + "0.0132445, 0.0110659, 0.01056, 0.0104206, 0.00984603, 0.00981799, 0.00875864", \ + "0.0195944, 0.0156561, 0.0144621, 0.0131265, 0.0122816, 0.0109056, 0.00952949", \ + "0.0323783, 0.0261852, 0.0239306, 0.0213983, 0.0187511, 0.0162698, 0.0132696", \ + "0.0587974, 0.049364, 0.0455744, 0.0411721, 0.0363924, 0.0307082, 0.025092", \ + "0.113281, 0.0998304, 0.0939975, 0.0861943, 0.0770111, 0.0673788, 0.0563155", \ + "0.222103, 0.204847, 0.196231, 0.184894, 0.170806, 0.153237, 0.133154" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00564071, 0.00722612, 0.00761011, 0.00767901, 0.00752112, 0.00737438, 0.00677455", \ + "0.00999974, 0.00844121, 0.00833326, 0.00824336, 0.00789987, 0.00797283, 0.00701681", \ + "0.0159843, 0.012031, 0.0112296, 0.0105465, 0.00978997, 0.00876687, 0.00866401", \ + "0.0287414, 0.0219838, 0.019443, 0.0171576, 0.0151138, 0.0132544, 0.0108734", \ + "0.0556285, 0.0452947, 0.041034, 0.0359632, 0.0302095, 0.0257098, 0.021241", \ + "0.10951, 0.095564, 0.0888816, 0.0801749, 0.0701887, 0.0584426, 0.048494", \ + "0.218018, 0.200438, 0.19105, 0.179282, 0.162371, 0.142456, 0.120277" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00657818; + rise_capacitance : 0.00641524; + rise_capacitance_range (0.00641524, 0.00641524); + fall_capacitance : 0.00674113; + fall_capacitance_range (0.00674113, 0.00674113); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0062759; + rise_capacitance : 0.00661182; + rise_capacitance_range (0.00661182, 0.00661182); + fall_capacitance : 0.00593998; + fall_capacitance_range (0.00593998, 0.00593998); + } + } + cell (sg13g2_nor2b_1) { + area : 9.072; + cell_footprint : "nor2b"; + cell_leakage_power : 1172.43; + leakage_power () { + value : 862.056; + when : "!A*!B_N"; + } + leakage_power () { + value : 920.417; + when : "!A*B_N"; + } + leakage_power () { + value : 1492.5; + when : "A*!B_N"; + } + leakage_power () { + value : 1414.76; + when : "A*B_N"; + } + pin (Y) { + direction : "output"; + function : "!(A+!B_N)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0183882, 0.0797745, 0.120898, 0.188696, 0.302116, 0.490837, 0.805398", \ + "0.0309124, 0.108621, 0.151627, 0.219911, 0.333061, 0.52185, 0.83606", \ + "0.0393414, 0.129806, 0.177776, 0.249874, 0.364261, 0.552493, 0.866801", \ + "0.0509286, 0.161492, 0.217634, 0.299403, 0.422357, 0.61461, 0.928555", \ + "0.0728495, 0.212793, 0.279907, 0.377518, 0.519844, 0.730816, 1.05601", \ + "0.113542, 0.292307, 0.376538, 0.494301, 0.66531, 0.914007, 1.27841", \ + "0.178099, 0.408727, 0.516626, 0.665479, 0.874745, 1.17345, 1.60967" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157, 0.0995552, 0.159123, 0.258184, 0.423975, 0.700096, 1.16118", \ + "0.0269066, 0.11067, 0.166013, 0.260803, 0.424237, 0.700338, 1.16119", \ + "0.0355542, 0.126523, 0.181415, 0.272535, 0.430143, 0.701719, 1.1612", \ + "0.049452, 0.153721, 0.211847, 0.303462, 0.455617, 0.715934, 1.16588", \ + "0.0773993, 0.19825, 0.263541, 0.362235, 0.518447, 0.771311, 1.20085", \ + "0.128146, 0.275757, 0.347869, 0.459699, 0.630853, 0.896914, 1.32071", \ + "0.218388, 0.406062, 0.495716, 0.621059, 0.813963, 1.11019, 1.56405" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012066, 0.0409439, 0.0584418, 0.0872063, 0.135373, 0.215585, 0.349195", \ + "0.0184648, 0.0672849, 0.0902842, 0.123533, 0.173957, 0.254697, 0.388388", \ + "0.0208935, 0.0833736, 0.111641, 0.15097, 0.207878, 0.2933, 0.428382", \ + "0.0225421, 0.105751, 0.141903, 0.191463, 0.260706, 0.359269, 0.504969", \ + "0.0225431, 0.130462, 0.178734, 0.242828, 0.332264, 0.454105, 0.626572", \ + "0.0225441, 0.152742, 0.219276, 0.306614, 0.422746, 0.582255, 0.798365", \ + "0.0225451, 0.160788, 0.251735, 0.372435, 0.532214, 0.741553, 1.02695" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00776462, 0.0407772, 0.0646783, 0.104896, 0.17259, 0.285435, 0.473547", \ + "0.0192148, 0.0580163, 0.0806575, 0.11698, 0.179565, 0.287916, 0.474309", \ + "0.0284617, 0.0738726, 0.0981184, 0.13599, 0.196706, 0.300072, 0.479481", \ + "0.0445168, 0.10168, 0.129713, 0.170468, 0.234558, 0.336254, 0.507267", \ + "0.0723746, 0.150097, 0.182657, 0.231534, 0.302206, 0.41133, 0.582126", \ + "0.120523, 0.232226, 0.275221, 0.331633, 0.416262, 0.538235, 0.72749", \ + "0.208292, 0.367537, 0.43037, 0.506384, 0.608781, 0.75949, 0.971693" \ + ); + } + } + timing () { + related_pin : "B_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0365247, 0.0968086, 0.137951, 0.205582, 0.318734, 0.507347, 0.821969", \ + "0.0512767, 0.111788, 0.152842, 0.22064, 0.333949, 0.522655, 0.837043", \ + "0.0607156, 0.121503, 0.162707, 0.230495, 0.343764, 0.53249, 0.847384", \ + "0.0746269, 0.136448, 0.177701, 0.245317, 0.358665, 0.54738, 0.861672", \ + "0.0915765, 0.155614, 0.196881, 0.264728, 0.378453, 0.566838, 0.881484", \ + "0.112837, 0.180944, 0.222383, 0.290742, 0.404589, 0.594167, 0.908964", \ + "0.138472, 0.213598, 0.256353, 0.326189, 0.441349, 0.632119, 0.948369" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0139731, 0.0995165, 0.159332, 0.258201, 0.423967, 0.700093, 1.16096", \ + "0.0155489, 0.0995175, 0.159333, 0.258202, 0.423968, 0.700507, 1.16097", \ + "0.0173132, 0.0995185, 0.159334, 0.258203, 0.423969, 0.702549, 1.16191", \ + "0.020298, 0.100114, 0.15952, 0.258309, 0.42397, 0.70255, 1.16192", \ + "0.0251151, 0.102209, 0.160963, 0.259318, 0.424603, 0.702551, 1.16193", \ + "0.0331125, 0.107639, 0.164856, 0.262489, 0.427167, 0.702552, 1.16194", \ + "0.046604, 0.119471, 0.174511, 0.270756, 0.434546, 0.708965, 1.16635" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0307206, 0.0582235, 0.0759237, 0.104996, 0.153553, 0.234624, 0.369088", \ + "0.0471416, 0.0757371, 0.0935499, 0.122672, 0.171327, 0.252177, 0.386922", \ + "0.056284, 0.0855826, 0.10334, 0.132249, 0.180845, 0.261695, 0.396227", \ + "0.0676875, 0.0994042, 0.117395, 0.146592, 0.195233, 0.275932, 0.410663", \ + "0.0848757, 0.120803, 0.139958, 0.169744, 0.218828, 0.299913, 0.434409", \ + "0.109801, 0.15238, 0.173459, 0.205206, 0.25619, 0.339399, 0.475734", \ + "0.134991, 0.187103, 0.21231, 0.248194, 0.302693, 0.391276, 0.533723" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0100688, 0.0442494, 0.0687187, 0.109467, 0.17769, 0.291759, 0.481094", \ + "0.0128199, 0.0452923, 0.0693575, 0.109852, 0.177908, 0.29176, 0.482529", \ + "0.0148334, 0.0462612, 0.0700208, 0.11024, 0.178207, 0.291761, 0.48253", \ + "0.018533, 0.0488305, 0.071811, 0.111185, 0.178858, 0.292239, 0.482531", \ + "0.0250444, 0.0547062, 0.076892, 0.115209, 0.181692, 0.29402, 0.482532", \ + "0.0351438, 0.066336, 0.087487, 0.124857, 0.190662, 0.301939, 0.488152", \ + "0.051087, 0.086988, 0.1076, 0.144285, 0.208552, 0.320799, 0.507523" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0038949, 0.00461923, 0.00464011, 0.0046184, 0.00446059, 0.00409976, 0.00353035", \ + "0.00635887, 0.00508058, 0.00504181, 0.00487695, 0.00442266, 0.004094, 0.00340851", \ + "0.00943846, 0.0068181, 0.00618612, 0.00576744, 0.0050282, 0.004422, 0.0037072", \ + "0.0157905, 0.0114334, 0.0101112, 0.00875218, 0.00781757, 0.00607508, 0.004697", \ + "0.0288009, 0.0220354, 0.0196953, 0.0171851, 0.0143051, 0.0117447, 0.00848171", \ + "0.0562689, 0.0459779, 0.0420122, 0.0369838, 0.0318049, 0.0262375, 0.0204663", \ + "0.110694, 0.0964586, 0.0902317, 0.0827051, 0.0734395, 0.0630718, 0.0524779" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00346991, 0.00447129, 0.00440022, 0.0043173, 0.00418154, 0.00386123, 0.00321131", \ + "0.00539589, 0.0047536, 0.00460431, 0.00449276, 0.00457117, 0.00422494, 0.0033743", \ + "0.0083597, 0.00602984, 0.00566962, 0.0053402, 0.00478683, 0.00470167, 0.0039856", \ + "0.0146512, 0.00982807, 0.00889827, 0.00771918, 0.0068478, 0.00549274, 0.00508033", \ + "0.0280529, 0.0203223, 0.0175231, 0.0151405, 0.0127392, 0.010561, 0.00820646", \ + "0.0550479, 0.0436875, 0.0390831, 0.0339005, 0.0281665, 0.0229508, 0.0185433", \ + "0.10939, 0.0945292, 0.0876808, 0.0786976, 0.068396, 0.0569089, 0.0463248" \ + ); + } + } + internal_power () { + related_pin : "B_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0104555, 0.0109947, 0.0109727, 0.0108537, 0.0106001, 0.0102048, 0.00974955", \ + "0.0104194, 0.010819, 0.01098, 0.0107663, 0.0105544, 0.0102297, 0.00970755", \ + "0.0104533, 0.0106896, 0.0107004, 0.0108558, 0.0104383, 0.0104074, 0.00984255", \ + "0.0107509, 0.0106443, 0.0107454, 0.0106406, 0.0105781, 0.0101183, 0.0102758", \ + "0.0116786, 0.010724, 0.0106675, 0.0106206, 0.0106064, 0.0099903, 0.0101765", \ + "0.0139675, 0.011464, 0.0111117, 0.0107155, 0.0105601, 0.0104981, 0.0099844", \ + "0.0183955, 0.0135645, 0.0126615, 0.0117215, 0.0109255, 0.0102845, 0.0097685" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0047908, 0.0050903, 0.0051389, 0.0049169, 0.0047075, 0.0044846, 0.0037301", \ + "0.00493237, 0.00508607, 0.00499777, 0.00489707, 0.00525167, 0.00432507, 0.00435377", \ + "0.0052651, 0.0050298, 0.0050817, 0.0049223, 0.0045973, 0.00442, 0.0035451", \ + "0.0055831, 0.0049012, 0.0048794, 0.0048483, 0.0048137, 0.004198, 0.0047393", \ + "0.0068533, 0.0051137, 0.004934, 0.0046859, 0.0044961, 0.0044165, 0.0033806", \ + "0.009177, 0.0056417, 0.0051235, 0.0045977, 0.0037457, 0.0033046, 0.0026794", \ + "0.0141463, 0.0082213, 0.0069783, 0.0058463, 0.0043813, 0.00283229, 0.0021303" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00322378; + rise_capacitance : 0.0033709; + rise_capacitance_range (0.0033709, 0.0033709); + fall_capacitance : 0.00307667; + fall_capacitance_range (0.00307667, 0.00307667); + } + pin (B_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00258246; + rise_capacitance : 0.00262438; + rise_capacitance_range (0.00262438, 0.00262438); + fall_capacitance : 0.00254055; + fall_capacitance_range (0.00254055, 0.00254055); + internal_power () { + when : "A"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00606765, 0.00747115, 0.00987675, 0.0152465, 0.0267496, 0.0501552, 0.0979485" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.006727, 0.00843503, 0.0108654, 0.0161493, 0.0273819, 0.0508784, 0.0980707" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00606765, 0.00747115, 0.00987675, 0.0152465, 0.0267496, 0.0501552, 0.0979485" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.006727, 0.00843503, 0.0108654, 0.0161493, 0.0273819, 0.0508784, 0.0980707" \ + ); + } + } + } + } + cell (sg13g2_nor2b_2) { + area : 12.7008; + cell_footprint : "nor2b"; + cell_leakage_power : 2040.2; + leakage_power () { + value : 1556.15; + when : "!A*!B_N"; + } + leakage_power () { + value : 1443.41; + when : "!A*B_N"; + } + leakage_power () { + value : 2771.89; + when : "A*!B_N"; + } + leakage_power () { + value : 2389.34; + when : "A*B_N"; + } + pin (Y) { + direction : "output"; + function : "!(A+!B_N)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0161587, 0.0793865, 0.120511, 0.188273, 0.301544, 0.490204, 0.804746", \ + "0.0272737, 0.108119, 0.151296, 0.21949, 0.332638, 0.52137, 0.835364", \ + "0.0346809, 0.129115, 0.177149, 0.249336, 0.363716, 0.551983, 0.865847", \ + "0.044837, 0.160329, 0.216694, 0.298497, 0.421642, 0.613896, 0.927754", \ + "0.0634736, 0.210619, 0.278072, 0.375994, 0.518452, 0.7298, 1.05492", \ + "0.0994136, 0.287727, 0.372483, 0.490786, 0.662317, 0.911305, 1.27671", \ + "0.156178, 0.39904, 0.50811, 0.657506, 0.867802, 1.16891, 1.60511" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0134394, 0.0993894, 0.158939, 0.258082, 0.424048, 0.700842, 1.16209", \ + "0.0238141, 0.110333, 0.165737, 0.26069, 0.424864, 0.700843, 1.1621", \ + "0.032324, 0.126281, 0.18133, 0.272455, 0.430356, 0.702749, 1.16211", \ + "0.0449938, 0.153485, 0.211713, 0.303567, 0.455615, 0.716389, 1.17396", \ + "0.072035, 0.198561, 0.263193, 0.362158, 0.518833, 0.772097, 1.20192", \ + "0.122013, 0.277107, 0.348858, 0.460531, 0.631012, 0.897234, 1.32197", \ + "0.212046, 0.407821, 0.498601, 0.624767, 0.818804, 1.11343, 1.56413" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0110912, 0.042487, 0.0608961, 0.091234, 0.141938, 0.22647, 0.367302", \ + "0.0166382, 0.0693166, 0.0931766, 0.127766, 0.180519, 0.265504, 0.406274", \ + "0.0185795, 0.0858515, 0.115074, 0.155996, 0.21516, 0.304372, 0.446373", \ + "0.0197965, 0.109069, 0.146208, 0.197738, 0.269008, 0.371333, 0.523615", \ + "0.0197975, 0.135328, 0.184643, 0.250941, 0.342701, 0.468966, 0.647591", \ + "0.0197985, 0.160837, 0.228749, 0.318148, 0.437055, 0.600991, 0.824311", \ + "0.0197995, 0.174457, 0.267577, 0.390698, 0.553386, 0.766933, 1.06154" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0069208, 0.0426412, 0.067918, 0.110331, 0.181725, 0.30075, 0.499135", \ + "0.017643, 0.0598474, 0.0835968, 0.12195, 0.188099, 0.302793, 0.499258", \ + "0.0264227, 0.0756803, 0.10118, 0.140797, 0.204973, 0.314232, 0.504258", \ + "0.041721, 0.103679, 0.132689, 0.175763, 0.24306, 0.349832, 0.530892", \ + "0.0684938, 0.152108, 0.186176, 0.236348, 0.310661, 0.42495, 0.604625", \ + "0.115988, 0.234955, 0.279078, 0.338009, 0.426233, 0.553907, 0.751569", \ + "0.203881, 0.372006, 0.435614, 0.516215, 0.619806, 0.776224, 0.999442" \ + ); + } + } + timing () { + related_pin : "B_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0403571, 0.102771, 0.143892, 0.211567, 0.324734, 0.513549, 0.828039", \ + "0.0585049, 0.121468, 0.162552, 0.230351, 0.343704, 0.532312, 0.847082", \ + "0.070214, 0.133701, 0.174891, 0.242553, 0.355835, 0.544522, 0.858974", \ + "0.0879142, 0.152589, 0.193703, 0.261251, 0.374492, 0.563187, 0.877505", \ + "0.110396, 0.177665, 0.218392, 0.286219, 0.399425, 0.587917, 0.902337", \ + "0.139589, 0.211825, 0.2525, 0.320282, 0.433869, 0.622955, 0.937233", \ + "0.176019, 0.255335, 0.298066, 0.366935, 0.482074, 0.671886, 0.987138" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0126206, 0.0990418, 0.158941, 0.258024, 0.423985, 0.700904, 1.16215", \ + "0.0147751, 0.0991703, 0.158959, 0.258035, 0.424023, 0.700905, 1.16216", \ + "0.0166932, 0.0994125, 0.159126, 0.258078, 0.424024, 0.701996, 1.16217", \ + "0.0202789, 0.100202, 0.15943, 0.258193, 0.424034, 0.701997, 1.16218", \ + "0.0262234, 0.102884, 0.160879, 0.25906, 0.424706, 0.701998, 1.16219", \ + "0.0355884, 0.109912, 0.165368, 0.262169, 0.426647, 0.702219, 1.16255", \ + "0.051202, 0.122294, 0.175979, 0.270664, 0.433742, 0.708491, 1.16708" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0361478, 0.066541, 0.084729, 0.114497, 0.164274, 0.246707, 0.384545", \ + "0.0559405, 0.0875472, 0.105854, 0.135687, 0.185299, 0.267956, 0.405603", \ + "0.0669197, 0.0997124, 0.118093, 0.147802, 0.197384, 0.279983, 0.417512", \ + "0.0809141, 0.116498, 0.134861, 0.164653, 0.21415, 0.29664, 0.43422", \ + "0.103114, 0.142829, 0.16245, 0.192648, 0.242512, 0.325077, 0.462259", \ + "0.133381, 0.180033, 0.20182, 0.23404, 0.28573, 0.369952, 0.507922", \ + "0.165662, 0.221692, 0.247698, 0.284336, 0.339723, 0.428657, 0.572704" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0111081, 0.0464794, 0.0711569, 0.112608, 0.182364, 0.298328, 0.492155", \ + "0.0142364, 0.0478047, 0.0720853, 0.113021, 0.182365, 0.298331, 0.492156", \ + "0.0167199, 0.049248, 0.0729706, 0.113509, 0.182728, 0.298583, 0.492157", \ + "0.021131, 0.0526621, 0.0751141, 0.114727, 0.183408, 0.299039, 0.492276", \ + "0.0282837, 0.0594675, 0.0809861, 0.119001, 0.186133, 0.300722, 0.493271", \ + "0.039646, 0.07318, 0.093293, 0.129818, 0.195308, 0.308114, 0.498292", \ + "0.057586, 0.095397, 0.115997, 0.151217, 0.214401, 0.327188, 0.516664" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00788848, 0.00947145, 0.00946368, 0.00943663, 0.00905207, 0.00848367, 0.00725118", \ + "0.0130452, 0.0102789, 0.0100252, 0.00974024, 0.00926081, 0.00835109, 0.00695314", \ + "0.0193173, 0.0136981, 0.0124781, 0.0114652, 0.0107621, 0.00925058, 0.00740341", \ + "0.0319645, 0.022679, 0.020114, 0.0173086, 0.0155786, 0.0121679, 0.0114785", \ + "0.0578113, 0.0434996, 0.0387924, 0.0338193, 0.028289, 0.0233015, 0.0167756", \ + "0.11202, 0.0904425, 0.0827152, 0.0724975, 0.0622102, 0.0506556, 0.0400517", \ + "0.219425, 0.189867, 0.177382, 0.162021, 0.144039, 0.124355, 0.102248" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00563999, 0.00781531, 0.00775075, 0.00755057, 0.00724249, 0.0065814, 0.005383", \ + "0.00994398, 0.00835631, 0.0081725, 0.00796041, 0.00748256, 0.00881022, 0.00534537", \ + "0.0159367, 0.0108083, 0.0101589, 0.00939319, 0.00846399, 0.00809631, 0.00584974", \ + "0.0285421, 0.018346, 0.0162617, 0.0143223, 0.0125102, 0.00955732, 0.00908253", \ + "0.0551655, 0.0388483, 0.0331725, 0.0285134, 0.0236592, 0.0195204, 0.0142971", \ + "0.108699, 0.0849402, 0.0757641, 0.065504, 0.0543157, 0.0439758, 0.0351033", \ + "0.216372, 0.185111, 0.171271, 0.153699, 0.132691, 0.109802, 0.090064" \ + ); + } + } + internal_power () { + related_pin : "B_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0188638, 0.0200396, 0.0200696, 0.0198892, 0.0195059, 0.0188004, 0.0179731", \ + "0.0189137, 0.0196589, 0.0197482, 0.0196221, 0.0193295, 0.0185672, 0.017802", \ + "0.0193293, 0.0196531, 0.019713, 0.0201677, 0.0192204, 0.0188537, 0.0178376", \ + "0.0205175, 0.019755, 0.0199352, 0.0195285, 0.0195806, 0.018739, 0.0190539", \ + "0.0230863, 0.0201216, 0.0198701, 0.0197739, 0.019618, 0.0185222, 0.0191789", \ + "0.0290644, 0.0225619, 0.0215414, 0.0206661, 0.0203615, 0.0200958, 0.0187103", \ + "0.039476, 0.027229, 0.025214, 0.023234, 0.022013, 0.02094, 0.019774" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0087818, 0.0093458, 0.0092726, 0.009146, 0.0088799, 0.0080558, 0.0070442", \ + "0.0095174, 0.009324, 0.0090903, 0.0091144, 0.0092433, 0.0084702, 0.0066638", \ + "0.0104966, 0.009468, 0.0095584, 0.009198, 0.0087486, 0.0095445, 0.0073776", \ + "0.0119395, 0.0097285, 0.009334, 0.0092937, 0.0089904, 0.008107, 0.0083699", \ + "0.0155868, 0.0106198, 0.0100074, 0.0096289, 0.0092631, 0.0090188, 0.0072256", \ + "0.0217963, 0.0127525, 0.0114464, 0.0104326, 0.0093046, 0.0081822, 0.0073956", \ + "0.033339, 0.018693, 0.015909, 0.013635, 0.011282, 0.00887801, 0.00818801" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00625623; + rise_capacitance : 0.00655248; + rise_capacitance_range (0.00655248, 0.00655248); + fall_capacitance : 0.00595998; + fall_capacitance_range (0.00595998, 0.00595998); + } + pin (B_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00303369; + rise_capacitance : 0.00308599; + rise_capacitance_range (0.00308599, 0.00308599); + fall_capacitance : 0.00298138; + fall_capacitance_range (0.00298138, 0.00298138); + internal_power () { + when : "A"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0119843, 0.0134145, 0.0159105, 0.0218837, 0.0352606, 0.0624589, 0.118744" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0116971, 0.0134326, 0.0161147, 0.0221208, 0.0349934, 0.0624625, 0.11803" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0119843, 0.0134145, 0.0159105, 0.0218837, 0.0352606, 0.0624589, 0.118744" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0116971, 0.0134326, 0.0161147, 0.0221208, 0.0349934, 0.0624625, 0.11803" \ + ); + } + } + } + } + cell (sg13g2_nor3_1) { + area : 9.072; + cell_footprint : "nor3"; + cell_leakage_power : 1191.44; + leakage_power () { + value : 745.363; + when : "A&!B&!C"; + } + leakage_power () { + value : 628.427; + when : "!A&!B&!C"; + } + leakage_power () { + value : 924.351; + when : "!A&B&!C"; + } + leakage_power () { + value : 1127.35; + when : "!A&!B&C"; + } + leakage_power () { + value : 1398.3; + when : "A&B&!C"; + } + leakage_power () { + value : 2091.28; + when : "B&C"; + } + leakage_power () { + value : 1425; + when : "A&!B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0361, 0.1263, 0.187998, 0.289767, 0.459969, 0.743174, 1.21536", \ + "0.0437528, 0.138976, 0.201026, 0.302998, 0.473229, 0.757401, 1.22933", \ + "0.048687, 0.148975, 0.212221, 0.314637, 0.48472, 0.768365, 1.24186", \ + "0.0570831, 0.167283, 0.233007, 0.337935, 0.509228, 0.791895, 1.26398", \ + "0.0754712, 0.205461, 0.275588, 0.38526, 0.560877, 0.846592, 1.31686", \ + "0.10793, 0.269118, 0.351085, 0.469719, 0.655711, 0.951287, 1.42957", \ + "0.153147, 0.36066, 0.461728, 0.60506, 0.817785, 1.12907, 1.62743" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0261742, 0.153933, 0.242946, 0.390282, 0.636992, 1.04842, 1.73391", \ + "0.0339416, 0.15696, 0.244318, 0.391358, 0.637467, 1.04843, 1.73392", \ + "0.0430595, 0.164319, 0.249796, 0.393501, 0.639864, 1.05112, 1.7341", \ + "0.0607961, 0.180216, 0.264509, 0.405503, 0.644967, 1.05113, 1.73411", \ + "0.0929233, 0.215154, 0.297173, 0.43615, 0.670933, 1.06809, 1.74118", \ + "0.150423, 0.284777, 0.367217, 0.500678, 0.733083, 1.12327, 1.78276", \ + "0.252931, 0.409481, 0.498099, 0.636788, 0.867938, 1.24892, 1.89741" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0162504, 0.0434679, 0.0604664, 0.0882305, 0.134608, 0.211568, 0.339808", \ + "0.0284073, 0.0712911, 0.0929909, 0.124726, 0.173108, 0.250684, 0.378983", \ + "0.0345767, 0.0885604, 0.114911, 0.152403, 0.207013, 0.289123, 0.41893", \ + "0.0419369, 0.112529, 0.146226, 0.193433, 0.259609, 0.354486, 0.495023", \ + "0.0462512, 0.140013, 0.184351, 0.245021, 0.330751, 0.447987, 0.614813", \ + "0.0462522, 0.168657, 0.228785, 0.310004, 0.420497, 0.573346, 0.783844", \ + "0.0462532, 0.190659, 0.271323, 0.381064, 0.531623, 0.731305, 1.00555" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012813, 0.0448661, 0.0678966, 0.106494, 0.171619, 0.279732, 0.46025", \ + "0.0274067, 0.0622418, 0.0837731, 0.118586, 0.178627, 0.282429, 0.461373", \ + "0.0393879, 0.078713, 0.101693, 0.137712, 0.195997, 0.294909, 0.466752", \ + "0.0604667, 0.108123, 0.133858, 0.172986, 0.233945, 0.3317, 0.495153", \ + "0.0975148, 0.159577, 0.18976, 0.234385, 0.301998, 0.406828, 0.570226", \ + "0.161922, 0.251004, 0.288031, 0.340121, 0.418376, 0.535446, 0.716915", \ + "0.279078, 0.411583, 0.462596, 0.529973, 0.622748, 0.75957, 0.962771" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0340307, 0.124319, 0.186049, 0.287892, 0.458133, 0.741689, 1.21334", \ + "0.0445283, 0.143255, 0.205481, 0.307489, 0.477951, 0.762125, 1.23373", \ + "0.0537276, 0.160386, 0.224607, 0.327409, 0.497519, 0.781406, 1.25422", \ + "0.0693169, 0.189986, 0.258919, 0.365817, 0.538295, 0.82147, 1.2929", \ + "0.0996395, 0.24467, 0.321048, 0.437099, 0.618562, 0.90729, 1.37915", \ + "0.153723, 0.33562, 0.425907, 0.556442, 0.757385, 1.06522, 1.55229", \ + "0.240333, 0.474323, 0.588148, 0.748225, 0.976597, 1.32121, 1.8479" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0265326, 0.15389, 0.243004, 0.390343, 0.636946, 1.04821, 1.73384", \ + "0.0378082, 0.158548, 0.244965, 0.391238, 0.637328, 1.0494, 1.73385", \ + "0.0472179, 0.168549, 0.252629, 0.394896, 0.639502, 1.04941, 1.73407", \ + "0.0637836, 0.188822, 0.272205, 0.411232, 0.647862, 1.05145, 1.73408", \ + "0.0949547, 0.226384, 0.311891, 0.450473, 0.682967, 1.0749, 1.74398", \ + "0.146313, 0.296139, 0.383811, 0.526699, 0.761791, 1.15034, 1.79841", \ + "0.234965, 0.41898, 0.518445, 0.668004, 0.907457, 1.30308, 1.95368" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0158167, 0.0426553, 0.0595936, 0.0874238, 0.133978, 0.211537, 0.340748", \ + "0.0258474, 0.0697877, 0.0917571, 0.123772, 0.172588, 0.250724, 0.379896", \ + "0.0300751, 0.0863013, 0.113338, 0.151192, 0.206319, 0.289127, 0.419888", \ + "0.0337454, 0.108846, 0.14357, 0.191618, 0.258535, 0.354315, 0.495976", \ + "0.0337464, 0.132386, 0.178855, 0.241529, 0.328593, 0.447477, 0.615709", \ + "0.0337474, 0.150979, 0.215159, 0.300779, 0.414959, 0.57076, 0.783677", \ + "0.0337484, 0.15098, 0.237319, 0.355759, 0.513815, 0.721154, 1.00119" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0109504, 0.0430139, 0.0662055, 0.105092, 0.170577, 0.279716, 0.46166", \ + "0.0243136, 0.0602677, 0.0820832, 0.117244, 0.177683, 0.282397, 0.462321", \ + "0.0353426, 0.0764227, 0.100082, 0.136137, 0.19505, 0.29483, 0.468165", \ + "0.0542406, 0.105168, 0.131323, 0.171031, 0.232904, 0.331254, 0.496486", \ + "0.0865216, 0.155484, 0.18682, 0.232436, 0.301165, 0.406274, 0.572276", \ + "0.141489, 0.242537, 0.282632, 0.336301, 0.416858, 0.535438, 0.718241", \ + "0.235571, 0.387927, 0.446538, 0.520844, 0.618148, 0.758314, 0.964746" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0269805, 0.117753, 0.179592, 0.281542, 0.451982, 0.734916, 1.20709", \ + "0.0434313, 0.144926, 0.206924, 0.308402, 0.478387, 0.762589, 1.23407", \ + "0.0554728, 0.168863, 0.234046, 0.336532, 0.5062, 0.789335, 1.26187", \ + "0.0743075, 0.207069, 0.279868, 0.389697, 0.56289, 0.845103, 1.31598", \ + "0.11092, 0.270793, 0.35537, 0.479934, 0.668796, 0.961848, 1.43324", \ + "0.177579, 0.376856, 0.476159, 0.622544, 0.840507, 1.16591, 1.66508", \ + "0.289337, 0.544459, 0.66855, 0.843896, 1.09813, 1.47852, 2.04192" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0269779, 0.153864, 0.242916, 0.390162, 0.637061, 1.04842, 1.73297", \ + "0.0380517, 0.160643, 0.245944, 0.392004, 0.637266, 1.04928, 1.73329", \ + "0.0460407, 0.174504, 0.257321, 0.397268, 0.642021, 1.04929, 1.73419", \ + "0.0621982, 0.199915, 0.284482, 0.421603, 0.654292, 1.05382, 1.73522", \ + "0.0925682, 0.243009, 0.33345, 0.475777, 0.706041, 1.09064, 1.75001", \ + "0.142329, 0.3152, 0.414998, 0.570391, 0.811882, 1.20067, 1.83781", \ + "0.231978, 0.447025, 0.557175, 0.723303, 0.990885, 1.40211, 2.05861" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135164, 0.0408912, 0.0578062, 0.085626, 0.132143, 0.209691, 0.338886", \ + "0.0203714, 0.0672283, 0.0894826, 0.121778, 0.170741, 0.248909, 0.378089", \ + "0.0220351, 0.0828264, 0.110482, 0.148784, 0.204244, 0.287263, 0.418087", \ + "0.0220361, 0.103627, 0.139366, 0.188244, 0.255832, 0.352122, 0.494028", \ + "0.0220371, 0.123173, 0.171763, 0.2359, 0.32455, 0.4444, 0.613255", \ + "0.0220381, 0.132649, 0.201039, 0.290119, 0.407517, 0.566167, 0.779043", \ + "0.0220391, 0.13265, 0.204751, 0.330874, 0.495162, 0.708178, 0.993152" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00864065, 0.0406248, 0.0637385, 0.102598, 0.168091, 0.277198, 0.459155", \ + "0.0206173, 0.0577691, 0.0797095, 0.114895, 0.175266, 0.279996, 0.459842", \ + "0.0306402, 0.0736732, 0.0972147, 0.133769, 0.192634, 0.29237, 0.465569", \ + "0.0478969, 0.10221, 0.129166, 0.168373, 0.230415, 0.329034, 0.493991", \ + "0.0768809, 0.152457, 0.183441, 0.2297, 0.29847, 0.403905, 0.569768", \ + "0.126445, 0.236844, 0.279134, 0.33339, 0.414408, 0.534042, 0.715983", \ + "0.211446, 0.375779, 0.439307, 0.51673, 0.614726, 0.756471, 0.968476" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0143009, 0.0148138, 0.0148366, 0.0147783, 0.0146225, 0.0142609, 0.0138596", \ + "0.0144873, 0.0145518, 0.0144511, 0.0145403, 0.0143857, 0.0140185, 0.0136789", \ + "0.0163828, 0.0151941, 0.0150016, 0.0147202, 0.0146629, 0.0145429, 0.0138957", \ + "0.0219502, 0.0185875, 0.0176773, 0.0169902, 0.0160458, 0.0152349, 0.0143601", \ + "0.0352302, 0.0287421, 0.026556, 0.0242545, 0.0219119, 0.0195869, 0.0177506", \ + "0.0631526, 0.0530642, 0.0490949, 0.0440977, 0.0391643, 0.0336965, 0.0297364", \ + "0.119948, 0.105974, 0.0994402, 0.0914042, 0.0824151, 0.0716779, 0.0605641" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00458969, 0.00463456, 0.00457066, 0.00442739, 0.00429238, 0.00388132, 0.00324632", \ + "0.00583651, 0.00509203, 0.00484452, 0.00464278, 0.00475548, 0.00401034, 0.00360732", \ + "0.00833231, 0.00638278, 0.00595398, 0.00550758, 0.00487043, 0.00511307, 0.00394825", \ + "0.0143606, 0.0102141, 0.00910036, 0.00806632, 0.0070116, 0.00578336, 0.00495249", \ + "0.0276612, 0.0205637, 0.0180286, 0.0152215, 0.0128738, 0.010488, 0.00760337", \ + "0.0551014, 0.0446998, 0.0399597, 0.0347072, 0.0287449, 0.0229981, 0.018635", \ + "0.110861, 0.0971171, 0.0900943, 0.0808233, 0.0703638, 0.0580513, 0.0466468" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0102975, 0.0108185, 0.0108493, 0.0107901, 0.010604, 0.0102397, 0.00982715", \ + "0.0108954, 0.0107001, 0.0105823, 0.0105941, 0.0104668, 0.0102875, 0.00962166", \ + "0.013114, 0.011555, 0.0114046, 0.0109668, 0.0107762, 0.0103266, 0.00988524", \ + "0.0184486, 0.0150225, 0.0140291, 0.0131583, 0.0120898, 0.0113266, 0.0104732", \ + "0.0302479, 0.0241856, 0.0222184, 0.0199504, 0.0176339, 0.015062, 0.0139733", \ + "0.0547902, 0.0454329, 0.0417335, 0.0375918, 0.0329602, 0.0276016, 0.0245215", \ + "0.104747, 0.0914139, 0.0856999, 0.0786625, 0.0700634, 0.0609002, 0.0507726" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00443586, 0.00471549, 0.00477909, 0.00453563, 0.00435705, 0.00402126, 0.00339654", \ + "0.00556308, 0.00515738, 0.0049921, 0.00480383, 0.00464877, 0.00421609, 0.003518", \ + "0.00792844, 0.00627552, 0.00601371, 0.00554889, 0.00494673, 0.00531234, 0.0042245", \ + "0.0132804, 0.00974799, 0.00882164, 0.00790986, 0.00701455, 0.0058157, 0.0051419", \ + "0.0249936, 0.0190701, 0.0168592, 0.0145752, 0.0123812, 0.010333, 0.00791887", \ + "0.0490276, 0.0400714, 0.036224, 0.0317385, 0.0266832, 0.021727, 0.0178856", \ + "0.0977577, 0.0859093, 0.0801078, 0.07255, 0.0636302, 0.0531163, 0.0432893" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00596134, 0.0066446, 0.00671751, 0.00671647, 0.00655218, 0.00622088, 0.00555947", \ + "0.00787719, 0.00704557, 0.00679907, 0.00674609, 0.00652991, 0.00628238, 0.00555031", \ + "0.0105079, 0.00841105, 0.00809807, 0.0074002, 0.00725066, 0.00640179, 0.00591307", \ + "0.0159353, 0.0123478, 0.0112079, 0.0102192, 0.00894614, 0.00759381, 0.00679796", \ + "0.0276603, 0.0217029, 0.0197102, 0.0173693, 0.0148983, 0.0120514, 0.01081", \ + "0.0514235, 0.0422248, 0.0388325, 0.0351303, 0.0302344, 0.0250301, 0.0205916", \ + "0.0998101, 0.0869108, 0.0814768, 0.0749934, 0.0671431, 0.0581748, 0.047954" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00392997, 0.00475522, 0.00474948, 0.00457878, 0.0044286, 0.00407759, 0.00345539", \ + "0.00528926, 0.00506867, 0.00498504, 0.00483244, 0.00487286, 0.00439134, 0.00369848", \ + "0.00769386, 0.00608604, 0.00586717, 0.00555165, 0.00503035, 0.00542369, 0.00427799", \ + "0.0130054, 0.00929975, 0.00858493, 0.00766428, 0.00694899, 0.00599322, 0.00523501", \ + "0.0244951, 0.0182054, 0.0159683, 0.0137048, 0.0118763, 0.00996137, 0.00799433", \ + "0.0480267, 0.0383433, 0.034474, 0.0300919, 0.0251785, 0.0211094, 0.0168711", \ + "0.0956577, 0.0827481, 0.0766118, 0.0689407, 0.0599028, 0.0495868, 0.0416768" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0034351; + rise_capacitance : 0.00330029; + rise_capacitance_range (0.00330029, 0.00330029); + fall_capacitance : 0.0035699; + fall_capacitance_range (0.0035699, 0.0035699); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00340889; + rise_capacitance : 0.00339698; + rise_capacitance_range (0.00339698, 0.00339698); + fall_capacitance : 0.0034208; + fall_capacitance_range (0.0034208, 0.0034208); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00322514; + rise_capacitance : 0.00341772; + rise_capacitance_range (0.00341772, 0.00341772); + fall_capacitance : 0.00303255; + fall_capacitance_range (0.00303255, 0.00303255); + } + } + cell (sg13g2_nor3_2) { + area : 16.3296; + cell_footprint : "nor3"; + cell_leakage_power : 2285.09; + leakage_power () { + value : 1422.8; + when : "A&!B&!C"; + } + leakage_power () { + value : 1251.47; + when : "!A&!B&!C"; + } + leakage_power () { + value : 1780.72; + when : "!A&B&!C"; + } + leakage_power () { + value : 2186.89; + when : "!A&!B&C"; + } + leakage_power () { + value : 2660.67; + when : "A&B&!C"; + } + leakage_power () { + value : 3978.83; + when : "B&C"; + } + leakage_power () { + value : 2714.26; + when : "A&!B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0335015, 0.126855, 0.189211, 0.291983, 0.464142, 0.75013, 1.22719", \ + "0.0405734, 0.139512, 0.202202, 0.305147, 0.477556, 0.764656, 1.24119", \ + "0.0445124, 0.149212, 0.213123, 0.316633, 0.488484, 0.774959, 1.25313", \ + "0.0512834, 0.166647, 0.233342, 0.339228, 0.512298, 0.798271, 1.27461", \ + "0.066764, 0.20339, 0.274321, 0.385459, 0.563246, 0.851474, 1.32684", \ + "0.094227, 0.264886, 0.347625, 0.468177, 0.656187, 0.953806, 1.43732", \ + "0.131846, 0.349675, 0.452643, 0.59888, 0.81057, 1.12992, 1.62946" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0221782, 0.154247, 0.244349, 0.393377, 0.643032, 1.05872, 1.75272", \ + "0.0299799, 0.15723, 0.245614, 0.394538, 0.643359, 1.06017, 1.75273", \ + "0.0393223, 0.164483, 0.250954, 0.396489, 0.644955, 1.06018, 1.75296", \ + "0.057167, 0.180372, 0.265661, 0.408258, 0.650766, 1.06152, 1.75297", \ + "0.0892239, 0.215612, 0.298497, 0.439193, 0.676253, 1.07851, 1.75947", \ + "0.146972, 0.28619, 0.369601, 0.504418, 0.738659, 1.13369, 1.80084", \ + "0.251457, 0.409677, 0.501154, 0.645176, 0.873651, 1.26175, 1.91452" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0155045, 0.0448257, 0.0625788, 0.0916246, 0.139975, 0.220436, 0.35428", \ + "0.0273087, 0.0730138, 0.0954734, 0.128239, 0.178555, 0.259435, 0.393316", \ + "0.0333941, 0.0908648, 0.117964, 0.156662, 0.213024, 0.298152, 0.433423", \ + "0.040901, 0.115721, 0.150395, 0.198659, 0.266678, 0.364492, 0.510131", \ + "0.0459778, 0.14485, 0.189991, 0.252376, 0.339879, 0.460501, 0.632149", \ + "0.0466051, 0.176306, 0.237581, 0.320495, 0.433288, 0.590333, 0.805029", \ + "0.0466061, 0.205063, 0.286552, 0.397751, 0.550036, 0.754044, 1.03481" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0122125, 0.0466416, 0.0707431, 0.1112, 0.179218, 0.292491, 0.481369", \ + "0.0264274, 0.0638485, 0.0864142, 0.122915, 0.185838, 0.294972, 0.481631", \ + "0.0381614, 0.0804573, 0.10442, 0.14181, 0.20311, 0.306889, 0.487203", \ + "0.0587975, 0.110085, 0.136584, 0.177456, 0.241133, 0.342855, 0.514674", \ + "0.0950788, 0.161669, 0.193326, 0.239455, 0.309857, 0.418232, 0.589278", \ + "0.158389, 0.254374, 0.291351, 0.345507, 0.427003, 0.548343, 0.735918", \ + "0.274723, 0.414973, 0.468098, 0.537499, 0.631642, 0.774632, 0.983689" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0313763, 0.124832, 0.187147, 0.289901, 0.462098, 0.748071, 1.22515", \ + "0.0407344, 0.143853, 0.206661, 0.309682, 0.481998, 0.769064, 1.24563", \ + "0.0489619, 0.160653, 0.225656, 0.32951, 0.50142, 0.788222, 1.26611", \ + "0.0627927, 0.189741, 0.259523, 0.367712, 0.541997, 0.828308, 1.30456", \ + "0.0903904, 0.243556, 0.32038, 0.438451, 0.621937, 0.913466, 1.39031", \ + "0.140359, 0.332244, 0.424677, 0.556108, 0.759473, 1.07045, 1.56281", \ + "0.220239, 0.467119, 0.58333, 0.743354, 0.975936, 1.32428, 1.85732" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0226028, 0.154201, 0.244325, 0.393335, 0.643, 1.05925, 1.75272", \ + "0.0339059, 0.158794, 0.246278, 0.395002, 0.643072, 1.05939, 1.75273", \ + "0.0434343, 0.168891, 0.25393, 0.397921, 0.646936, 1.0594, 1.75311", \ + "0.0597111, 0.189241, 0.273598, 0.414237, 0.653749, 1.06229, 1.75312", \ + "0.0906949, 0.227241, 0.313284, 0.453447, 0.688964, 1.08532, 1.76244", \ + "0.141949, 0.296561, 0.386655, 0.530198, 0.767987, 1.16043, 1.81715", \ + "0.230232, 0.422365, 0.521506, 0.671167, 0.916582, 1.31476, 1.97291" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0150703, 0.043726, 0.0612648, 0.0901197, 0.138297, 0.218617, 0.352327", \ + "0.0244798, 0.0712774, 0.0938127, 0.12667, 0.177005, 0.257799, 0.391501", \ + "0.0284741, 0.0881711, 0.115687, 0.154602, 0.211112, 0.296332, 0.431507", \ + "0.0319296, 0.111369, 0.146812, 0.195886, 0.264325, 0.362382, 0.508126", \ + "0.0319306, 0.136281, 0.183664, 0.247113, 0.336123, 0.457595, 0.629749", \ + "0.0319316, 0.157459, 0.222769, 0.309802, 0.42516, 0.584771, 0.801365", \ + "0.0319326, 0.160454, 0.249813, 0.369323, 0.530008, 0.740344, 1.02574" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0101748, 0.0442654, 0.0683334, 0.108711, 0.176628, 0.289782, 0.478474", \ + "0.0231031, 0.0614012, 0.0840049, 0.120494, 0.183327, 0.292243, 0.479114", \ + "0.033672, 0.0776095, 0.101842, 0.139393, 0.200501, 0.304205, 0.484229", \ + "0.0518709, 0.106798, 0.133326, 0.174809, 0.238364, 0.34021, 0.511977", \ + "0.0831152, 0.156895, 0.189352, 0.236361, 0.306855, 0.415544, 0.586585", \ + "0.135492, 0.244338, 0.285192, 0.34084, 0.42425, 0.544797, 0.73369", \ + "0.228067, 0.391351, 0.450761, 0.526128, 0.625233, 0.770449, 0.981895" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.023262, 0.117285, 0.179888, 0.282737, 0.454964, 0.740824, 1.2179", \ + "0.0382886, 0.144377, 0.207045, 0.309614, 0.481434, 0.768487, 1.24556", \ + "0.0494961, 0.168216, 0.23406, 0.337806, 0.509, 0.795144, 1.2719", \ + "0.0663569, 0.206206, 0.2797, 0.390616, 0.565643, 0.850794, 1.32653", \ + "0.0999593, 0.268881, 0.354156, 0.480279, 0.671306, 0.967264, 1.44352", \ + "0.161889, 0.373314, 0.473515, 0.621098, 0.841704, 1.17117, 1.67527", \ + "0.266401, 0.536496, 0.661945, 0.83927, 1.09683, 1.48151, 2.05056" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0231114, 0.154145, 0.244439, 0.393409, 0.643066, 1.05925, 1.75193", \ + "0.0330994, 0.160907, 0.247202, 0.395107, 0.644543, 1.0594, 1.75227", \ + "0.0407567, 0.174822, 0.258597, 0.400237, 0.649056, 1.05941, 1.75228", \ + "0.0559961, 0.20068, 0.28589, 0.424415, 0.659939, 1.06453, 1.75309", \ + "0.0854104, 0.243046, 0.334769, 0.478654, 0.711806, 1.10148, 1.76845", \ + "0.134418, 0.317345, 0.416181, 0.572901, 0.817878, 1.21223, 1.85539", \ + "0.222801, 0.449428, 0.559602, 0.728784, 0.998736, 1.41445, 2.07684" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0123845, 0.0417242, 0.0592281, 0.0880153, 0.136197, 0.216548, 0.350313", \ + "0.0181206, 0.0684158, 0.0912724, 0.124361, 0.174946, 0.25578, 0.389581", \ + "0.0190961, 0.0842976, 0.112612, 0.151874, 0.208789, 0.294305, 0.429529", \ + "0.0190971, 0.105685, 0.142214, 0.192113, 0.261392, 0.360002, 0.506", \ + "0.0190981, 0.126475, 0.176047, 0.241638, 0.331592, 0.454159, 0.627223", \ + "0.0190991, 0.138283, 0.207808, 0.29837, 0.417533, 0.579686, 0.797406", \ + "0.0191001, 0.138284, 0.217215, 0.344453, 0.511197, 0.727673, 1.01695" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00761079, 0.0415774, 0.0655758, 0.105893, 0.173833, 0.287016, 0.475707", \ + "0.0188768, 0.0586506, 0.0813741, 0.117898, 0.180625, 0.289438, 0.476865", \ + "0.0281948, 0.0747287, 0.0990697, 0.136715, 0.197736, 0.30156, 0.481649", \ + "0.044351, 0.103224, 0.13107, 0.171681, 0.235902, 0.337755, 0.509351", \ + "0.0719376, 0.153731, 0.185776, 0.234052, 0.304198, 0.412944, 0.583937", \ + "0.118886, 0.23866, 0.281623, 0.337354, 0.421435, 0.543036, 0.731331", \ + "0.202486, 0.37917, 0.442376, 0.52186, 0.6231, 0.769051, 0.983273" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0279872, 0.0291032, 0.0291342, 0.0290332, 0.0286804, 0.0278564, 0.0282097", \ + "0.0284609, 0.0285718, 0.0284607, 0.0285456, 0.0283122, 0.027926, 0.0280627", \ + "0.0321542, 0.0297095, 0.029641, 0.0289196, 0.0286561, 0.0276208, 0.0284022", \ + "0.0431589, 0.0360889, 0.0344762, 0.033074, 0.0317097, 0.0304009, 0.029038", \ + "0.0693197, 0.0557203, 0.0514457, 0.0471441, 0.0425987, 0.0379246, 0.0360046", \ + "0.12424, 0.10331, 0.0953957, 0.0857432, 0.076174, 0.0649088, 0.0574312", \ + "0.235772, 0.206482, 0.193628, 0.178487, 0.159565, 0.139625, 0.116263" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00886508, 0.00904895, 0.00875221, 0.00854577, 0.00817741, 0.00738056, 0.00621918", \ + "0.011422, 0.00980694, 0.00928706, 0.00901603, 0.00850289, 0.00786011, 0.00606795", \ + "0.0164297, 0.0122917, 0.0115045, 0.0104917, 0.00943325, 0.00976463, 0.00654952", \ + "0.0284011, 0.0197951, 0.0176564, 0.0155487, 0.0134954, 0.0104345, 0.00974239", \ + "0.0547154, 0.0401229, 0.0349235, 0.029739, 0.0247972, 0.0201424, 0.0151818", \ + "0.108856, 0.0874991, 0.0777761, 0.0674961, 0.0556103, 0.0449945, 0.0356847", \ + "0.218656, 0.190129, 0.175844, 0.157765, 0.136435, 0.112448, 0.0895528" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0200008, 0.0211274, 0.021162, 0.021051, 0.0206959, 0.0199883, 0.0202121", \ + "0.021246, 0.020943, 0.020652, 0.0207605, 0.0203195, 0.019834, 0.0201474", \ + "0.0256772, 0.0223848, 0.0220096, 0.0213457, 0.0212494, 0.0199015, 0.0205194", \ + "0.0362451, 0.0289581, 0.0270195, 0.0255605, 0.0238141, 0.0226115, 0.0212988", \ + "0.0595918, 0.0468159, 0.0427912, 0.0383796, 0.0340595, 0.0290773, 0.0273508", \ + "0.107967, 0.0881345, 0.0811072, 0.0726907, 0.0636005, 0.0533229, 0.046043", \ + "0.205976, 0.178166, 0.166908, 0.152248, 0.135877, 0.117766, 0.0980536" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00829666, 0.00898714, 0.00879675, 0.00855502, 0.0082275, 0.00747419, 0.00624407", \ + "0.0106903, 0.00972727, 0.00939499, 0.00913418, 0.00900409, 0.00853981, 0.0065848", \ + "0.0153945, 0.01191, 0.0113189, 0.0105161, 0.00949258, 0.0101809, 0.00674411", \ + "0.026008, 0.0187262, 0.016772, 0.0151952, 0.0133665, 0.0105316, 0.0099738", \ + "0.0492116, 0.0368322, 0.0325332, 0.0278404, 0.0236289, 0.0195689, 0.014524", \ + "0.0964306, 0.0780224, 0.0703336, 0.0616854, 0.051638, 0.0421274, 0.0344034", \ + "0.192436, 0.167985, 0.156444, 0.141374, 0.123728, 0.102762, 0.0829233" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.011179, 0.0126059, 0.0127623, 0.012766, 0.0124587, 0.0117093, 0.0118234", \ + "0.015343, 0.013375, 0.0128984, 0.0128031, 0.0127353, 0.0116541, 0.0117421", \ + "0.0206182, 0.0160886, 0.0152306, 0.0141724, 0.0139648, 0.0120352, 0.0121471", \ + "0.0314438, 0.0238064, 0.0214816, 0.0195037, 0.0171833, 0.0144141, 0.013802", \ + "0.0546956, 0.042021, 0.0379854, 0.0332368, 0.0286882, 0.0229812, 0.0227752", \ + "0.101563, 0.0823472, 0.0753713, 0.0677656, 0.0582762, 0.0483401, 0.0395024", \ + "0.19669, 0.169686, 0.158744, 0.145735, 0.130262, 0.112628, 0.092463" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00642776, 0.00817237, 0.00822555, 0.00790792, 0.00762015, 0.00695224, 0.00561539", \ + "0.00951685, 0.00887109, 0.00871794, 0.0084922, 0.00840859, 0.008267, 0.00683324", \ + "0.0143743, 0.0109579, 0.0104962, 0.00983491, 0.00888424, 0.00885775, 0.00662693", \ + "0.0250815, 0.0171511, 0.0157423, 0.0140069, 0.0124829, 0.0102954, 0.00930156", \ + "0.0478775, 0.0348309, 0.030196, 0.0263152, 0.0220802, 0.0182918, 0.0143891", \ + "0.0944804, 0.0741738, 0.0663996, 0.0576557, 0.0481069, 0.0396274, 0.0323895", \ + "0.187988, 0.160998, 0.149184, 0.133883, 0.115834, 0.0958924, 0.0786452" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00653764; + rise_capacitance : 0.00628309; + rise_capacitance_range (0.00628309, 0.00628309); + fall_capacitance : 0.0067922; + fall_capacitance_range (0.0067922, 0.0067922); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00644452; + rise_capacitance : 0.00643888; + rise_capacitance_range (0.00643888, 0.00643888); + fall_capacitance : 0.00645016; + fall_capacitance_range (0.00645016, 0.00645016); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00618461; + rise_capacitance : 0.00658653; + rise_capacitance_range (0.00658653, 0.00658653); + fall_capacitance : 0.00578269; + fall_capacitance_range (0.00578269, 0.00578269); + } + } + cell (sg13g2_nor4_1) { + area : 10.8864; + cell_footprint : "nor4"; + cell_leakage_power : 1525.05; + leakage_power () { + value : 834.272; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 1275.41; + when : "!A&!B&!C&D&!Y"; + } + leakage_power () { + value : 1072.31; + when : "!A&!B&C&!D&!Y"; + } + leakage_power () { + value : 1702.76; + when : "!A&!B&C&D&!Y"; + } + leakage_power () { + value : 893.36; + when : "!A&B&!C&!D&!Y"; + } + leakage_power () { + value : 1539.11; + when : "!A&B&!C&D&!Y"; + } + leakage_power () { + value : 1512.3; + when : "!A&B&C&!D&!Y"; + } + leakage_power () { + value : 2171.39; + when : "!A&B&C&D&!Y"; + } + leakage_power () { + value : 715.113; + when : "A&!B&!C&!D&!Y"; + } + leakage_power () { + value : 1363.89; + when : "A&!B&!C&D&!Y"; + } + leakage_power () { + value : 1349.29; + when : "A&!B&C&!D&!Y"; + } + leakage_power () { + value : 2013.3; + when : "A&!B&C&D&!Y"; + } + leakage_power () { + value : 1334.35; + when : "A&B&!C&!D&!Y"; + } + leakage_power () { + value : 2000.24; + when : "A&B&!C&D&!Y"; + } + leakage_power () { + value : 1981.3; + when : "A&B&C&!D&!Y"; + } + leakage_power () { + value : 2642.39; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0538873, 0.174352, 0.256799, 0.393069, 0.620424, 0.998751, 1.62979", \ + "0.0613808, 0.184425, 0.267092, 0.403589, 0.630969, 1.01011, 1.6413", \ + "0.0644511, 0.19101, 0.274255, 0.41039, 0.638047, 1.01668, 1.64913", \ + "0.0708545, 0.2037, 0.288502, 0.425332, 0.652319, 1.03035, 1.66119", \ + "0.0894039, 0.23773, 0.323488, 0.4619, 0.689907, 1.06631, 1.69344", \ + "0.125159, 0.30146, 0.396174, 0.538085, 0.770529, 1.14871, 1.77483", \ + "0.177031, 0.397367, 0.510448, 0.67351, 0.920081, 1.30787, 1.93807" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0401953, 0.210363, 0.328826, 0.524791, 0.852796, 1.39879, 2.30956", \ + "0.0458863, 0.211712, 0.329376, 0.524841, 0.852797, 1.39995, 2.30957", \ + "0.052588, 0.216578, 0.332318, 0.525988, 0.852798, 1.39996, 2.31052", \ + "0.0710136, 0.229065, 0.342729, 0.533288, 0.856188, 1.39997, 2.3118", \ + "0.109072, 0.26027, 0.370022, 0.555825, 0.873133, 1.40904, 2.32344", \ + "0.174415, 0.329033, 0.434414, 0.612157, 0.921273, 1.44812, 2.33648", \ + "0.294732, 0.461492, 0.568823, 0.744212, 1.03827, 1.54909, 2.4233" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.017536, 0.0464162, 0.0644429, 0.0937306, 0.142346, 0.222767, 0.356577", \ + "0.0319506, 0.0754359, 0.0978492, 0.130597, 0.180924, 0.261834, 0.395693", \ + "0.0391895, 0.0938434, 0.120697, 0.159287, 0.215444, 0.300501, 0.435659", \ + "0.0475967, 0.119104, 0.153586, 0.201725, 0.269606, 0.36709, 0.512505", \ + "0.052392, 0.147675, 0.192983, 0.255355, 0.342858, 0.4632, 0.634765", \ + "0.052393, 0.176099, 0.237796, 0.321343, 0.435341, 0.592733, 0.807542", \ + "0.052394, 0.195499, 0.278261, 0.391338, 0.546237, 0.75231, 1.03472" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01499, 0.0494255, 0.0736715, 0.114138, 0.181991, 0.294787, 0.483062", \ + "0.0307628, 0.0666421, 0.0888475, 0.125406, 0.188412, 0.297113, 0.483867", \ + "0.0441674, 0.0836262, 0.107324, 0.144362, 0.205258, 0.308796, 0.488767", \ + "0.067783, 0.114708, 0.140343, 0.180653, 0.243225, 0.344773, 0.516292", \ + "0.109625, 0.169172, 0.199505, 0.245233, 0.313472, 0.420833, 0.591015", \ + "0.183555, 0.268106, 0.303277, 0.354118, 0.433861, 0.552727, 0.739297", \ + "0.317452, 0.443594, 0.492663, 0.556867, 0.646552, 0.784783, 0.990853" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0519668, 0.172476, 0.25495, 0.391158, 0.618498, 0.996851, 1.62789", \ + "0.0607735, 0.185683, 0.268356, 0.404835, 0.632376, 1.01133, 1.64378", \ + "0.0666501, 0.196924, 0.280412, 0.416588, 0.644088, 1.02291, 1.65431", \ + "0.0801511, 0.219025, 0.304976, 0.442787, 0.669943, 1.04777, 1.67871", \ + "0.111437, 0.268152, 0.356689, 0.498743, 0.729135, 1.10664, 1.73469", \ + "0.167892, 0.357435, 0.456388, 0.606013, 0.845163, 1.22982, 1.85939", \ + "0.258395, 0.49876, 0.619122, 0.792288, 1.04822, 1.45478, 2.09844" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0402683, 0.21035, 0.328813, 0.52479, 0.852762, 1.39878, 2.30955", \ + "0.0486797, 0.21241, 0.329481, 0.524803, 0.852763, 1.39995, 2.31059", \ + "0.0572525, 0.218963, 0.333614, 0.526385, 0.855227, 1.39996, 2.31132", \ + "0.0762359, 0.234424, 0.346936, 0.535738, 0.857271, 1.40065, 2.31191", \ + "0.111247, 0.267115, 0.377633, 0.563375, 0.878635, 1.41138, 2.32756", \ + "0.169705, 0.334618, 0.441417, 0.624364, 0.935109, 1.45927, 2.34243", \ + "0.270248, 0.460527, 0.574338, 0.753423, 1.057, 1.57503, 2.44626" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179083, 0.0461451, 0.0639838, 0.093203, 0.141971, 0.222774, 0.357626", \ + "0.0310056, 0.0746212, 0.0970788, 0.130003, 0.18057, 0.261946, 0.396652", \ + "0.0370557, 0.0925282, 0.119733, 0.158506, 0.215048, 0.300599, 0.436707", \ + "0.0429516, 0.116536, 0.151602, 0.200507, 0.26879, 0.367234, 0.513424", \ + "0.0429526, 0.142149, 0.188907, 0.252673, 0.341262, 0.462748, 0.635684", \ + "0.0429536, 0.162804, 0.227234, 0.314305, 0.430772, 0.59045, 0.80748", \ + "0.0429546, 0.162805, 0.250944, 0.370841, 0.531704, 0.743709, 1.03165" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0138364, 0.0477286, 0.0720566, 0.112748, 0.181188, 0.294945, 0.484602", \ + "0.0285346, 0.0647943, 0.0873907, 0.12414, 0.18759, 0.297142, 0.485106", \ + "0.0408705, 0.0813915, 0.105666, 0.142983, 0.204391, 0.308823, 0.490307", \ + "0.0624886, 0.11176, 0.137813, 0.178953, 0.242449, 0.344949, 0.517519", \ + "0.09984, 0.16416, 0.195452, 0.241658, 0.312136, 0.420812, 0.592399", \ + "0.163149, 0.258203, 0.295989, 0.350407, 0.432154, 0.552294, 0.739877", \ + "0.274002, 0.416969, 0.473975, 0.544713, 0.640435, 0.782873, 0.992248" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0453599, 0.165976, 0.24828, 0.384254, 0.611577, 0.990266, 1.62096", \ + "0.0569142, 0.183821, 0.266464, 0.402878, 0.630152, 1.00937, 1.6407", \ + "0.0673705, 0.20188, 0.285701, 0.42181, 0.649165, 1.03029, 1.65918", \ + "0.087986, 0.235625, 0.323152, 0.461801, 0.689119, 1.06697, 1.69758", \ + "0.128417, 0.297666, 0.393683, 0.540107, 0.77408, 1.15297, 1.78122", \ + "0.200608, 0.407635, 0.515433, 0.677645, 0.929561, 1.32299, 1.95841", \ + "0.320822, 0.585138, 0.716933, 0.903845, 1.18554, 1.6149, 2.2844" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0404236, 0.210403, 0.328829, 0.524554, 0.852588, 1.39878, 2.31104", \ + "0.051674, 0.213355, 0.329768, 0.52509, 0.852589, 1.39933, 2.31154", \ + "0.060611, 0.221974, 0.335283, 0.526987, 0.855318, 1.40098, 2.31155", \ + "0.0778769, 0.241471, 0.352833, 0.539333, 0.858802, 1.40099, 2.31187", \ + "0.109729, 0.277052, 0.390764, 0.575739, 0.886572, 1.41535, 2.31504", \ + "0.162879, 0.343808, 0.459533, 0.647978, 0.961746, 1.47802, 2.35309", \ + "0.25339, 0.466853, 0.589886, 0.783222, 1.10211, 1.62527, 2.49084" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0171168, 0.0446945, 0.0623306, 0.0913863, 0.1399, 0.220768, 0.355458", \ + "0.0280887, 0.0726021, 0.0951698, 0.128103, 0.178672, 0.259985, 0.394706", \ + "0.0323371, 0.0896457, 0.117208, 0.156257, 0.212942, 0.298619, 0.434762", \ + "0.0348591, 0.112259, 0.148048, 0.197325, 0.266242, 0.364869, 0.511333", \ + "0.0348601, 0.134508, 0.18288, 0.247674, 0.337354, 0.459835, 0.633031", \ + "0.0348611, 0.147589, 0.214983, 0.305174, 0.423988, 0.585644, 0.803639", \ + "0.0348621, 0.14759, 0.223316, 0.349564, 0.516388, 0.732684, 1.02432" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0117818, 0.0453572, 0.0695649, 0.110181, 0.178486, 0.29225, 0.48195", \ + "0.0253379, 0.062349, 0.0850236, 0.12176, 0.184985, 0.294615, 0.482711", \ + "0.0366433, 0.0788787, 0.102966, 0.140747, 0.202054, 0.306489, 0.48766", \ + "0.0563708, 0.108013, 0.135115, 0.176179, 0.240246, 0.342407, 0.51507", \ + "0.089502, 0.159777, 0.191731, 0.238896, 0.309212, 0.41806, 0.589701", \ + "0.145212, 0.248944, 0.290016, 0.345505, 0.428854, 0.549471, 0.7374", \ + "0.239847, 0.398319, 0.458734, 0.534763, 0.634349, 0.779152, 0.990588" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0331302, 0.154157, 0.236799, 0.372861, 0.6002, 0.97899, 1.61001", \ + "0.0521845, 0.179096, 0.261161, 0.397101, 0.624164, 1.00306, 1.63411", \ + "0.0663923, 0.204157, 0.287597, 0.422852, 0.649325, 1.02723, 1.65821", \ + "0.0898657, 0.246155, 0.336437, 0.475796, 0.702369, 1.07888, 1.71138", \ + "0.134637, 0.317601, 0.419379, 0.572587, 0.810313, 1.18974, 1.81592", \ + "0.215761, 0.438682, 0.555178, 0.730819, 0.995563, 1.40214, 2.04135", \ + "0.353662, 0.63625, 0.777297, 0.979495, 1.28723, 1.74496, 2.44097" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0398396, 0.210334, 0.328759, 0.524954, 0.852352, 1.39913, 2.30956", \ + "0.0499687, 0.214132, 0.329798, 0.52546, 0.852508, 1.39998, 2.30957", \ + "0.0574171, 0.225541, 0.337554, 0.527656, 0.853465, 1.39999, 2.31134", \ + "0.0735537, 0.24906, 0.36133, 0.54572, 0.861592, 1.40082, 2.31292", \ + "0.104015, 0.290968, 0.40802, 0.594984, 0.902566, 1.42416, 2.32682", \ + "0.154786, 0.360471, 0.487479, 0.684072, 1.00184, 1.51705, 2.37638", \ + "0.245784, 0.492035, 0.624867, 0.834651, 1.17363, 1.71039, 2.57289" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014581, 0.0426395, 0.0602532, 0.0892588, 0.137741, 0.218627, 0.353285", \ + "0.0225226, 0.0697958, 0.0926163, 0.125823, 0.176535, 0.257935, 0.392612", \ + "0.0242367, 0.0858722, 0.114089, 0.153488, 0.210577, 0.296446, 0.432573", \ + "0.0242377, 0.106943, 0.143668, 0.193755, 0.263341, 0.362331, 0.509198", \ + "0.0242387, 0.125795, 0.176177, 0.242495, 0.333337, 0.456601, 0.630461", \ + "0.0242397, 0.131248, 0.202631, 0.295604, 0.416806, 0.580252, 0.800012", \ + "0.0242407, 0.131249, 0.202632, 0.329152, 0.50077, 0.722095, 1.01679" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0093674, 0.0427727, 0.0669693, 0.10751, 0.175792, 0.289552, 0.479241", \ + "0.021556, 0.0597406, 0.0825504, 0.119291, 0.182437, 0.291968, 0.479605", \ + "0.0318517, 0.0757405, 0.100352, 0.138143, 0.199636, 0.303842, 0.484969", \ + "0.0496094, 0.104703, 0.132649, 0.173602, 0.237667, 0.339913, 0.512529", \ + "0.0798182, 0.156147, 0.188176, 0.235428, 0.306486, 0.41594, 0.58737", \ + "0.129984, 0.243476, 0.28639, 0.342054, 0.425148, 0.546853, 0.734909", \ + "0.217238, 0.386769, 0.45092, 0.530808, 0.631317, 0.776061, 0.990719" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0174139, 0.0178988, 0.0179294, 0.0178717, 0.0176554, 0.0172075, 0.0172754", \ + "0.0172031, 0.017463, 0.0178335, 0.0174795, 0.0173629, 0.0171224, 0.0171454", \ + "0.0181948, 0.0177615, 0.0176616, 0.0177466, 0.0174101, 0.0170664, 0.0169969", \ + "0.0223017, 0.0199689, 0.0194316, 0.0187984, 0.0182056, 0.0175824, 0.017693", \ + "0.0342258, 0.0285801, 0.0268092, 0.0248419, 0.0230244, 0.0209147, 0.020513", \ + "0.0606082, 0.0510118, 0.047353, 0.0429159, 0.0383433, 0.0337729, 0.0290719", \ + "0.114549, 0.100868, 0.0947413, 0.0871064, 0.0783428, 0.0686518, 0.058015" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.007315, 0.00725557, 0.00721124, 0.00708423, 0.00693556, 0.00651085, 0.00593104", \ + "0.00810455, 0.00756127, 0.00728083, 0.0072068, 0.00713309, 0.00636807, 0.00592357", \ + "0.0100875, 0.00852104, 0.00818032, 0.00780444, 0.00723784, 0.00718232, 0.00623895", \ + "0.0154814, 0.0118828, 0.0108794, 0.0100164, 0.00906548, 0.00767838, 0.00740054", \ + "0.027695, 0.0210838, 0.0187745, 0.016523, 0.0142052, 0.0119866, 0.00954071", \ + "0.0533402, 0.0431289, 0.0385705, 0.0335784, 0.0283973, 0.0233337, 0.0191659", \ + "0.106371, 0.0923886, 0.0854503, 0.0760619, 0.0658956, 0.0546691, 0.0439806" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151853, 0.0156907, 0.0157191, 0.0156612, 0.0154717, 0.0150028, 0.0149774", \ + "0.0150783, 0.0152801, 0.0154627, 0.0153101, 0.0152071, 0.0149437, 0.0147671", \ + "0.0162708, 0.0156729, 0.0154677, 0.0158848, 0.0154193, 0.0149042, 0.0150691", \ + "0.0205809, 0.0179953, 0.0174165, 0.0168286, 0.0160678, 0.0154548, 0.0152042", \ + "0.0315126, 0.0261738, 0.0243392, 0.0223899, 0.0207976, 0.0186251, 0.018215", \ + "0.0547529, 0.0460101, 0.0425356, 0.0387078, 0.0342507, 0.0300994, 0.0255606", \ + "0.102284, 0.089653, 0.084195, 0.0773616, 0.0693017, 0.060813, 0.0509599" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00552595, 0.00557476, 0.00549606, 0.00537233, 0.00524548, 0.00477208, 0.00421798", \ + "0.0062581, 0.00586476, 0.00567879, 0.00556948, 0.00544001, 0.0054804, 0.0041562", \ + "0.00814672, 0.00682044, 0.00658683, 0.00615435, 0.00565479, 0.00551014, 0.0046557", \ + "0.0129211, 0.00986232, 0.00896914, 0.00824528, 0.00730071, 0.00608395, 0.0057352", \ + "0.0236997, 0.0182025, 0.0161593, 0.0140797, 0.0121219, 0.0101618, 0.00780365", \ + "0.0463184, 0.037904, 0.033828, 0.0297739, 0.0251243, 0.020573, 0.0167521", \ + "0.0926676, 0.0806438, 0.075006, 0.0675155, 0.0588173, 0.0489798, 0.0396529" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0113086, 0.011783, 0.011807, 0.0117086, 0.0115264, 0.011102, 0.0113511", \ + "0.0115609, 0.0114467, 0.01148, 0.0114788, 0.0113383, 0.0110155, 0.0111322", \ + "0.0132928, 0.0122051, 0.0119002, 0.011737, 0.011681, 0.0112954, 0.0108588", \ + "0.0177552, 0.0149144, 0.0141653, 0.0133017, 0.0125278, 0.0118192, 0.0116177", \ + "0.027794, 0.0226047, 0.0209214, 0.0189733, 0.0174143, 0.0150739, 0.0136836", \ + "0.0488484, 0.0405231, 0.0374025, 0.0338461, 0.0296995, 0.025672, 0.0217134", \ + "0.0918144, 0.0797195, 0.0747401, 0.0685165, 0.06148, 0.0532547, 0.0443966" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00486738, 0.00514747, 0.00502123, 0.00492752, 0.00473606, 0.00438848, 0.00377411", \ + "0.00576019, 0.00548868, 0.00537375, 0.00523708, 0.00497662, 0.00483845, 0.00403664", \ + "0.00756293, 0.00645497, 0.0061969, 0.00594375, 0.00536288, 0.00531894, 0.00446814", \ + "0.0119831, 0.00920971, 0.00851237, 0.00779675, 0.00711733, 0.00575077, 0.00552606", \ + "0.0218436, 0.0169279, 0.0150933, 0.0132564, 0.0114488, 0.00972955, 0.00777215", \ + "0.0423213, 0.0345001, 0.0313475, 0.0276402, 0.0236165, 0.019487, 0.0159845", \ + "0.0839515, 0.0734403, 0.0683367, 0.0617713, 0.0542646, 0.0453375, 0.0374196" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00691262, 0.00760611, 0.00766592, 0.00764623, 0.00746104, 0.00710762, 0.00707274", \ + "0.00854808, 0.00780021, 0.00776644, 0.00759592, 0.00738591, 0.0070625, 0.00701813", \ + "0.010821, 0.00907747, 0.00855328, 0.00861914, 0.00778909, 0.00718771, 0.00735039", \ + "0.0154509, 0.0122798, 0.0113891, 0.0102791, 0.00927153, 0.00824184, 0.0082227", \ + "0.0255929, 0.0204601, 0.0186122, 0.0164985, 0.0143498, 0.0121163, 0.010742", \ + "0.04639, 0.0382341, 0.03526, 0.0317682, 0.0273476, 0.0234678, 0.0189683", \ + "0.0888037, 0.0770285, 0.072089, 0.0665086, 0.0599318, 0.0517282, 0.0427378" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00405635, 0.00469475, 0.00472845, 0.0045896, 0.00442134, 0.0040791, 0.00347688", \ + "0.00521933, 0.0051353, 0.00501976, 0.00497874, 0.00475501, 0.00459917, 0.00364702", \ + "0.0071784, 0.00599662, 0.0058476, 0.00551502, 0.00518223, 0.00498517, 0.00387786", \ + "0.0116549, 0.00867504, 0.00814453, 0.00740788, 0.00679069, 0.00549758, 0.00528098", \ + "0.0215165, 0.0160612, 0.0143044, 0.012528, 0.0108751, 0.00935893, 0.00708151", \ + "0.0420815, 0.0334493, 0.0301209, 0.0263163, 0.0221634, 0.0184168, 0.0152428", \ + "0.0835007, 0.0717883, 0.0664343, 0.0598908, 0.0520861, 0.0430053, 0.0358597" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00340357; + rise_capacitance : 0.0032725; + rise_capacitance_range (0.0032725, 0.0032725); + fall_capacitance : 0.00353464; + fall_capacitance_range (0.00353464, 0.00353464); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00171778, -0.00172236, -0.00167155, -0.00171196, -0.00171528, -0.00165005, -0.00168078" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00171778, 0.00172236, 0.00167155, 0.00171196, 0.00171528, 0.00165005, 0.00168078" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00171778, -0.00172236, -0.00167155, -0.00171196, -0.00171528, -0.00165005, -0.00168078" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00171778, 0.00172236, 0.00167155, 0.00171196, 0.00171528, 0.00165005, 0.00168078" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00333246; + rise_capacitance : 0.00331824; + rise_capacitance_range (0.00331824, 0.00331824); + fall_capacitance : 0.00334668; + fall_capacitance_range (0.00334668, 0.00334668); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00285599; + rise_capacitance : 0.00279683; + rise_capacitance_range (0.00279683, 0.00279683); + fall_capacitance : 0.00291515; + fall_capacitance_range (0.00291515, 0.00291515); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00285017; + rise_capacitance : 0.00287709; + rise_capacitance_range (0.00287709, 0.00287709); + fall_capacitance : 0.00282325; + fall_capacitance_range (0.00282325, 0.00282325); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_nor4_2) { + area : 21.7728; + cell_footprint : "nor4"; + cell_leakage_power : 3050.08; + leakage_power () { + value : 1668.62; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 2550.81; + when : "!A&!B&!C&D&!Y"; + } + leakage_power () { + value : 2144.6; + when : "!A&!B&C&!D&!Y"; + } + leakage_power () { + value : 3405.49; + when : "!A&!B&C&D&!Y"; + } + leakage_power () { + value : 1786.71; + when : "!A&B&!C&!D&!Y"; + } + leakage_power () { + value : 3078.19; + when : "!A&B&!C&D&!Y"; + } + leakage_power () { + value : 3024.57; + when : "!A&B&C&!D&!Y"; + } + leakage_power () { + value : 4342.74; + when : "!A&B&C&D&!Y"; + } + leakage_power () { + value : 1430.21; + when : "A&!B&!C&!D&!Y"; + } + leakage_power () { + value : 2727.76; + when : "A&!B&!C&D&!Y"; + } + leakage_power () { + value : 2698.54; + when : "A&!B&C&!D&!Y"; + } + leakage_power () { + value : 4026.56; + when : "A&!B&C&D&!Y"; + } + leakage_power () { + value : 2668.69; + when : "A&B&!C&!D&!Y"; + } + leakage_power () { + value : 4000.44; + when : "A&B&!C&D&!Y"; + } + leakage_power () { + value : 3962.57; + when : "A&B&C&!D&!Y"; + } + leakage_power () { + value : 5284.73; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0517224, 0.176746, 0.259945, 0.397568, 0.627484, 1.01115, 1.64905", \ + "0.0590856, 0.186636, 0.27027, 0.408105, 0.638397, 1.02197, 1.66083", \ + "0.0617568, 0.193119, 0.277288, 0.415029, 0.645417, 1.02851, 1.66751", \ + "0.0672514, 0.205679, 0.291151, 0.429664, 0.65936, 1.04175, 1.67993", \ + "0.0843692, 0.238314, 0.325504, 0.465322, 0.696162, 1.07667, 1.71159", \ + "0.118699, 0.302699, 0.397899, 0.543034, 0.776045, 1.15929, 1.79067", \ + "0.169812, 0.399199, 0.513298, 0.678225, 0.924726, 1.31525, 1.9503" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0363002, 0.212715, 0.332579, 0.530915, 0.863036, 1.41741, 2.33957", \ + "0.0420682, 0.213859, 0.332996, 0.531004, 0.863125, 1.4179, 2.34159", \ + "0.0486966, 0.218583, 0.335921, 0.532254, 0.863866, 1.41791, 2.3416", \ + "0.0673635, 0.231183, 0.346094, 0.539385, 0.866726, 1.41792, 2.34188", \ + "0.105696, 0.261495, 0.373277, 0.561536, 0.883442, 1.42701, 2.34313", \ + "0.171893, 0.330722, 0.437464, 0.618315, 0.931164, 1.46444, 2.3653", \ + "0.294311, 0.464882, 0.576768, 0.750014, 1.04759, 1.56595, 2.44877" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0164919, 0.0464266, 0.0645311, 0.0939064, 0.142821, 0.223198, 0.3573", \ + "0.0302873, 0.0754062, 0.0978912, 0.130666, 0.181093, 0.262194, 0.396288", \ + "0.0370759, 0.0939425, 0.120848, 0.15943, 0.215701, 0.30087, 0.436313", \ + "0.0448477, 0.119128, 0.153701, 0.20179, 0.269845, 0.367427, 0.513043", \ + "0.0488934, 0.147587, 0.193031, 0.255525, 0.343098, 0.46381, 0.635185", \ + "0.0488944, 0.175794, 0.237676, 0.321466, 0.43541, 0.592594, 0.807587", \ + "0.0488954, 0.194583, 0.276945, 0.390674, 0.546181, 0.752185, 1.03507" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0142125, 0.0497165, 0.0740766, 0.114732, 0.182988, 0.296214, 0.485117", \ + "0.0298668, 0.0668946, 0.0892273, 0.12591, 0.189214, 0.298412, 0.485746", \ + "0.0429851, 0.0837498, 0.107608, 0.144861, 0.205997, 0.310063, 0.490876", \ + "0.0662815, 0.115041, 0.140713, 0.181126, 0.244092, 0.346269, 0.518353", \ + "0.10739, 0.169687, 0.199994, 0.245761, 0.313703, 0.422925, 0.592801", \ + "0.180719, 0.268976, 0.303615, 0.355138, 0.434608, 0.553627, 0.740584", \ + "0.313751, 0.444053, 0.495163, 0.558567, 0.648222, 0.786147, 0.993794" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0497419, 0.174625, 0.257953, 0.39586, 0.625884, 1.00863, 1.64707", \ + "0.0583287, 0.187815, 0.271507, 0.409572, 0.639608, 1.02358, 1.66183", \ + "0.0636164, 0.198984, 0.283454, 0.421254, 0.651532, 1.03704, 1.67359", \ + "0.0761629, 0.22104, 0.307688, 0.447114, 0.677138, 1.05953, 1.69749", \ + "0.106711, 0.269283, 0.35935, 0.502811, 0.736189, 1.11794, 1.75344", \ + "0.162323, 0.359423, 0.460243, 0.610686, 0.851673, 1.24043, 1.87761", \ + "0.252385, 0.501942, 0.622374, 0.797483, 1.05578, 1.46607, 2.11586" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0363901, 0.212598, 0.332442, 0.531164, 0.863529, 1.41667, 2.33957", \ + "0.044928, 0.214607, 0.333135, 0.531278, 0.86353, 1.4172, 2.33958", \ + "0.0535151, 0.220965, 0.337236, 0.53269, 0.863776, 1.42014, 2.34139", \ + "0.0728669, 0.236404, 0.35046, 0.541979, 0.867947, 1.42015, 2.34181", \ + "0.107819, 0.268451, 0.381057, 0.569229, 0.888805, 1.42907, 2.35037", \ + "0.166146, 0.335702, 0.445169, 0.629915, 0.944798, 1.47626, 2.37123", \ + "0.26694, 0.463194, 0.576901, 0.75888, 1.06677, 1.59041, 2.47263" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0168567, 0.046004, 0.063825, 0.0929877, 0.141596, 0.222331, 0.35667", \ + "0.0292514, 0.0744542, 0.0969061, 0.129726, 0.180222, 0.261381, 0.395756", \ + "0.0347421, 0.0922982, 0.119442, 0.158092, 0.214599, 0.299977, 0.435771", \ + "0.0398597, 0.116224, 0.151325, 0.199999, 0.268216, 0.366367, 0.512433", \ + "0.0398607, 0.141645, 0.188407, 0.252124, 0.340588, 0.462059, 0.634223", \ + "0.0398617, 0.161659, 0.226597, 0.313501, 0.429574, 0.588649, 0.805712", \ + "0.0398627, 0.16166, 0.248699, 0.368613, 0.530498, 0.741678, 1.02961" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0130513, 0.047778, 0.0720261, 0.11268, 0.181012, 0.294676, 0.48426", \ + "0.0274238, 0.0646856, 0.0873232, 0.124058, 0.18733, 0.296943, 0.484897", \ + "0.0395739, 0.0812433, 0.105369, 0.142842, 0.204291, 0.30866, 0.489981", \ + "0.0607481, 0.11171, 0.137741, 0.178751, 0.24229, 0.344606, 0.517282", \ + "0.0970219, 0.164419, 0.195643, 0.241502, 0.311836, 0.420528, 0.591842", \ + "0.159138, 0.25751, 0.296027, 0.350053, 0.431433, 0.552304, 0.739243", \ + "0.268282, 0.417585, 0.473945, 0.544898, 0.640432, 0.782443, 0.992053" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0425945, 0.167567, 0.250873, 0.388563, 0.618747, 1.00147, 1.63991", \ + "0.0531877, 0.185301, 0.268877, 0.406912, 0.638441, 1.02043, 1.65899", \ + "0.0634212, 0.20322, 0.287985, 0.425675, 0.655849, 1.03878, 1.67766", \ + "0.0831874, 0.23656, 0.325366, 0.465517, 0.695564, 1.07787, 1.71856", \ + "0.122701, 0.299004, 0.395679, 0.54381, 0.780168, 1.16343, 1.79901", \ + "0.193837, 0.409889, 0.51811, 0.681687, 0.935335, 1.33378, 1.97564", \ + "0.313029, 0.588346, 0.719786, 0.908494, 1.1928, 1.62622, 2.30174" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0365599, 0.212677, 0.332502, 0.530972, 0.863521, 1.41666, 2.33957", \ + "0.0476232, 0.215494, 0.333434, 0.531178, 0.864708, 1.4179, 2.33958", \ + "0.0565144, 0.224069, 0.338959, 0.533135, 0.864709, 1.41791, 2.34139", \ + "0.0738116, 0.2433, 0.35628, 0.545332, 0.869167, 1.41792, 2.34344", \ + "0.105402, 0.279111, 0.393537, 0.581444, 0.896675, 1.43272, 2.36629", \ + "0.157681, 0.345794, 0.462448, 0.653441, 0.970154, 1.49489, 2.382", \ + "0.248844, 0.468446, 0.591599, 0.788367, 1.11189, 1.64039, 2.5191" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0161097, 0.044518, 0.0621149, 0.0911118, 0.139505, 0.220206, 0.354499", \ + "0.0262158, 0.0724187, 0.094945, 0.127827, 0.178222, 0.259341, 0.393715", \ + "0.029788, 0.0893937, 0.116907, 0.155899, 0.212556, 0.298001, 0.43372", \ + "0.0315204, 0.111867, 0.14758, 0.196838, 0.265599, 0.364078, 0.510265", \ + "0.0315214, 0.133883, 0.182466, 0.247249, 0.336605, 0.458911, 0.631802", \ + "0.0315224, 0.146397, 0.21436, 0.304255, 0.422648, 0.583998, 0.801731", \ + "0.0315234, 0.146398, 0.220997, 0.347608, 0.514037, 0.730634, 1.02191" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0109551, 0.0452497, 0.0694689, 0.110101, 0.178301, 0.292004, 0.481562", \ + "0.0240693, 0.062214, 0.084902, 0.121634, 0.18485, 0.294411, 0.481933", \ + "0.0350806, 0.0787018, 0.102994, 0.140578, 0.201984, 0.306242, 0.487328", \ + "0.0539617, 0.107828, 0.134803, 0.176315, 0.239893, 0.342247, 0.514743", \ + "0.0861949, 0.159507, 0.191825, 0.238666, 0.308859, 0.418152, 0.589184", \ + "0.140277, 0.248722, 0.290272, 0.345475, 0.427475, 0.550067, 0.737243", \ + "0.232716, 0.398493, 0.458768, 0.535157, 0.63459, 0.778711, 0.989937" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.029695, 0.154978, 0.23854, 0.376199, 0.606663, 0.989458, 1.6279", \ + "0.0481251, 0.180027, 0.263012, 0.400379, 0.630249, 1.01414, 1.65209", \ + "0.0619497, 0.205169, 0.28958, 0.42645, 0.655464, 1.03781, 1.67614", \ + "0.0844866, 0.247417, 0.338575, 0.479289, 0.708458, 1.08938, 1.7284", \ + "0.128564, 0.319176, 0.42214, 0.576574, 0.81699, 1.20072, 1.83386", \ + "0.208485, 0.441127, 0.55885, 0.735694, 1.0033, 1.41408, 2.05995", \ + "0.345899, 0.639943, 0.78277, 0.986228, 1.29513, 1.75734, 2.45987" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0356803, 0.212552, 0.332615, 0.531114, 0.863528, 1.41709, 2.33957", \ + "0.0449762, 0.216183, 0.333626, 0.531409, 0.863628, 1.41733, 2.33958", \ + "0.0520578, 0.227509, 0.341189, 0.53396, 0.863629, 1.41766, 2.34137", \ + "0.0677345, 0.250998, 0.364774, 0.551761, 0.871966, 1.42205, 2.34274", \ + "0.0977877, 0.29271, 0.411194, 0.600646, 0.912494, 1.44178, 2.3512", \ + "0.147189, 0.361577, 0.490353, 0.689845, 1.01235, 1.53311, 2.40531", \ + "0.236175, 0.492984, 0.626473, 0.839769, 1.18109, 1.72735, 2.59845" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0134806, 0.0423633, 0.0598693, 0.0886891, 0.136942, 0.217264, 0.351105", \ + "0.0202363, 0.0693964, 0.0921728, 0.12524, 0.175598, 0.256501, 0.390393", \ + "0.0211707, 0.0853783, 0.113592, 0.152753, 0.209615, 0.295091, 0.430373", \ + "0.0211717, 0.106251, 0.142901, 0.192853, 0.262097, 0.360925, 0.506839", \ + "0.0211727, 0.124842, 0.175102, 0.241267, 0.331975, 0.454759, 0.627822", \ + "0.0211737, 0.129285, 0.200875, 0.293878, 0.414653, 0.577993, 0.796704", \ + "0.0211747, 0.129286, 0.200876, 0.325409, 0.497538, 0.718427, 1.01205" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00842445, 0.0424167, 0.0664458, 0.106814, 0.174811, 0.288016, 0.476793", \ + "0.0199659, 0.0594086, 0.0821056, 0.118666, 0.181529, 0.290485, 0.477317", \ + "0.02973, 0.0754, 0.0998954, 0.137508, 0.198523, 0.302451, 0.482531", \ + "0.0466858, 0.104481, 0.132149, 0.172851, 0.236781, 0.338634, 0.510358", \ + "0.0756504, 0.155608, 0.187726, 0.235811, 0.305827, 0.41411, 0.584891", \ + "0.123873, 0.242373, 0.285659, 0.341014, 0.423978, 0.545889, 0.733144", \ + "0.208191, 0.386096, 0.450248, 0.530065, 0.629661, 0.775534, 0.987374" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0349577, 0.0360196, 0.0360208, 0.0358557, 0.0354602, 0.0346878, 0.0350091", \ + "0.0345308, 0.0349761, 0.0351747, 0.0351381, 0.0349396, 0.0344463, 0.0345958", \ + "0.0364495, 0.0357017, 0.0353923, 0.0356298, 0.0350127, 0.0343807, 0.034857", \ + "0.0446957, 0.0399057, 0.0388654, 0.0376761, 0.0364636, 0.0352422, 0.0353435", \ + "0.0686342, 0.0567741, 0.0533896, 0.0494856, 0.046186, 0.0420614, 0.0397578", \ + "0.121491, 0.101555, 0.0942649, 0.085952, 0.0762885, 0.0676127, 0.0578094", \ + "0.229405, 0.201222, 0.189263, 0.174117, 0.156104, 0.136555, 0.114947" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.014857, 0.0147397, 0.0147041, 0.0144053, 0.0142745, 0.0133039, 0.0120541", \ + "0.0164273, 0.0153617, 0.0149661, 0.0145753, 0.0139994, 0.0130346, 0.0119344", \ + "0.0205266, 0.017234, 0.0165631, 0.0157813, 0.014707, 0.014564, 0.0125149", \ + "0.031345, 0.0238704, 0.0218892, 0.0200697, 0.0182598, 0.0156433, 0.0151852", \ + "0.0558565, 0.0421822, 0.0374983, 0.0330198, 0.0282495, 0.0247829, 0.019118", \ + "0.107276, 0.086104, 0.0770023, 0.0672889, 0.0564701, 0.0463625, 0.037964", \ + "0.213299, 0.183853, 0.170572, 0.151824, 0.13138, 0.108679, 0.0877269" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0309379, 0.0319741, 0.0319874, 0.0318654, 0.0315268, 0.0306659, 0.0309823", \ + "0.0307066, 0.0311692, 0.0314503, 0.0312199, 0.0309641, 0.0303958, 0.0306101", \ + "0.0330626, 0.0318455, 0.031553, 0.0324754, 0.0309472, 0.0309869, 0.0301249", \ + "0.041701, 0.0364448, 0.0352536, 0.03413, 0.0327666, 0.0314271, 0.0313491", \ + "0.0636677, 0.0523664, 0.0488563, 0.0450757, 0.0422583, 0.0379476, 0.0362723", \ + "0.110153, 0.0919847, 0.0851911, 0.0775289, 0.0684993, 0.0603186, 0.051966", \ + "0.205112, 0.1789, 0.167814, 0.154288, 0.138428, 0.120979, 0.10171" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.010586, 0.0107213, 0.01054, 0.0102622, 0.0100225, 0.00919766, 0.00799622", \ + "0.0120985, 0.0112331, 0.0108805, 0.0106252, 0.0102148, 0.00963202, 0.00802362", \ + "0.0159274, 0.0130945, 0.0125698, 0.011691, 0.0108205, 0.0106064, 0.00827167", \ + "0.0255142, 0.0191609, 0.0174062, 0.0158874, 0.0142904, 0.0115253, 0.0112463", \ + "0.0471208, 0.0357746, 0.0316596, 0.0275576, 0.023621, 0.019868, 0.0153612", \ + "0.0922096, 0.0746727, 0.0669816, 0.058807, 0.0493125, 0.0401518, 0.0329221", \ + "0.184501, 0.16009, 0.14874, 0.133755, 0.116447, 0.0969408, 0.0785649" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0230399, 0.0241466, 0.0241276, 0.0240493, 0.023642, 0.0227826, 0.0230932", \ + "0.0236611, 0.0235108, 0.0238539, 0.023465, 0.0235586, 0.0227036, 0.0227722", \ + "0.0271979, 0.0248695, 0.0244252, 0.0243962, 0.0234375, 0.0226786, 0.0227689", \ + "0.0362061, 0.0301641, 0.0287485, 0.0271901, 0.0256806, 0.02402, 0.0242461", \ + "0.0564232, 0.0455694, 0.042146, 0.0382208, 0.0348287, 0.0301709, 0.0306501", \ + "0.0985141, 0.0812629, 0.0750356, 0.0677624, 0.0594504, 0.0518376, 0.0437835", \ + "0.184391, 0.159223, 0.14907, 0.136964, 0.123005, 0.106316, 0.0887743" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00884742, 0.00948151, 0.00920515, 0.00904272, 0.00860851, 0.00787409, 0.00671498", \ + "0.0107473, 0.0101767, 0.00978458, 0.00966581, 0.0091086, 0.00840106, 0.0070109", \ + "0.0144149, 0.0120471, 0.0115875, 0.0109002, 0.00996461, 0.00966315, 0.00802491", \ + "0.023447, 0.0175329, 0.0160865, 0.0148729, 0.01329, 0.0106939, 0.010082", \ + "0.0429905, 0.0328874, 0.0293755, 0.0257647, 0.0218907, 0.0186922, 0.0142564", \ + "0.0839443, 0.0679722, 0.0616823, 0.054254, 0.045765, 0.0380428, 0.03085", \ + "0.167231, 0.145419, 0.135372, 0.122107, 0.106924, 0.0893592, 0.0736167" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0141868, 0.0156135, 0.0157383, 0.0156649, 0.015307, 0.0145677, 0.014684", \ + "0.0177097, 0.0159347, 0.0157759, 0.0155401, 0.0151519, 0.0144079, 0.0147053", \ + "0.022303, 0.0186027, 0.0176823, 0.0172336, 0.0158644, 0.014874, 0.0147651", \ + "0.0316376, 0.0249903, 0.0232275, 0.020939, 0.0188673, 0.0174868, 0.0165736", \ + "0.0521074, 0.0413002, 0.0376703, 0.0334334, 0.0296136, 0.0252813, 0.0215723", \ + "0.0936507, 0.0765983, 0.0707121, 0.06365, 0.0550621, 0.0469715, 0.0375665", \ + "0.178284, 0.153576, 0.143853, 0.132834, 0.119123, 0.103165, 0.084993" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00682009, 0.00821797, 0.00816305, 0.00797927, 0.00773917, 0.0070265, 0.00574612", \ + "0.00952983, 0.00915386, 0.00886647, 0.00880458, 0.00889444, 0.00828968, 0.00644161", \ + "0.0134507, 0.0108851, 0.010605, 0.00995131, 0.00907918, 0.0100396, 0.00711374", \ + "0.0224817, 0.0162025, 0.0151193, 0.0137144, 0.0122822, 0.00998033, 0.00959257", \ + "0.0422435, 0.0309374, 0.0274988, 0.0242609, 0.0207766, 0.0172595, 0.0132035", \ + "0.0832764, 0.0654059, 0.0588659, 0.0512576, 0.0429355, 0.0359453, 0.0294453", \ + "0.165934, 0.141695, 0.130796, 0.118288, 0.102171, 0.084499, 0.0700343" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00656549; + rise_capacitance : 0.00630621; + rise_capacitance_range (0.00630621, 0.00630621); + fall_capacitance : 0.00682477; + fall_capacitance_range (0.00682477, 0.00682477); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00377765, -0.00381177, -0.00371848, -0.00376194, -0.00375643, -0.00364297, -0.00370859" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00377765, 0.00381177, 0.00371848, 0.00376194, 0.00375643, 0.00364297, 0.00370859" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00377765, -0.00381177, -0.00371848, -0.00376194, -0.00375643, -0.00364297, -0.00370859" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00377765, 0.00381177, 0.00371848, 0.00376194, 0.00375643, 0.00364297, 0.00370859" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00635296; + rise_capacitance : 0.00632791; + rise_capacitance_range (0.00632791, 0.00632791); + fall_capacitance : 0.00637802; + fall_capacitance_range (0.00637802, 0.00637802); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00545465; + rise_capacitance : 0.0053385; + rise_capacitance_range (0.0053385, 0.0053385); + fall_capacitance : 0.00557079; + fall_capacitance_range (0.00557079, 0.00557079); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00549491; + rise_capacitance : 0.00555542; + rise_capacitance_range (0.00555542, 0.00555542); + fall_capacitance : 0.00543439; + fall_capacitance_range (0.00543439, 0.00543439); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_o21ai_1) { + area : 9.072; + cell_footprint : "o21ai"; + cell_leakage_power : 1609.43; + leakage_power () { + value : 629.406; + when : "!A1*!A2*!B1*Y"; + } + leakage_power () { + value : 444.886; + when : "!A1*!A2*B1*Y"; + } + leakage_power () { + value : 1368.03; + when : "!A1*A2*!B1*Y"; + } + leakage_power () { + value : 2154.66; + when : "!A1*A2*B1*!Y"; + } + leakage_power () { + value : 1368.05; + when : "A1*!A2*!B1*Y"; + } + leakage_power () { + value : 1939.53; + when : "A1*!A2*B1*!Y"; + } + leakage_power () { + value : 2099.44; + when : "A1*A2*!B1*Y"; + } + leakage_power () { + value : 2871.46; + when : "A1*A2*B1*!Y"; + } + pin (Y) { + direction : "output"; + function : "!((A1+A2)*B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0345824, 0.108808, 0.159468, 0.243312, 0.382976, 0.615718, 1.00415", \ + "0.0472024, 0.128866, 0.180225, 0.263907, 0.403967, 0.636735, 1.02484", \ + "0.0561831, 0.145108, 0.198813, 0.283933, 0.423925, 0.656784, 1.04473", \ + "0.0705528, 0.172337, 0.230448, 0.320531, 0.463601, 0.697333, 1.08478", \ + "0.0982956, 0.221539, 0.286165, 0.384614, 0.537834, 0.779622, 1.16988", \ + "0.146336, 0.301386, 0.379925, 0.49102, 0.662251, 0.924109, 1.33226", \ + "0.219829, 0.420808, 0.519772, 0.659407, 0.859983, 1.15102, 1.60127" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0259915, 0.131476, 0.204827, 0.326465, 0.529536, 0.86816, 1.43254", \ + "0.0363187, 0.136376, 0.207419, 0.327009, 0.529753, 0.868161, 1.43255", \ + "0.0461684, 0.146536, 0.215608, 0.332262, 0.531504, 0.868312, 1.43298", \ + "0.0641037, 0.167699, 0.236108, 0.350025, 0.543629, 0.87394, 1.43357", \ + "0.0950191, 0.208719, 0.277987, 0.391081, 0.58229, 0.902317, 1.44835", \ + "0.147614, 0.283939, 0.357527, 0.472476, 0.664158, 0.982935, 1.5139", \ + "0.235088, 0.412938, 0.501604, 0.62865, 0.824657, 1.14535, 1.67656" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0250713, 0.0736879, 0.106375, 0.160244, 0.250424, 0.400515, 0.650741", \ + "0.0339978, 0.0925281, 0.127044, 0.181863, 0.272219, 0.422486, 0.672583", \ + "0.038341, 0.106726, 0.144059, 0.201891, 0.294177, 0.444863, 0.695069", \ + "0.0429893, 0.127646, 0.171088, 0.234927, 0.333654, 0.489166, 0.741165", \ + "0.0437047, 0.152969, 0.205695, 0.281513, 0.392946, 0.562158, 0.824928", \ + "0.0437057, 0.178231, 0.247024, 0.342126, 0.475294, 0.670854, 0.960011", \ + "0.0437067, 0.193576, 0.284807, 0.409146, 0.581243, 0.817113, 1.15847" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0163876, 0.0813455, 0.127065, 0.202751, 0.329261, 0.540113, 0.891746", \ + "0.0267187, 0.0891402, 0.132476, 0.205627, 0.330128, 0.540386, 0.891997", \ + "0.0377656, 0.100039, 0.142846, 0.214052, 0.335647, 0.542454, 0.893953", \ + "0.0581194, 0.123077, 0.165761, 0.236217, 0.35465, 0.555611, 0.898449", \ + "0.0919308, 0.168487, 0.21215, 0.28225, 0.400291, 0.59646, 0.928798", \ + "0.148304, 0.251317, 0.299278, 0.373158, 0.492395, 0.68781, 1.01565", \ + "0.246613, 0.392172, 0.456822, 0.543681, 0.671651, 0.870938, 1.19678" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0308351, 0.105595, 0.156306, 0.239943, 0.379935, 0.612946, 1.00121", \ + "0.0499731, 0.136321, 0.187954, 0.271548, 0.411465, 0.645903, 1.03202", \ + "0.0633293, 0.161423, 0.217104, 0.30304, 0.442868, 0.675304, 1.0631", \ + "0.0845365, 0.200744, 0.264077, 0.358558, 0.504734, 0.738686, 1.12592", \ + "0.124503, 0.265486, 0.34022, 0.450278, 0.614898, 0.863827, 1.25678", \ + "0.195305, 0.373352, 0.46283, 0.593144, 0.787271, 1.07221, 1.50127", \ + "0.313119, 0.542707, 0.656455, 0.816373, 1.04521, 1.38369, 1.88076" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.026549, 0.131408, 0.204743, 0.326276, 0.52955, 0.868268, 1.43262", \ + "0.0394095, 0.139621, 0.209081, 0.327331, 0.529755, 0.870208, 1.43263", \ + "0.0490291, 0.15496, 0.222434, 0.336097, 0.532938, 0.870209, 1.43264", \ + "0.0659862, 0.182858, 0.25243, 0.36426, 0.553074, 0.877799, 1.44044", \ + "0.0960335, 0.229668, 0.305306, 0.42353, 0.612834, 0.924159, 1.45953", \ + "0.144997, 0.308176, 0.394207, 0.523812, 0.726259, 1.0463, 1.56451", \ + "0.228478, 0.443298, 0.543163, 0.691207, 0.915494, 1.26376, 1.8077" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0207989, 0.0697072, 0.102182, 0.155628, 0.245143, 0.394247, 0.642579", \ + "0.0266951, 0.0880164, 0.12271, 0.177354, 0.267157, 0.416223, 0.664722", \ + "0.0281387, 0.101354, 0.139263, 0.197141, 0.289134, 0.438905, 0.687369", \ + "0.0281397, 0.120357, 0.164714, 0.229469, 0.328378, 0.483201, 0.733712", \ + "0.0281407, 0.140351, 0.195896, 0.273383, 0.3867, 0.55597, 0.817459", \ + "0.0281417, 0.152804, 0.227094, 0.327136, 0.464091, 0.662033, 0.951765", \ + "0.0281427, 0.152805, 0.239223, 0.373422, 0.554698, 0.798794, 1.14593" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0111782, 0.0751776, 0.120559, 0.195521, 0.32095, 0.530213, 0.878908", \ + "0.0213003, 0.0831875, 0.126071, 0.198431, 0.321888, 0.530311, 0.879236", \ + "0.0314777, 0.0941025, 0.136667, 0.207146, 0.3276, 0.532675, 0.880128", \ + "0.0500552, 0.117412, 0.159363, 0.22942, 0.346729, 0.546055, 0.885825", \ + "0.0806344, 0.162694, 0.206105, 0.275961, 0.392802, 0.58764, 0.916744", \ + "0.1296, 0.243401, 0.294563, 0.367489, 0.486916, 0.679719, 1.00465", \ + "0.211001, 0.373401, 0.445298, 0.537626, 0.663866, 0.864628, 1.19086" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157734, 0.0555794, 0.0815076, 0.124139, 0.195102, 0.312857, 0.508865", \ + "0.0278869, 0.0867947, 0.116708, 0.161526, 0.233023, 0.350857, 0.546783", \ + "0.0350866, 0.107062, 0.142395, 0.193036, 0.268919, 0.388123, 0.583952", \ + "0.043998, 0.136151, 0.179592, 0.240836, 0.328369, 0.457281, 0.657789", \ + "0.0594684, 0.180061, 0.23566, 0.312315, 0.420623, 0.573414, 0.795575", \ + "0.0864621, 0.242166, 0.315416, 0.414727, 0.551296, 0.743763, 1.01257", \ + "0.116656, 0.318201, 0.416086, 0.548842, 0.728356, 0.971798, 1.31509" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170076, 0.0686994, 0.106439, 0.169147, 0.274119, 0.449107, 0.740935", \ + "0.034157, 0.0844248, 0.118161, 0.175812, 0.276475, 0.44937, 0.740936", \ + "0.0464772, 0.102287, 0.136765, 0.192557, 0.288247, 0.454866, 0.741818", \ + "0.0658131, 0.132504, 0.170265, 0.228382, 0.322829, 0.481127, 0.756073", \ + "0.100515, 0.183668, 0.227171, 0.292224, 0.393051, 0.552523, 0.814306", \ + "0.160034, 0.269105, 0.322243, 0.398433, 0.514249, 0.688169, 0.960066", \ + "0.261524, 0.409403, 0.481199, 0.577778, 0.714406, 0.918567, 1.21904" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0225647, 0.0720728, 0.104867, 0.15879, 0.248969, 0.399184, 0.649438", \ + "0.0357871, 0.100245, 0.135914, 0.191287, 0.281695, 0.431924, 0.682075", \ + "0.0440715, 0.120214, 0.160909, 0.221279, 0.314818, 0.465667, 0.715655", \ + "0.0561687, 0.149872, 0.19857, 0.268784, 0.373224, 0.532158, 0.785209", \ + "0.0697424, 0.189054, 0.248422, 0.334434, 0.457986, 0.639527, 0.911541", \ + "0.08549, 0.241487, 0.317263, 0.422507, 0.575604, 0.793318, 1.10986", \ + "0.10181, 0.306916, 0.406535, 0.543716, 0.733527, 1.00336, 1.38891" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0171073, 0.0814051, 0.127018, 0.202583, 0.329386, 0.539992, 0.891798", \ + "0.0292138, 0.0943244, 0.1364, 0.207622, 0.330701, 0.54075, 0.891799", \ + "0.0397166, 0.110076, 0.152785, 0.222212, 0.34036, 0.544447, 0.893042", \ + "0.0578016, 0.137551, 0.183603, 0.255713, 0.371477, 0.567021, 0.903514", \ + "0.0888456, 0.185604, 0.238263, 0.315235, 0.437868, 0.632568, 0.956001", \ + "0.14164, 0.267873, 0.327888, 0.416752, 0.55122, 0.761263, 1.08793", \ + "0.233917, 0.4078, 0.484233, 0.588814, 0.745671, 0.977309, 1.33643" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149259, 0.0545755, 0.0802248, 0.122418, 0.192976, 0.310619, 0.506466", \ + "0.0258727, 0.0854072, 0.115264, 0.159756, 0.230965, 0.348515, 0.544449", \ + "0.0318182, 0.105242, 0.14052, 0.19105, 0.266702, 0.385762, 0.581603", \ + "0.0389475, 0.133364, 0.176926, 0.238401, 0.325862, 0.454803, 0.655288", \ + "0.0492786, 0.175337, 0.231826, 0.308628, 0.417687, 0.570594, 0.793093", \ + "0.0653356, 0.234507, 0.309406, 0.410211, 0.547009, 0.740085, 1.00967", \ + "0.0809361, 0.30639, 0.407174, 0.541665, 0.722931, 0.969014, 1.3124" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0106465, 0.062392, 0.100226, 0.162943, 0.267988, 0.443108, 0.734842", \ + "0.0231259, 0.0778816, 0.111912, 0.169655, 0.27036, 0.443387, 0.734843", \ + "0.0328323, 0.0950491, 0.13014, 0.186366, 0.282139, 0.448811, 0.735739", \ + "0.0465591, 0.123915, 0.162871, 0.221751, 0.316605, 0.475016, 0.750043", \ + "0.0730983, 0.173373, 0.217822, 0.284137, 0.386381, 0.546118, 0.808245", \ + "0.122564, 0.255444, 0.31223, 0.388506, 0.505559, 0.681276, 0.954179", \ + "0.212366, 0.390181, 0.466955, 0.567062, 0.704134, 0.90871, 1.21365" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168716, 0.0663824, 0.0988354, 0.152319, 0.241775, 0.390871, 0.639038", \ + "0.0258163, 0.0935553, 0.129514, 0.184637, 0.274487, 0.423334, 0.671856", \ + "0.0313948, 0.112514, 0.153617, 0.214191, 0.307463, 0.457264, 0.705384", \ + "0.0394519, 0.140356, 0.189893, 0.260655, 0.365177, 0.523461, 0.774894", \ + "0.0474175, 0.177378, 0.237618, 0.324418, 0.448444, 0.629649, 0.900523", \ + "0.0554235, 0.225757, 0.303366, 0.410137, 0.563575, 0.781172, 1.09773", \ + "0.0621595, 0.285473, 0.38787, 0.527746, 0.719069, 0.988872, 1.37446" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123152, 0.075289, 0.120463, 0.195508, 0.321108, 0.530403, 0.87853", \ + "0.0237874, 0.0889968, 0.130462, 0.200826, 0.322664, 0.530468, 0.878744", \ + "0.0333368, 0.104396, 0.147052, 0.2157, 0.332611, 0.534361, 0.879452", \ + "0.0500819, 0.132096, 0.17767, 0.249318, 0.364227, 0.557707, 0.891243", \ + "0.0789052, 0.179756, 0.232243, 0.308873, 0.430834, 0.623111, 0.943963", \ + "0.128543, 0.261955, 0.320707, 0.411101, 0.54389, 0.752032, 1.07692", \ + "0.218669, 0.399877, 0.478382, 0.582453, 0.736601, 0.96729, 1.3234" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157734, 0.0555794, 0.0815076, 0.124139, 0.195102, 0.312857, 0.508865", \ + "0.0278869, 0.0867947, 0.116708, 0.161526, 0.233023, 0.350857, 0.546783", \ + "0.0350866, 0.107062, 0.142395, 0.193036, 0.268919, 0.388123, 0.583952", \ + "0.043998, 0.136151, 0.179592, 0.240836, 0.328369, 0.457281, 0.657789", \ + "0.0594684, 0.180061, 0.23566, 0.312315, 0.420623, 0.573414, 0.795575", \ + "0.0864621, 0.242166, 0.315416, 0.414727, 0.551296, 0.743763, 1.01257", \ + "0.116656, 0.318201, 0.416086, 0.548842, 0.728356, 0.971798, 1.31509" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170076, 0.0686994, 0.106439, 0.169147, 0.274119, 0.449107, 0.740935", \ + "0.034157, 0.0844248, 0.118161, 0.175812, 0.276475, 0.44937, 0.740936", \ + "0.0464772, 0.102287, 0.136765, 0.192557, 0.288247, 0.454866, 0.741818", \ + "0.0658131, 0.132504, 0.170265, 0.228382, 0.322829, 0.481127, 0.756073", \ + "0.100515, 0.183668, 0.227171, 0.292224, 0.393051, 0.552523, 0.814306", \ + "0.160034, 0.269105, 0.322243, 0.398433, 0.514249, 0.688169, 0.960066", \ + "0.261524, 0.409403, 0.481199, 0.577778, 0.714406, 0.918567, 1.21904" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0225647, 0.0720728, 0.104867, 0.15879, 0.248969, 0.399184, 0.649438", \ + "0.0357871, 0.100245, 0.135914, 0.191287, 0.281695, 0.431924, 0.682075", \ + "0.0440715, 0.120214, 0.160909, 0.221279, 0.314818, 0.465667, 0.715655", \ + "0.0561687, 0.149872, 0.19857, 0.268784, 0.373224, 0.532158, 0.785209", \ + "0.0697424, 0.189054, 0.248422, 0.334434, 0.457986, 0.639527, 0.911541", \ + "0.08549, 0.241487, 0.317263, 0.422507, 0.575604, 0.793318, 1.10986", \ + "0.10181, 0.306916, 0.406535, 0.543716, 0.733527, 1.00336, 1.38891" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0171073, 0.0814051, 0.127018, 0.202583, 0.329386, 0.539992, 0.891798", \ + "0.0292138, 0.0943244, 0.1364, 0.207622, 0.330701, 0.54075, 0.891799", \ + "0.0397166, 0.110076, 0.152785, 0.222212, 0.34036, 0.544447, 0.893042", \ + "0.0578016, 0.137551, 0.183603, 0.255713, 0.371477, 0.567021, 0.903514", \ + "0.0888456, 0.185604, 0.238263, 0.315235, 0.437868, 0.632568, 0.956001", \ + "0.14164, 0.267873, 0.327888, 0.416752, 0.55122, 0.761263, 1.08793", \ + "0.233917, 0.4078, 0.484233, 0.588814, 0.745671, 0.977309, 1.33643" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00991413, 0.0101212, 0.0100728, 0.0100161, 0.00974957, 0.00937965, 0.00880865", \ + "0.0103574, 0.0101475, 0.00997985, 0.0100774, 0.00973033, 0.00932907, 0.00871465", \ + "0.0124594, 0.0109831, 0.0107999, 0.0103845, 0.010103, 0.00953526, 0.00899957", \ + "0.0178967, 0.0144999, 0.0134824, 0.0126242, 0.0117824, 0.0106382, 0.00956941", \ + "0.0301654, 0.0241814, 0.021928, 0.0194814, 0.016916, 0.015336, 0.0129392", \ + "0.0557459, 0.0469634, 0.0429416, 0.0379313, 0.0329159, 0.0273789, 0.0228326", \ + "0.107727, 0.0960623, 0.0901981, 0.0827189, 0.0731268, 0.0620869, 0.0511691" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00906354, 0.00941815, 0.00919209, 0.00907959, 0.00887994, 0.00848567, 0.0078546", \ + "0.00944125, 0.00930497, 0.00933006, 0.00909784, 0.00934329, 0.00850854, 0.00790062", \ + "0.0113522, 0.0102398, 0.00992699, 0.00967146, 0.00930484, 0.00905797, 0.00849504", \ + "0.0165402, 0.0133263, 0.0125573, 0.0117242, 0.0107024, 0.0104739, 0.00871956", \ + "0.0284522, 0.0221788, 0.0200675, 0.017973, 0.015886, 0.013474, 0.0121767", \ + "0.053251, 0.0436367, 0.0395567, 0.0348586, 0.0299768, 0.0253426, 0.0208326", \ + "0.103617, 0.090794, 0.084445, 0.0763227, 0.0669848, 0.0563261, 0.0459407" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00485521, 0.00527644, 0.00525787, 0.00517615, 0.00499468, 0.00459617, 0.00399017", \ + "0.00633957, 0.00553376, 0.00538544, 0.0055803, 0.00503596, 0.00498182, 0.00391369", \ + "0.00882507, 0.00678479, 0.00644151, 0.00591746, 0.00572908, 0.00498932, 0.00407896", \ + "0.0141359, 0.0106137, 0.0094224, 0.00831951, 0.00716205, 0.00632749, 0.00585827", \ + "0.0256449, 0.0198081, 0.0176049, 0.015232, 0.0125935, 0.0108665, 0.00818963", \ + "0.0489903, 0.0406136, 0.0369076, 0.0324487, 0.0275236, 0.0219874, 0.017969", \ + "0.0965214, 0.0854568, 0.0804772, 0.0735588, 0.0645423, 0.0541101, 0.0434335" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00857445, 0.00975675, 0.00974213, 0.0096763, 0.00947344, 0.00915194, 0.00849503", \ + "0.00912319, 0.00951475, 0.00966499, 0.00959467, 0.00948878, 0.00916437, 0.00856253", \ + "0.011045, 0.0102549, 0.0101678, 0.0100289, 0.00981863, 0.00953963, 0.008796", \ + "0.0160068, 0.0129747, 0.0123285, 0.0117579, 0.0108976, 0.010718, 0.00927971", \ + "0.0270783, 0.020969, 0.0190403, 0.0172625, 0.0156333, 0.0136976, 0.0124692", \ + "0.0498146, 0.0404375, 0.0367507, 0.0323582, 0.028317, 0.0242249, 0.0203749", \ + "0.0959829, 0.0831798, 0.0774312, 0.0700188, 0.0610773, 0.0518961, 0.0437449" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00660539, 0.00763612, 0.00765176, 0.00763006, 0.00746704, 0.00708478, 0.0064513", \ + "0.00884187, 0.00786789, 0.00784458, 0.00781669, 0.00748812, 0.00710369, 0.00644273", \ + "0.0118347, 0.00946653, 0.00890463, 0.00853939, 0.00836173, 0.00740203, 0.00660974", \ + "0.0178728, 0.0137342, 0.0125553, 0.0113597, 0.0101405, 0.00978439, 0.00869219", \ + "0.0309135, 0.0241003, 0.021632, 0.0192044, 0.0166171, 0.0138749, 0.0117985", \ + "0.0573875, 0.047432, 0.0432866, 0.0385219, 0.0332207, 0.0279707, 0.0223845", \ + "0.111044, 0.0982262, 0.0919308, 0.0840508, 0.0744605, 0.0637965, 0.0530184" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00541233, 0.0060519, 0.00603418, 0.00596156, 0.00583861, 0.00547561, 0.00487676", \ + "0.00674805, 0.00615347, 0.0061644, 0.00613776, 0.00582149, 0.00550091, 0.00475961", \ + "0.00928374, 0.00748981, 0.00702215, 0.00674119, 0.00627307, 0.00620845, 0.00508038", \ + "0.015075, 0.0111866, 0.0101517, 0.00908824, 0.00794301, 0.00767389, 0.00574649", \ + "0.0278107, 0.0208172, 0.0188346, 0.0163269, 0.0138483, 0.0112495, 0.00962739", \ + "0.0536787, 0.0434265, 0.0392628, 0.0343371, 0.0293931, 0.0244598, 0.0188249", \ + "0.10644, 0.0926866, 0.0858966, 0.077641, 0.0676883, 0.0573503, 0.0467728" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00184771, 0.0028378, 0.00290616, 0.00288316, 0.00272276, 0.00233394, 0.00170739", \ + "0.00410837, 0.00313465, 0.00307104, 0.00307203, 0.00295058, 0.00235221, 0.0016883", \ + "0.00722092, 0.00476042, 0.00421136, 0.0038085, 0.00355079, 0.00268406, 0.00181463", \ + "0.0133633, 0.00906209, 0.00787042, 0.00662369, 0.00540592, 0.00486357, 0.00291687", \ + "0.0265733, 0.0195528, 0.0170417, 0.0145203, 0.0119862, 0.00886561, 0.00760456", \ + "0.0533188, 0.0430716, 0.0389765, 0.0339725, 0.0286006, 0.0232799, 0.017681", \ + "0.107342, 0.0941174, 0.0878124, 0.0799065, 0.0701085, 0.059576, 0.0489357" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00447533, 0.00547866, 0.005361, 0.00533687, 0.00519492, 0.00491298, 0.00412931", \ + "0.00641579, 0.00555748, 0.005519, 0.00535991, 0.00531906, 0.00477562, 0.00414564", \ + "0.00921354, 0.00689545, 0.00638776, 0.00607295, 0.00571823, 0.00537254, 0.00428014", \ + "0.0155169, 0.0108136, 0.00964136, 0.00854751, 0.00741779, 0.00680175, 0.00497488", \ + "0.0285044, 0.0208206, 0.0183632, 0.0159585, 0.0133968, 0.0105625, 0.00889174", \ + "0.0550475, 0.0437928, 0.0392923, 0.0343742, 0.0291868, 0.0238927, 0.0185357", \ + "0.108252, 0.0935299, 0.0865989, 0.0780688, 0.067796, 0.0572304, 0.0464604" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00184771, 0.0028378, 0.00290616, 0.00288316, 0.00272276, 0.00233394, 0.00170739", \ + "0.00410837, 0.00313465, 0.00307104, 0.00307203, 0.00295058, 0.00235221, 0.0016883", \ + "0.00722092, 0.00476042, 0.00421136, 0.0038085, 0.00355079, 0.00268406, 0.00181463", \ + "0.0133633, 0.00906209, 0.00787042, 0.00662369, 0.00540592, 0.00486357, 0.00291687", \ + "0.0265733, 0.0195528, 0.0170417, 0.0145203, 0.0119862, 0.00886561, 0.00760456", \ + "0.0533188, 0.0430716, 0.0389765, 0.0339725, 0.0286006, 0.0232799, 0.017681", \ + "0.107342, 0.0941174, 0.0878124, 0.0799065, 0.0701085, 0.059576, 0.0489357" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00447533, 0.00547866, 0.005361, 0.00533687, 0.00519492, 0.00491298, 0.00412931", \ + "0.00641579, 0.00555748, 0.005519, 0.00535991, 0.00531906, 0.00477562, 0.00414564", \ + "0.00921354, 0.00689545, 0.00638776, 0.00607295, 0.00571823, 0.00537254, 0.00428014", \ + "0.0155169, 0.0108136, 0.00964136, 0.00854751, 0.00741779, 0.00680175, 0.00497488", \ + "0.0285044, 0.0208206, 0.0183632, 0.0159585, 0.0133968, 0.0105625, 0.00889174", \ + "0.0550475, 0.0437928, 0.0392923, 0.0343742, 0.0291868, 0.0238927, 0.0185357", \ + "0.108252, 0.0935299, 0.0865989, 0.0780688, 0.067796, 0.0572304, 0.0464604" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00372228; + rise_capacitance : 0.00377453; + rise_capacitance_range (0.00377453, 0.00377453); + fall_capacitance : 0.00367003; + fall_capacitance_range (0.00367003, 0.00367003); + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00373013; + rise_capacitance : 0.00385821; + rise_capacitance_range (0.00385821, 0.00385821); + fall_capacitance : 0.00360205; + fall_capacitance_range (0.00360205, 0.00360205); + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00334611; + rise_capacitance : 0.0034499; + rise_capacitance_range (0.0034499, 0.0034499); + fall_capacitance : 0.00324232; + fall_capacitance_range (0.00324232, 0.00324232); + internal_power () { + when : "(!A1 * !A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000169444, -0.00013476, -0.000127451, -0.00013034, -0.000144507, -0.000138208, -0.000130725" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00144482, 0.00143543, 0.00144207, 0.00144251, 0.00144249, 0.00145766, 0.00145192" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000169444, -0.00013476, -0.000127451, -0.00013034, -0.000144507, -0.000138208, -0.000130725" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00144482, 0.00143543, 0.00144207, 0.00144251, 0.00144249, 0.00145766, 0.00145192" \ + ); + } + } + } + } + cell (sg13g2_or2_1) { + area : 9.072; + cell_footprint : "or2"; + cell_leakage_power : 922.857; + leakage_power () { + value : 1036.87; + when : "!A&!B"; + } + leakage_power () { + value : 696.085; + when : "A&!B"; + } + leakage_power () { + value : 844.502; + when : "!A&B"; + } + leakage_power () { + value : 1113.97; + when : "A&B"; + } + pin (X) { + direction : "output"; + function : "(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0303166, 0.0621089, 0.0826735, 0.116471, 0.172941, 0.267085, 0.423687", \ + "0.0474222, 0.0801149, 0.100636, 0.1344, 0.190876, 0.284948, 0.441551", \ + "0.057725, 0.0915046, 0.111887, 0.145629, 0.202023, 0.296096, 0.452717", \ + "0.0720785, 0.108493, 0.128962, 0.162633, 0.218905, 0.312908, 0.46962", \ + "0.0884878, 0.12977, 0.150597, 0.184663, 0.241287, 0.33544, 0.491961", \ + "0.1073, 0.155067, 0.177898, 0.212832, 0.270499, 0.366053, 0.523988", \ + "0.126401, 0.183713, 0.210297, 0.248785, 0.308935, 0.408231, 0.570569" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.008554, 0.0504969, 0.0810086, 0.131619, 0.216265, 0.35773, 0.593095", \ + "0.0114073, 0.0511905, 0.0813056, 0.131824, 0.216438, 0.357731, 0.593905", \ + "0.0136807, 0.0520038, 0.0817478, 0.132014, 0.216646, 0.357743, 0.593906", \ + "0.0174666, 0.0543401, 0.0831272, 0.132808, 0.217179, 0.358118, 0.593907", \ + "0.023625, 0.0599822, 0.0872208, 0.13581, 0.219273, 0.359536, 0.594182", \ + "0.0333449, 0.0709318, 0.0963676, 0.143253, 0.225918, 0.365473, 0.598661", \ + "0.049335, 0.089507, 0.114707, 0.159035, 0.239516, 0.379611, 0.613106" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0433737, 0.0757747, 0.093784, 0.122834, 0.171063, 0.25134, 0.385136", \ + "0.0568146, 0.0903706, 0.108686, 0.137937, 0.186253, 0.266494, 0.400236", \ + "0.0658799, 0.100717, 0.119099, 0.148463, 0.196873, 0.277106, 0.410934", \ + "0.0791654, 0.11653, 0.135784, 0.165236, 0.213682, 0.29407, 0.427802", \ + "0.101716, 0.143528, 0.163974, 0.195264, 0.244542, 0.32543, 0.459162", \ + "0.136532, 0.184527, 0.207632, 0.242039, 0.293912, 0.378194, 0.514276", \ + "0.180182, 0.236528, 0.263308, 0.301939, 0.360006, 0.451425, 0.596416" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107368, 0.0441669, 0.067649, 0.10722, 0.174449, 0.287156, 0.475162", \ + "0.0127555, 0.0456784, 0.0686304, 0.107844, 0.174799, 0.287251, 0.475923", \ + "0.0144895, 0.0470424, 0.0696531, 0.108492, 0.175216, 0.287507, 0.475924", \ + "0.0179738, 0.0502094, 0.072326, 0.110092, 0.175997, 0.287979, 0.475925", \ + "0.0239742, 0.056925, 0.0783435, 0.115884, 0.179999, 0.29021, 0.476811", \ + "0.033422, 0.068158, 0.09021, 0.127899, 0.191836, 0.300574, 0.484093", \ + "0.048435, 0.08669, 0.109436, 0.148163, 0.214105, 0.325741, 0.509741" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0279538, 0.0595321, 0.0800509, 0.11384, 0.170304, 0.264514, 0.421106", \ + "0.0425716, 0.0750997, 0.0955672, 0.129296, 0.185789, 0.279766, 0.436384", \ + "0.0507038, 0.0846283, 0.104957, 0.138553, 0.194981, 0.289097, 0.445631", \ + "0.0607443, 0.0977424, 0.118306, 0.151764, 0.208123, 0.301952, 0.458686", \ + "0.0686637, 0.110996, 0.132019, 0.166528, 0.223264, 0.317645, 0.474183", \ + "0.0689557, 0.118727, 0.142253, 0.178084, 0.236999, 0.333319, 0.491851", \ + "0.0689567, 0.118728, 0.142254, 0.179203, 0.240257, 0.340994, 0.505014" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00817197, 0.050335, 0.0808523, 0.131624, 0.216252, 0.357815, 0.593164", \ + "0.0112311, 0.051054, 0.081221, 0.131711, 0.216359, 0.357816, 0.593389", \ + "0.0136645, 0.0519654, 0.0817195, 0.131987, 0.216589, 0.357817, 0.59339", \ + "0.017768, 0.0548595, 0.0836116, 0.133005, 0.217249, 0.358058, 0.593391", \ + "0.0244951, 0.0613814, 0.0883563, 0.13674, 0.220119, 0.360168, 0.59448", \ + "0.0353301, 0.0739736, 0.0992852, 0.145767, 0.228001, 0.367536, 0.600466", \ + "0.052813, 0.094951, 0.120732, 0.165309, 0.244791, 0.384501, 0.618205" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.041188, 0.0735517, 0.0915538, 0.120617, 0.168957, 0.249124, 0.382855", \ + "0.0609926, 0.0943103, 0.112665, 0.14196, 0.19031, 0.270591, 0.40431", \ + "0.0736393, 0.10786, 0.126309, 0.155585, 0.204165, 0.284637, 0.418535", \ + "0.0929098, 0.129478, 0.147899, 0.177219, 0.22584, 0.306137, 0.439984", \ + "0.125569, 0.166275, 0.185988, 0.215629, 0.2642, 0.34466, 0.478094", \ + "0.181224, 0.227776, 0.249784, 0.281953, 0.333017, 0.414503, 0.549755", \ + "0.264536, 0.319741, 0.345498, 0.382525, 0.437378, 0.525325, 0.665267" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108119, 0.0442897, 0.0676397, 0.107192, 0.174539, 0.287201, 0.475134", \ + "0.0135302, 0.045936, 0.068922, 0.108148, 0.174981, 0.287418, 0.475662", \ + "0.0157355, 0.0471221, 0.0698087, 0.108813, 0.175576, 0.287818, 0.475663", \ + "0.0196483, 0.0501288, 0.0717535, 0.109956, 0.176243, 0.288454, 0.476012", \ + "0.0265959, 0.0565775, 0.0773561, 0.114209, 0.179137, 0.29013, 0.476998", \ + "0.037378, 0.068432, 0.08887, 0.124707, 0.188663, 0.298163, 0.482414", \ + "0.055136, 0.089326, 0.109432, 0.145417, 0.208278, 0.318308, 0.503128" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011776, 0.0123433, 0.0123781, 0.0123523, 0.012133, 0.0118389, 0.0111365", \ + "0.0134147, 0.0132417, 0.0131614, 0.0133519, 0.0129474, 0.0125668, 0.0122402", \ + "0.015933, 0.0152583, 0.0152222, 0.015123, 0.0150332, 0.0144679, 0.0137707", \ + "0.021711, 0.0201697, 0.0200254, 0.0198915, 0.0196498, 0.0190954, 0.0196304", \ + "0.0340499, 0.0311155, 0.0306768, 0.0302915, 0.0299936, 0.0297117, 0.0286993", \ + "0.058395, 0.0536406, 0.0525967, 0.0516979, 0.0511379, 0.0505155, 0.0499027", \ + "0.107148, 0.0993381, 0.0978236, 0.0964972, 0.0945697, 0.0936032, 0.0924646" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151727, 0.0156158, 0.0155874, 0.01558, 0.0153926, 0.015076, 0.0144036", \ + "0.0162455, 0.0160187, 0.015926, 0.0160189, 0.0162191, 0.0155877, 0.015247", \ + "0.0185155, 0.0178268, 0.0178692, 0.0178693, 0.0176497, 0.0175395, 0.0168104", \ + "0.0237998, 0.022223, 0.0222778, 0.0222237, 0.0222096, 0.0216577, 0.0215084", \ + "0.0354079, 0.0324461, 0.0320193, 0.0321115, 0.0318725, 0.031909, 0.0312655", \ + "0.0594864, 0.0542636, 0.0536203, 0.0531729, 0.0522793, 0.051862, 0.0516284", \ + "0.107423, 0.0994847, 0.0979843, 0.0968025, 0.0956598, 0.0941121, 0.0937308" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114847, 0.0122175, 0.0122325, 0.0122512, 0.0119699, 0.0117423, 0.0111135", \ + "0.0129086, 0.0129385, 0.0128922, 0.0129314, 0.0126925, 0.0122246, 0.0117212", \ + "0.0153542, 0.014858, 0.0148558, 0.0147422, 0.0146759, 0.0139757, 0.0134522", \ + "0.0208667, 0.0194219, 0.019348, 0.0191971, 0.0189755, 0.0185252, 0.0193899", \ + "0.0326932, 0.0296733, 0.0293428, 0.029017, 0.0288299, 0.0286789, 0.0277303", \ + "0.0562161, 0.0509755, 0.0501713, 0.0493632, 0.0489478, 0.0485131, 0.0480785", \ + "0.102977, 0.094635, 0.0933205, 0.0919024, 0.0903923, 0.0892484, 0.0880185" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121049, 0.0124632, 0.0125056, 0.0124621, 0.0123816, 0.0120444, 0.0114397", \ + "0.0141703, 0.0136682, 0.0136133, 0.0135887, 0.0136133, 0.0133023, 0.0127672", \ + "0.0168331, 0.0157766, 0.0158466, 0.0156905, 0.0156335, 0.0156656, 0.0148481", \ + "0.0223929, 0.0204354, 0.0202197, 0.0201932, 0.0202362, 0.0195646, 0.0201084", \ + "0.033938, 0.0303374, 0.0298874, 0.0296262, 0.0294527, 0.0292005, 0.0280554", \ + "0.0572369, 0.0512667, 0.0506772, 0.049832, 0.0494201, 0.0488135, 0.0485889", \ + "0.103755, 0.0949979, 0.0932154, 0.0921812, 0.0909791, 0.0896191, 0.088944" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00280584; + rise_capacitance : 0.0027723; + rise_capacitance_range (0.0027723, 0.0027723); + fall_capacitance : 0.00283938; + fall_capacitance_range (0.00283938, 0.00283938); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00261617; + rise_capacitance : 0.00279272; + rise_capacitance_range (0.00279272, 0.00279272); + fall_capacitance : 0.00243962; + fall_capacitance_range (0.00243962, 0.00243962); + } + } + cell (sg13g2_or2_2) { + area : 10.8864; + cell_footprint : "or2"; + cell_leakage_power : 1261.49; + leakage_power () { + value : 1766.23; + when : "!A&!B"; + } + leakage_power () { + value : 904.48; + when : "A&!B"; + } + leakage_power () { + value : 1052.9; + when : "!A&B"; + } + leakage_power () { + value : 1322.37; + when : "A&B"; + } + pin (X) { + direction : "output"; + function : "(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0358627, 0.0707286, 0.0913998, 0.125293, 0.18196, 0.276066, 0.433214", \ + "0.0571159, 0.0932913, 0.113834, 0.147703, 0.204203, 0.298447, 0.455402", \ + "0.0700823, 0.107704, 0.128125, 0.161789, 0.218314, 0.312303, 0.469167", \ + "0.0888485, 0.129082, 0.149572, 0.183074, 0.239245, 0.33304, 0.489702", \ + "0.111572, 0.156806, 0.178191, 0.211886, 0.267799, 0.361593, 0.517884", \ + "0.13912, 0.191118, 0.214986, 0.248963, 0.306487, 0.400753, 0.557696", \ + "0.170818, 0.231114, 0.258952, 0.297181, 0.356505, 0.454041, 0.614802" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0094177, 0.05162, 0.0819129, 0.132417, 0.217386, 0.358953, 0.595046", \ + "0.0127871, 0.0527157, 0.082458, 0.1327, 0.217442, 0.359825, 0.596848", \ + "0.0154977, 0.0542062, 0.0832224, 0.133056, 0.217694, 0.359826, 0.596849", \ + "0.0204368, 0.0573799, 0.085156, 0.134103, 0.218248, 0.359827, 0.59685", \ + "0.0286571, 0.0646457, 0.0908163, 0.137623, 0.220279, 0.360848, 0.596851", \ + "0.0417382, 0.078605, 0.102521, 0.146599, 0.227048, 0.365984, 0.599794", \ + "0.062796, 0.101136, 0.125012, 0.165486, 0.242518, 0.380038, 0.613062" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0564649, 0.0944545, 0.113492, 0.143352, 0.191922, 0.272542, 0.406298", \ + "0.0726131, 0.111287, 0.130506, 0.160416, 0.209099, 0.289607, 0.42354", \ + "0.0838547, 0.123427, 0.142996, 0.173083, 0.221881, 0.302447, 0.436355", \ + "0.10114, 0.142914, 0.162685, 0.193174, 0.242041, 0.322718, 0.456369", \ + "0.130822, 0.175987, 0.197211, 0.229179, 0.278401, 0.358779, 0.492602", \ + "0.175435, 0.226186, 0.249998, 0.283797, 0.335656, 0.419315, 0.553969", \ + "0.232864, 0.290665, 0.318157, 0.35652, 0.413687, 0.503168, 0.646035" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0147717, 0.0497057, 0.0724809, 0.111331, 0.17787, 0.290446, 0.478843", \ + "0.0166951, 0.0510026, 0.0735206, 0.111964, 0.178326, 0.290627, 0.478896", \ + "0.0189049, 0.052525, 0.0747777, 0.112938, 0.178858, 0.290881, 0.479142", \ + "0.0230253, 0.0561546, 0.0775889, 0.114805, 0.18, 0.291484, 0.479298", \ + "0.0303408, 0.0631724, 0.0841052, 0.120717, 0.183715, 0.293361, 0.480387", \ + "0.042416, 0.076088, 0.097478, 0.132832, 0.19518, 0.302975, 0.486427", \ + "0.061727, 0.097298, 0.119059, 0.155555, 0.218084, 0.3272, 0.510019" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0337801, 0.0683571, 0.0890167, 0.122859, 0.179656, 0.273737, 0.430689", \ + "0.0530646, 0.0889986, 0.109588, 0.14346, 0.199939, 0.294132, 0.450926", \ + "0.0646101, 0.101971, 0.122565, 0.156081, 0.21246, 0.306419, 0.463154", \ + "0.0801111, 0.120537, 0.141206, 0.174564, 0.230607, 0.324212, 0.480448", \ + "0.0955697, 0.141398, 0.16277, 0.196675, 0.253015, 0.346547, 0.503095", \ + "0.107197, 0.160025, 0.184376, 0.220024, 0.277231, 0.372005, 0.529678", \ + "0.107198, 0.165036, 0.194066, 0.234496, 0.294629, 0.393165, 0.554915" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0090071, 0.0514227, 0.0821043, 0.132343, 0.217514, 0.358968, 0.595237", \ + "0.0125302, 0.052645, 0.0823399, 0.132604, 0.217515, 0.360013, 0.595238", \ + "0.0154507, 0.0540839, 0.0832126, 0.133002, 0.217681, 0.360014, 0.595239", \ + "0.0208608, 0.0577784, 0.0854617, 0.134212, 0.21824, 0.360015, 0.59524", \ + "0.0297307, 0.0662312, 0.0917232, 0.13843, 0.220951, 0.361039, 0.596117", \ + "0.0440132, 0.0814153, 0.105429, 0.149508, 0.229117, 0.367687, 0.601159", \ + "0.066873, 0.10617, 0.130961, 0.171965, 0.247665, 0.384462, 0.617585" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0543799, 0.0923462, 0.111338, 0.141189, 0.189913, 0.270287, 0.404302", \ + "0.0787598, 0.117171, 0.136431, 0.166379, 0.214993, 0.295517, 0.429416", \ + "0.0948308, 0.134089, 0.153449, 0.183476, 0.232292, 0.312782, 0.44667", \ + "0.119183, 0.160166, 0.179731, 0.20986, 0.258423, 0.338934, 0.472862", \ + "0.160134, 0.204798, 0.225482, 0.256135, 0.304598, 0.384808, 0.518019", \ + "0.22612, 0.276073, 0.298863, 0.331676, 0.381979, 0.463455, 0.597399", \ + "0.32466, 0.381803, 0.408562, 0.445837, 0.500826, 0.586259, 0.724842" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0147787, 0.0496517, 0.0725348, 0.111314, 0.177982, 0.290578, 0.478864", \ + "0.0178309, 0.051345, 0.0738197, 0.112118, 0.178385, 0.290696, 0.478909", \ + "0.0209679, 0.0532469, 0.0752769, 0.11336, 0.179134, 0.291185, 0.479065", \ + "0.0261596, 0.0566712, 0.0778506, 0.115069, 0.180284, 0.29187, 0.47975", \ + "0.0347949, 0.0645953, 0.0846937, 0.11977, 0.183107, 0.293397, 0.480829", \ + "0.048865, 0.079016, 0.098228, 0.131808, 0.192713, 0.300368, 0.485025", \ + "0.071454, 0.103089, 0.122669, 0.154922, 0.214223, 0.320349, 0.503484" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0205893, 0.0210262, 0.0211719, 0.0210275, 0.0208353, 0.0200336, 0.0188618", \ + "0.0234867, 0.0218075, 0.0217103, 0.0221665, 0.0211643, 0.0210416, 0.0208618", \ + "0.0271607, 0.0240146, 0.0238168, 0.0234663, 0.0235099, 0.0223497, 0.022421", \ + "0.0350045, 0.0291892, 0.0287101, 0.0282455, 0.027634, 0.0265102, 0.0267638", \ + "0.0509123, 0.0410392, 0.0401233, 0.0391026, 0.0381901, 0.0373956, 0.0356684", \ + "0.0809539, 0.0659864, 0.0633969, 0.0617305, 0.0602085, 0.0588515, 0.0573179", \ + "0.139004, 0.116597, 0.112773, 0.109237, 0.105618, 0.1036, 0.101119" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0266721, 0.0243021, 0.0242366, 0.024243, 0.0238961, 0.0234558, 0.0220074", \ + "0.0283138, 0.024543, 0.0243165, 0.0244727, 0.0245588, 0.0245719, 0.0219895", \ + "0.031199, 0.0262098, 0.0261748, 0.0259379, 0.025612, 0.0258792, 0.0251152", \ + "0.037858, 0.0308517, 0.0302867, 0.0301998, 0.0300745, 0.0290366, 0.0301144", \ + "0.0522613, 0.0415296, 0.0404922, 0.0404835, 0.0397071, 0.038937, 0.0370163", \ + "0.0810468, 0.0653396, 0.063528, 0.0613514, 0.0602324, 0.0597417, 0.0581695", \ + "0.136588, 0.114691, 0.110648, 0.107747, 0.105158, 0.102181, 0.101072" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0202568, 0.0210517, 0.0213733, 0.0210722, 0.0209917, 0.0201492, 0.0191462", \ + "0.0229089, 0.021541, 0.0215606, 0.0218822, 0.0210734, 0.0209928, 0.0193264", \ + "0.0265083, 0.0234537, 0.0235216, 0.0231152, 0.0228859, 0.0216024, 0.0226971", \ + "0.0340572, 0.0283982, 0.028136, 0.0277349, 0.0268959, 0.0256951, 0.0262235", \ + "0.0494194, 0.0395958, 0.0385567, 0.0377792, 0.0370003, 0.0361219, 0.0341835", \ + "0.0786076, 0.0629981, 0.0608496, 0.0592998, 0.0576734, 0.0560858, 0.0548738", \ + "0.135271, 0.111999, 0.10792, 0.104541, 0.100519, 0.0988189, 0.0963793" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0235855, 0.0211622, 0.0211547, 0.0211178, 0.0209524, 0.0202535, 0.0191772", \ + "0.0266805, 0.0220274, 0.0219135, 0.0219963, 0.0216273, 0.0209511, 0.0195642", \ + "0.0304175, 0.0242914, 0.0241278, 0.0237313, 0.0234849, 0.0226975, 0.0220014", \ + "0.0379677, 0.0291395, 0.0286555, 0.0283455, 0.0279376, 0.0265222, 0.0277852", \ + "0.0528107, 0.0403643, 0.0393957, 0.038267, 0.037557, 0.0368185, 0.0346094", \ + "0.0815335, 0.0635314, 0.0618668, 0.0595729, 0.0581049, 0.0566947, 0.0553582", \ + "0.137035, 0.112415, 0.107676, 0.10405, 0.101376, 0.0981301, 0.0961394" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00278375; + rise_capacitance : 0.00274065; + rise_capacitance_range (0.00274065, 0.00274065); + fall_capacitance : 0.00282685; + fall_capacitance_range (0.00282685, 0.00282685); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0025763; + rise_capacitance : 0.00272677; + rise_capacitance_range (0.00272677, 0.00272677); + fall_capacitance : 0.00242582; + fall_capacitance_range (0.00242582, 0.00242582); + } + } + cell (sg13g2_or3_1) { + area : 12.7008; + cell_footprint : "or3"; + cell_leakage_power : 1119.64; + leakage_power () { + value : 1275.06; + when : "!A&!B&!C"; + } + leakage_power () { + value : 1040.61; + when : "!A&!B&C"; + } + leakage_power () { + value : 860.934; + when : "!A&B&!C"; + } + leakage_power () { + value : 1278.1; + when : "!A&B&C"; + } + leakage_power () { + value : 703.346; + when : "A&!B&!C"; + } + leakage_power () { + value : 1134.33; + when : "A&!B&C"; + } + leakage_power () { + value : 1110.32; + when : "A&B&!C"; + } + leakage_power () { + value : 1554.38; + when : "A&B&C"; + } + pin (X) { + direction : "output"; + function : "(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0346099, 0.0674092, 0.088077, 0.121957, 0.178612, 0.272363, 0.429045", \ + "0.0548961, 0.0887187, 0.109312, 0.143236, 0.199642, 0.293682, 0.450293", \ + "0.0673822, 0.102264, 0.122646, 0.156367, 0.212735, 0.306752, 0.463134", \ + "0.0853322, 0.122928, 0.143427, 0.176849, 0.233173, 0.326737, 0.483033", \ + "0.107484, 0.150073, 0.171006, 0.205028, 0.261237, 0.355381, 0.511319", \ + "0.135959, 0.185583, 0.208249, 0.243642, 0.301531, 0.396247, 0.553741", \ + "0.174089, 0.233554, 0.260643, 0.29787, 0.358144, 0.457965, 0.619649" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00942855, 0.0510058, 0.0813812, 0.131681, 0.216381, 0.357149, 0.592285", \ + "0.0121058, 0.0516911, 0.0816493, 0.131865, 0.216382, 0.358184, 0.594429", \ + "0.014201, 0.0526154, 0.0821575, 0.132146, 0.216509, 0.358185, 0.59443", \ + "0.018019, 0.0549501, 0.0835291, 0.132959, 0.217054, 0.358186, 0.594431", \ + "0.0242221, 0.0608431, 0.0875818, 0.135944, 0.219191, 0.359247, 0.594432", \ + "0.0339258, 0.071908, 0.09707, 0.143588, 0.225624, 0.364974, 0.597858", \ + "0.049485, 0.090845, 0.115795, 0.159118, 0.239789, 0.37905, 0.612053" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0603736, 0.0970556, 0.11623, 0.146244, 0.195168, 0.276337, 0.411011", \ + "0.0705415, 0.107946, 0.127437, 0.157583, 0.206554, 0.287558, 0.422333", \ + "0.0764769, 0.114952, 0.134692, 0.165058, 0.214279, 0.295384, 0.43031", \ + "0.0859681, 0.126918, 0.147695, 0.178405, 0.22782, 0.309031, 0.443877", \ + "0.104902, 0.150388, 0.172782, 0.205502, 0.256099, 0.337924, 0.472743", \ + "0.132191, 0.184455, 0.209679, 0.245924, 0.300399, 0.386681, 0.524574", \ + "0.160731, 0.221965, 0.251269, 0.292579, 0.354125, 0.449449, 0.597569" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.013461, 0.0485397, 0.0714355, 0.11053, 0.177356, 0.290536, 0.479876", \ + "0.0147171, 0.0494926, 0.0724448, 0.111122, 0.177715, 0.290649, 0.480178", \ + "0.0160931, 0.0507795, 0.0734364, 0.111965, 0.178261, 0.290906, 0.480179", \ + "0.0192389, 0.0540397, 0.0763148, 0.113977, 0.179358, 0.291524, 0.480367", \ + "0.0249362, 0.0609835, 0.0832888, 0.12051, 0.184178, 0.294059, 0.481457", \ + "0.034284, 0.072929, 0.096433, 0.134304, 0.198018, 0.306571, 0.489829", \ + "0.048692, 0.092015, 0.116527, 0.157059, 0.223933, 0.336249, 0.520285" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0330901, 0.0652694, 0.0858217, 0.119612, 0.176296, 0.269922, 0.426647", \ + "0.0514062, 0.0846411, 0.105214, 0.138926, 0.195323, 0.289288, 0.445744", \ + "0.0621272, 0.0965713, 0.116965, 0.150556, 0.207027, 0.30088, 0.457241", \ + "0.0765844, 0.113905, 0.134522, 0.16811, 0.224367, 0.318169, 0.474674", \ + "0.090935, 0.133972, 0.155075, 0.189023, 0.245776, 0.339708, 0.496367", \ + "0.103624, 0.153884, 0.17721, 0.212259, 0.270021, 0.365332, 0.523091", \ + "0.106425, 0.166504, 0.194208, 0.232631, 0.293012, 0.391882, 0.55496" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00884869, 0.0504749, 0.0811647, 0.131324, 0.216284, 0.357021, 0.592165", \ + "0.0116006, 0.0512602, 0.0812076, 0.131552, 0.216285, 0.357597, 0.592515", \ + "0.0138136, 0.0522168, 0.0816945, 0.131799, 0.216286, 0.357598, 0.592516", \ + "0.0177375, 0.0546413, 0.0833009, 0.132689, 0.216842, 0.357599, 0.592517", \ + "0.024032, 0.0608375, 0.0877558, 0.13583, 0.219004, 0.359025, 0.593325", \ + "0.0338898, 0.0724585, 0.0977127, 0.143612, 0.2257, 0.364977, 0.598009", \ + "0.049872, 0.091612, 0.116932, 0.159803, 0.239952, 0.379375, 0.612792" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0581081, 0.094794, 0.113951, 0.144013, 0.192908, 0.274058, 0.408737", \ + "0.072216, 0.109778, 0.12937, 0.159573, 0.208668, 0.289724, 0.424574", \ + "0.0826931, 0.121274, 0.141057, 0.171545, 0.220867, 0.302185, 0.437088", \ + "0.100093, 0.140963, 0.1613, 0.19182, 0.241182, 0.322621, 0.457822", \ + "0.131838, 0.176771, 0.198037, 0.230154, 0.280278, 0.362031, 0.496855", \ + "0.181959, 0.233185, 0.257309, 0.291806, 0.344897, 0.429986, 0.565967", \ + "0.253399, 0.313326, 0.341438, 0.3815, 0.43965, 0.531301, 0.676471" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134582, 0.0484935, 0.0715612, 0.110483, 0.17739, 0.290533, 0.479789", \ + "0.015285, 0.0498566, 0.0728205, 0.111372, 0.177936, 0.290645, 0.479945", \ + "0.0170354, 0.0512017, 0.0737869, 0.112419, 0.178697, 0.291222, 0.480345", \ + "0.0204543, 0.0543313, 0.076314, 0.113824, 0.179702, 0.292009, 0.480778", \ + "0.026299, 0.0607916, 0.0820447, 0.118896, 0.183187, 0.29381, 0.482047", \ + "0.036027, 0.07215, 0.094153, 0.130908, 0.194464, 0.303557, 0.488404", \ + "0.051551, 0.091684, 0.113993, 0.152501, 0.216676, 0.327946, 0.512795" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0300306, 0.0619053, 0.0824009, 0.116105, 0.172536, 0.266406, 0.423158", \ + "0.0458407, 0.0789212, 0.0994087, 0.133162, 0.189482, 0.283355, 0.439717", \ + "0.0545296, 0.088996, 0.109433, 0.14304, 0.199235, 0.293142, 0.449476", \ + "0.0648565, 0.102746, 0.123228, 0.156981, 0.213226, 0.307055, 0.463341", \ + "0.0722565, 0.115876, 0.137445, 0.171498, 0.228538, 0.322713, 0.478838", \ + "0.0722575, 0.121752, 0.145864, 0.181623, 0.239889, 0.336173, 0.49456", \ + "0.0722585, 0.121753, 0.145865, 0.181624, 0.23989, 0.337874, 0.501646" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00840551, 0.0502823, 0.0807445, 0.131292, 0.215879, 0.357015, 0.592005", \ + "0.0113151, 0.0510931, 0.0810947, 0.131482, 0.215955, 0.357276, 0.592418", \ + "0.0137781, 0.0521254, 0.081696, 0.131722, 0.216181, 0.357277, 0.592419", \ + "0.0179397, 0.0551904, 0.0836767, 0.132797, 0.216861, 0.357569, 0.59242", \ + "0.0246112, 0.0621253, 0.0889282, 0.136665, 0.219823, 0.359596, 0.593461", \ + "0.0353318, 0.0750994, 0.100432, 0.146047, 0.227867, 0.367128, 0.599487", \ + "0.052494, 0.096369, 0.121915, 0.165574, 0.244604, 0.383775, 0.61738" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0514583, 0.0881293, 0.107265, 0.1373, 0.186215, 0.267281, 0.402047", \ + "0.071841, 0.108883, 0.128552, 0.158821, 0.20796, 0.289008, 0.423961", \ + "0.0858228, 0.12357, 0.143316, 0.173722, 0.223045, 0.30453, 0.439445", \ + "0.106972, 0.146314, 0.166239, 0.196727, 0.24569, 0.327133, 0.462335", \ + "0.145968, 0.189018, 0.210006, 0.240857, 0.29007, 0.371703, 0.506326", \ + "0.20981, 0.258811, 0.28169, 0.315156, 0.366781, 0.45021, 0.58576", \ + "0.308622, 0.366391, 0.393063, 0.430671, 0.487202, 0.576328, 0.71748" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134649, 0.0484371, 0.0714545, 0.110475, 0.177358, 0.290511, 0.479876", \ + "0.0155175, 0.049971, 0.0729343, 0.111601, 0.178082, 0.290722, 0.479951", \ + "0.0175736, 0.0510813, 0.0738926, 0.112561, 0.179015, 0.291525, 0.480456", \ + "0.0213877, 0.0536754, 0.0756679, 0.113729, 0.179923, 0.292513, 0.481312", \ + "0.0277674, 0.0597696, 0.081146, 0.117747, 0.18241, 0.293848, 0.482463", \ + "0.038429, 0.071242, 0.092051, 0.128217, 0.191875, 0.301635, 0.487416", \ + "0.055866, 0.09181, 0.112574, 0.148364, 0.211824, 0.322118, 0.50805" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01249, 0.0128316, 0.012884, 0.0128153, 0.0127298, 0.0122318, 0.0117598", \ + "0.0139814, 0.0135609, 0.0134755, 0.0136718, 0.0132159, 0.0131345, 0.013158", \ + "0.0163978, 0.0155434, 0.0154144, 0.0151511, 0.0151057, 0.014439, 0.0140763", \ + "0.0223863, 0.0205458, 0.020372, 0.020058, 0.0197438, 0.0190358, 0.0196323", \ + "0.0352268, 0.0320855, 0.0314901, 0.0310449, 0.0305726, 0.0301707, 0.0288867", \ + "0.0611458, 0.0562069, 0.0550583, 0.0542363, 0.0532864, 0.0522566, 0.0515635", \ + "0.113151, 0.105347, 0.103815, 0.10228, 0.10023, 0.0989414, 0.0975113" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0221303, 0.0218937, 0.0218699, 0.0218851, 0.0216793, 0.0214565, 0.0207974", \ + "0.0224659, 0.0217793, 0.0217385, 0.0218196, 0.0216968, 0.0215408, 0.0207312", \ + "0.0240476, 0.0230467, 0.0230601, 0.0229279, 0.0228827, 0.0229965, 0.0224378", \ + "0.0287925, 0.0269677, 0.0269512, 0.0269548, 0.0268726, 0.0264121, 0.0270738", \ + "0.0406573, 0.0374528, 0.037086, 0.0370519, 0.0368108, 0.036744, 0.0360565", \ + "0.0657971, 0.0604661, 0.0598067, 0.0590883, 0.0581855, 0.0578972, 0.0576352", \ + "0.116305, 0.108513, 0.106673, 0.105436, 0.10388, 0.102302, 0.101301" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121041, 0.0127066, 0.0128257, 0.0126854, 0.0126794, 0.0121766, 0.0115666", \ + "0.0134198, 0.0132544, 0.0132436, 0.0133128, 0.0130181, 0.01283, 0.012186", \ + "0.0157522, 0.0150915, 0.0150631, 0.0147951, 0.0148562, 0.0142355, 0.0152947", \ + "0.0212684, 0.0196466, 0.0195643, 0.0193847, 0.0191804, 0.018667, 0.0193325", \ + "0.0328934, 0.0299243, 0.0295053, 0.0291241, 0.0289035, 0.028595, 0.0278808", \ + "0.0562518, 0.0512868, 0.0504028, 0.0495091, 0.0488326, 0.0482087, 0.0477093", \ + "0.103181, 0.0950461, 0.0936694, 0.0920446, 0.0904216, 0.0893712, 0.0882907" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0185588, 0.0183947, 0.0184432, 0.0183849, 0.0182422, 0.017955, 0.0172088", \ + "0.0194373, 0.018541, 0.0184776, 0.0185268, 0.0184575, 0.0180311, 0.0174589", \ + "0.021458, 0.0201535, 0.0201313, 0.0200116, 0.019932, 0.0199449, 0.0197642", \ + "0.0264066, 0.0243535, 0.0242581, 0.0241384, 0.0239569, 0.0236959, 0.0242721", \ + "0.0375836, 0.0341617, 0.0336292, 0.0334713, 0.0333073, 0.0332053, 0.032418", \ + "0.0604902, 0.0548983, 0.0542675, 0.0535826, 0.0530125, 0.0528086, 0.0520189", \ + "0.106466, 0.0981215, 0.0964408, 0.0954122, 0.0941231, 0.0928348, 0.0923069" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0117899, 0.0125099, 0.0125498, 0.0125017, 0.0122934, 0.0119579, 0.01147", \ + "0.013061, 0.0130902, 0.013047, 0.0132754, 0.0128787, 0.0125185, 0.0118592", \ + "0.0154157, 0.0148024, 0.0148409, 0.0146629, 0.0145654, 0.0139282, 0.013557", \ + "0.0207404, 0.0191653, 0.0190798, 0.0189901, 0.0187944, 0.0182488, 0.0187976", \ + "0.0321933, 0.0291447, 0.0288388, 0.028435, 0.0283309, 0.0280589, 0.0270955", \ + "0.0553595, 0.0501747, 0.0494303, 0.0485633, 0.0480504, 0.0475091, 0.047011", \ + "0.101393, 0.0929728, 0.0914696, 0.0901085, 0.0887463, 0.0876383, 0.0864829" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0147868, 0.014528, 0.0145319, 0.0145583, 0.0144437, 0.0140964, 0.013497", \ + "0.0166493, 0.0155995, 0.0155498, 0.0156295, 0.0155189, 0.0158777, 0.0144666", \ + "0.0191313, 0.0176706, 0.0176856, 0.0175511, 0.0174, 0.0171388, 0.0166781", \ + "0.0244782, 0.0220751, 0.0219034, 0.021855, 0.02141, 0.0209943, 0.0216306", \ + "0.0357717, 0.0317812, 0.0314898, 0.0311071, 0.0308097, 0.0306146, 0.0292082", \ + "0.0584459, 0.052468, 0.0517264, 0.0508237, 0.0501661, 0.0496871, 0.0490588", \ + "0.103862, 0.0947896, 0.0931097, 0.0918008, 0.0906431, 0.0894858, 0.0884899" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00295582; + rise_capacitance : 0.00289507; + rise_capacitance_range (0.00289507, 0.00289507); + fall_capacitance : 0.00301657; + fall_capacitance_range (0.00301657, 0.00301657); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00289267; + rise_capacitance : 0.00296809; + rise_capacitance_range (0.00296809, 0.00296809); + fall_capacitance : 0.00281726; + fall_capacitance_range (0.00281726, 0.00281726); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00276845; + rise_capacitance : 0.00304065; + rise_capacitance_range (0.00304065, 0.00304065); + fall_capacitance : 0.00249625; + fall_capacitance_range (0.00249625, 0.00249625); + } + } + cell (sg13g2_or3_2) { + area : 14.5152; + cell_footprint : "or3"; + cell_leakage_power : 1393.4; + leakage_power () { + value : 2004.67; + when : "!A&!B&!C"; + } + leakage_power () { + value : 1249.26; + when : "!A&!B&C"; + } + leakage_power () { + value : 1069.58; + when : "!A&B&!C"; + } + leakage_power () { + value : 1486.74; + when : "!A&B&C"; + } + leakage_power () { + value : 911.993; + when : "A&!B&!C"; + } + leakage_power () { + value : 1342.98; + when : "A&!B&C"; + } + leakage_power () { + value : 1318.97; + when : "A&B&!C"; + } + leakage_power () { + value : 1763.02; + when : "A&B&C"; + } + pin (X) { + direction : "output"; + function : "(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0400545, 0.0760774, 0.0968692, 0.130859, 0.187518, 0.281638, 0.438481", \ + "0.0637934, 0.100967, 0.121734, 0.155612, 0.212157, 0.30641, 0.463109", \ + "0.0785723, 0.117397, 0.138064, 0.171854, 0.228397, 0.322412, 0.479402", \ + "0.100389, 0.141803, 0.162496, 0.196213, 0.252283, 0.345787, 0.502403", \ + "0.127902, 0.174556, 0.196009, 0.229646, 0.285727, 0.379345, 0.535347", \ + "0.164367, 0.218244, 0.242287, 0.277138, 0.334085, 0.427785, 0.584791", \ + "0.213591, 0.276172, 0.304367, 0.343535, 0.402637, 0.499913, 0.659865" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.010193, 0.0522218, 0.0822052, 0.132585, 0.217297, 0.358717, 0.594492", \ + "0.0133296, 0.05334, 0.0828012, 0.132818, 0.217418, 0.358913, 0.5953", \ + "0.0160163, 0.0548457, 0.0835908, 0.133234, 0.217635, 0.358914, 0.595301", \ + "0.0206595, 0.0580876, 0.0856578, 0.134404, 0.218185, 0.359236, 0.595302", \ + "0.0287464, 0.0655263, 0.0910222, 0.13773, 0.220346, 0.360697, 0.595637", \ + "0.041611, 0.079392, 0.103274, 0.146931, 0.227294, 0.365946, 0.59947", \ + "0.062228, 0.101958, 0.125905, 0.167007, 0.243169, 0.379811, 0.612718" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0769014, 0.119257, 0.139872, 0.171269, 0.220723, 0.301939, 0.436624", \ + "0.0884069, 0.131206, 0.152087, 0.183334, 0.232988, 0.314179, 0.44869", \ + "0.095687, 0.13942, 0.160452, 0.192018, 0.241904, 0.32314, 0.457633", \ + "0.108084, 0.153532, 0.175048, 0.207153, 0.257079, 0.338523, 0.473164", \ + "0.132489, 0.181516, 0.204359, 0.238181, 0.289007, 0.370726, 0.50545", \ + "0.168391, 0.223101, 0.248974, 0.285083, 0.339875, 0.425253, 0.561129", \ + "0.209771, 0.272137, 0.301529, 0.343397, 0.405014, 0.498079, 0.643156" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0185341, 0.0550469, 0.0780347, 0.116377, 0.182252, 0.293987, 0.482878", \ + "0.0196554, 0.0559401, 0.078715, 0.116715, 0.182253, 0.29401, 0.482879", \ + "0.0212232, 0.0575378, 0.0800411, 0.117742, 0.183013, 0.294438, 0.48288", \ + "0.0246101, 0.0605109, 0.0826365, 0.11989, 0.184338, 0.295226, 0.483154", \ + "0.0313303, 0.0675734, 0.0892429, 0.126134, 0.188594, 0.297459, 0.484425", \ + "0.042886, 0.08061, 0.103357, 0.139561, 0.202091, 0.308857, 0.491241", \ + "0.061483, 0.101791, 0.125323, 0.164414, 0.229075, 0.338723, 0.519682" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0384132, 0.0738419, 0.0945236, 0.128411, 0.184961, 0.279011, 0.435951", \ + "0.0605821, 0.0973472, 0.118013, 0.151888, 0.208357, 0.302517, 0.4593", \ + "0.0739454, 0.112369, 0.132897, 0.16664, 0.222987, 0.317115, 0.473838", \ + "0.0927885, 0.134067, 0.154767, 0.188462, 0.244486, 0.338126, 0.494661", \ + "0.113877, 0.160541, 0.182122, 0.215776, 0.271848, 0.365708, 0.521913", \ + "0.135303, 0.189441, 0.214276, 0.249323, 0.306445, 0.400599, 0.557823", \ + "0.152256, 0.215267, 0.243852, 0.283268, 0.342914, 0.439345, 0.601414" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00963164, 0.0516907, 0.0818, 0.132239, 0.217048, 0.358506, 0.594264", \ + "0.0128591, 0.0528475, 0.0824136, 0.132513, 0.217146, 0.359655, 0.595201", \ + "0.0155368, 0.0544354, 0.083216, 0.132869, 0.217395, 0.359656, 0.595202", \ + "0.0204568, 0.0577958, 0.0854172, 0.134145, 0.217999, 0.359657, 0.595203", \ + "0.0288141, 0.0657938, 0.0914548, 0.137832, 0.220163, 0.36052, 0.595328", \ + "0.042205, 0.080162, 0.104334, 0.147983, 0.227471, 0.365999, 0.599214", \ + "0.063376, 0.103483, 0.127467, 0.168545, 0.243845, 0.379938, 0.613207" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0746325, 0.117155, 0.1377, 0.168788, 0.218495, 0.299683, 0.434301", \ + "0.0911065, 0.134047, 0.154932, 0.186282, 0.235984, 0.317183, 0.45165", \ + "0.103739, 0.147573, 0.168596, 0.200261, 0.250147, 0.331369, 0.465917", \ + "0.124986, 0.170101, 0.191765, 0.223462, 0.273553, 0.354947, 0.489655", \ + "0.163063, 0.21167, 0.234321, 0.267131, 0.317186, 0.398375, 0.533115", \ + "0.223106, 0.276884, 0.301665, 0.337079, 0.390207, 0.473856, 0.608754", \ + "0.309337, 0.370526, 0.399046, 0.439291, 0.497401, 0.587505, 0.729502" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0185345, 0.0552023, 0.0780551, 0.116372, 0.182139, 0.293976, 0.482704", \ + "0.020189, 0.0563191, 0.0789798, 0.116947, 0.182425, 0.294158, 0.48279", \ + "0.0222136, 0.0579913, 0.0804555, 0.118123, 0.183302, 0.294759, 0.482958", \ + "0.0262543, 0.0610657, 0.083047, 0.12013, 0.184758, 0.295541, 0.483438", \ + "0.033569, 0.0680763, 0.089584, 0.125446, 0.188022, 0.297398, 0.48469", \ + "0.046105, 0.081328, 0.102383, 0.137889, 0.199, 0.306151, 0.489837", \ + "0.066092, 0.10291, 0.124735, 0.161028, 0.222332, 0.329437, 0.511803" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0355794, 0.0706302, 0.0912961, 0.125126, 0.181706, 0.275672, 0.432708", \ + "0.0558629, 0.0924891, 0.11302, 0.146819, 0.203284, 0.297411, 0.454252", \ + "0.067698, 0.105923, 0.126523, 0.160046, 0.216342, 0.310312, 0.466847", \ + "0.0833827, 0.124905, 0.145584, 0.17897, 0.234865, 0.328647, 0.485002", \ + "0.0979553, 0.145569, 0.166867, 0.200714, 0.257022, 0.350429, 0.506927", \ + "0.107716, 0.162244, 0.18702, 0.222719, 0.280033, 0.375739, 0.53339", \ + "0.107717, 0.162245, 0.190258, 0.231716, 0.291765, 0.390285, 0.551567" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00916161, 0.0514498, 0.0817022, 0.13214, 0.217075, 0.358546, 0.594302", \ + "0.0125264, 0.052686, 0.082306, 0.132446, 0.217077, 0.359512, 0.594315", \ + "0.0153518, 0.054383, 0.0832882, 0.132783, 0.217399, 0.359513, 0.594316", \ + "0.02077, 0.0581713, 0.0855956, 0.134202, 0.217995, 0.359514, 0.594448", \ + "0.0297615, 0.0670717, 0.0922513, 0.138538, 0.22084, 0.360768, 0.59551", \ + "0.0438844, 0.0825502, 0.106298, 0.149706, 0.228992, 0.367544, 0.600559", \ + "0.066943, 0.107606, 0.132645, 0.173765, 0.248414, 0.384331, 0.617274" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.068091, 0.110483, 0.131258, 0.162429, 0.212034, 0.293243, 0.427903", \ + "0.0919449, 0.13456, 0.155332, 0.186732, 0.236429, 0.317654, 0.452137", \ + "0.109146, 0.152167, 0.173182, 0.204636, 0.254503, 0.335721, 0.470386", \ + "0.135381, 0.179458, 0.200693, 0.232011, 0.281961, 0.36336, 0.498067", \ + "0.181211, 0.228399, 0.2503, 0.282264, 0.331851, 0.413055, 0.547603", \ + "0.256399, 0.308716, 0.332654, 0.366727, 0.418056, 0.500178, 0.635593", \ + "0.370804, 0.430283, 0.457883, 0.496325, 0.551961, 0.638104, 0.77838" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0185384, 0.0550229, 0.078042, 0.116243, 0.182102, 0.293935, 0.482707", \ + "0.0207244, 0.0565375, 0.0791969, 0.117115, 0.182481, 0.294119, 0.482746", \ + "0.023524, 0.0582491, 0.080778, 0.118358, 0.183566, 0.294717, 0.482964", \ + "0.028498, 0.0614212, 0.0832432, 0.120116, 0.184951, 0.295966, 0.48381", \ + "0.0367642, 0.0683964, 0.0888604, 0.124513, 0.187485, 0.297472, 0.484921", \ + "0.050649, 0.082315, 0.101976, 0.136024, 0.197004, 0.30417, 0.488825", \ + "0.073174, 0.10618, 0.125471, 0.159231, 0.218239, 0.324121, 0.506871" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0215706, 0.0214274, 0.0215095, 0.0214442, 0.0211296, 0.0204489, 0.0192224", \ + "0.0244337, 0.0222718, 0.0221542, 0.0225263, 0.021573, 0.0208047, 0.0202357", \ + "0.0278501, 0.0243193, 0.0240838, 0.0235236, 0.0236869, 0.0225101, 0.0235105", \ + "0.0356809, 0.0295658, 0.0289792, 0.0285848, 0.0279014, 0.0262009, 0.0274618", \ + "0.0520988, 0.0423356, 0.0409589, 0.0398672, 0.0388144, 0.0376978, 0.0347113", \ + "0.0840692, 0.0691185, 0.0665798, 0.0643029, 0.0627032, 0.0608549, 0.05927", \ + "0.146059, 0.123728, 0.119737, 0.116143, 0.111626, 0.1092, 0.105891" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0352923, 0.0305781, 0.0304325, 0.0305489, 0.0296643, 0.0294153, 0.0284708", \ + "0.0360764, 0.0304791, 0.0304, 0.0304752, 0.0299335, 0.0301709, 0.028134", \ + "0.0381542, 0.0318897, 0.0316862, 0.0311813, 0.0310649, 0.0308751, 0.0288584", \ + "0.0439091, 0.0358678, 0.0354036, 0.035268, 0.0346898, 0.0335138, 0.0353535", \ + "0.0583415, 0.0468518, 0.0457244, 0.0455843, 0.0447065, 0.044343, 0.0428708", \ + "0.0882263, 0.0720517, 0.0700688, 0.067519, 0.0664927, 0.0656967, 0.0643554", \ + "0.146519, 0.124701, 0.119992, 0.116724, 0.114246, 0.111698, 0.109465" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0210424, 0.0214727, 0.0215291, 0.0214846, 0.0211925, 0.0204397, 0.0193351", \ + "0.0237294, 0.0219435, 0.0219798, 0.0223636, 0.0214693, 0.0214608, 0.0204333", \ + "0.0270677, 0.0239413, 0.0237146, 0.0234619, 0.0234155, 0.0224529, 0.020916", \ + "0.0345837, 0.0287228, 0.0282494, 0.0279168, 0.0272891, 0.0260657, 0.025813", \ + "0.0498723, 0.0404369, 0.039366, 0.0381994, 0.0371589, 0.0363393, 0.034128", \ + "0.07955, 0.0641631, 0.0619247, 0.0601776, 0.0584852, 0.0567829, 0.0556159", \ + "0.135756, 0.113285, 0.109248, 0.10638, 0.101949, 0.0992227, 0.0973732" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0319383, 0.0272178, 0.0270747, 0.0267108, 0.0262823, 0.026028, 0.0247578", \ + "0.0332011, 0.0272291, 0.0270806, 0.0271892, 0.0266789, 0.0277646, 0.0248346", \ + "0.0359652, 0.0290254, 0.0287334, 0.0281216, 0.0280393, 0.0273661, 0.0289184", \ + "0.0424566, 0.0332792, 0.0329023, 0.0323759, 0.031885, 0.0306525, 0.0300612", \ + "0.0563815, 0.0440945, 0.0432086, 0.0420912, 0.0412819, 0.0404025, 0.0386867", \ + "0.0842064, 0.0678081, 0.0653211, 0.0633184, 0.061756, 0.0606196, 0.0589172", \ + "0.138287, 0.115026, 0.110485, 0.107362, 0.104308, 0.101421, 0.0996785" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0206341, 0.0213537, 0.0215128, 0.0213781, 0.0211459, 0.0202951, 0.0192015", \ + "0.0232349, 0.0217366, 0.0217027, 0.0221466, 0.0212261, 0.0211171, 0.0194963", \ + "0.0266442, 0.0235644, 0.0236237, 0.0232048, 0.0230357, 0.0218999, 0.0202974", \ + "0.0340687, 0.0281893, 0.0278198, 0.0273698, 0.0264522, 0.0253286, 0.0263077", \ + "0.0494472, 0.0392622, 0.0380555, 0.0372191, 0.0364908, 0.0353857, 0.0333325", \ + "0.078361, 0.0625014, 0.0601381, 0.0582526, 0.0568053, 0.0558473, 0.0547869", \ + "0.134202, 0.110874, 0.106822, 0.103612, 0.0993641, 0.0974362, 0.0948451" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0280933, 0.023274, 0.0233773, 0.0232738, 0.0227898, 0.0225341, 0.0210933", \ + "0.0305933, 0.0242359, 0.0239772, 0.024105, 0.0235263, 0.0244282, 0.0217801", \ + "0.0342691, 0.0265693, 0.0262762, 0.0255262, 0.0254228, 0.0245296, 0.0261931", \ + "0.0415233, 0.0314183, 0.0308963, 0.030218, 0.0296688, 0.0281753, 0.028923", \ + "0.0559737, 0.0422601, 0.0408755, 0.0396552, 0.0387052, 0.0380831, 0.0361087", \ + "0.0842068, 0.0654044, 0.0625451, 0.060453, 0.0593911, 0.057848, 0.0565446", \ + "0.138654, 0.113318, 0.107881, 0.104516, 0.100862, 0.0984482, 0.0965318" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00294158; + rise_capacitance : 0.00287519; + rise_capacitance_range (0.00287519, 0.00287519); + fall_capacitance : 0.00300797; + fall_capacitance_range (0.00300797, 0.00300797); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00287191; + rise_capacitance : 0.0029325; + rise_capacitance_range (0.0029325, 0.0029325); + fall_capacitance : 0.00281133; + fall_capacitance_range (0.00281133, 0.00281133); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00272849; + rise_capacitance : 0.00297195; + rise_capacitance_range (0.00297195, 0.00297195); + fall_capacitance : 0.00248503; + fall_capacitance_range (0.00248503, 0.00248503); + } + } + cell (sg13g2_or4_1) { + area : 14.5152; + cell_footprint : "or4"; + cell_leakage_power : 1314.87; + leakage_power () { + value : 1456.97; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 1201.64; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 1021.91; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 1439.1; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 864.204; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 1295.22; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 1271.16; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 1715.24; + when : "!A&B&C&D"; + } + leakage_power () { + value : 707.099; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 1140.79; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 1127.83; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 1576.64; + when : "A&!B&C&D"; + } + leakage_power () { + value : 1114.38; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 1564.53; + when : "A&B&!C&D"; + } + leakage_power () { + value : 1547.63; + when : "A&B&C&!D"; + } + leakage_power () { + value : 1993.61; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0361748, 0.0700855, 0.0910657, 0.125277, 0.182237, 0.276662, 0.434108", \ + "0.0580456, 0.0927208, 0.113581, 0.147793, 0.204658, 0.299238, 0.456537", \ + "0.0711376, 0.107019, 0.127727, 0.161565, 0.218382, 0.312788, 0.470068", \ + "0.0895664, 0.128074, 0.148836, 0.182664, 0.239127, 0.333382, 0.490398", \ + "0.110812, 0.154574, 0.175929, 0.209856, 0.266763, 0.361231, 0.517775", \ + "0.1365, 0.187683, 0.211028, 0.246393, 0.304089, 0.399999, 0.557695", \ + "0.166801, 0.228171, 0.255451, 0.293763, 0.354039, 0.452528, 0.613932" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0100441, 0.0519732, 0.0823911, 0.13277, 0.2176, 0.359063, 0.594839", \ + "0.0126694, 0.0525577, 0.0825966, 0.132915, 0.217601, 0.360314, 0.596595", \ + "0.0147673, 0.0536119, 0.0830747, 0.133188, 0.217835, 0.360315, 0.596596", \ + "0.0188173, 0.056061, 0.0845777, 0.134096, 0.218402, 0.360316, 0.596597", \ + "0.0254033, 0.0622081, 0.0889737, 0.136966, 0.220532, 0.361217, 0.596598", \ + "0.0357701, 0.074147, 0.098986, 0.144963, 0.226651, 0.36671, 0.600679", \ + "0.052578, 0.094511, 0.119011, 0.16155, 0.241234, 0.380688, 0.614912" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0832209, 0.124186, 0.145066, 0.176618, 0.226253, 0.307676, 0.442597", \ + "0.0924984, 0.134122, 0.155074, 0.18658, 0.236562, 0.317902, 0.452748", \ + "0.0965021, 0.138934, 0.160186, 0.192014, 0.242083, 0.3236, 0.45848", \ + "0.104038, 0.148603, 0.170434, 0.202728, 0.253176, 0.334966, 0.469921", \ + "0.122556, 0.17171, 0.195464, 0.229968, 0.281933, 0.364266, 0.499331", \ + "0.155049, 0.211035, 0.238216, 0.276619, 0.333831, 0.420512, 0.558492", \ + "0.194146, 0.259546, 0.290901, 0.33529, 0.400165, 0.499352, 0.648798" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.017099, 0.0538437, 0.0768887, 0.115334, 0.180829, 0.292697, 0.481319", \ + "0.0178767, 0.0545825, 0.077453, 0.115564, 0.181083, 0.292698, 0.481481", \ + "0.0189026, 0.0558469, 0.0786987, 0.116544, 0.181803, 0.293182, 0.481482", \ + "0.0216028, 0.0586448, 0.0811999, 0.118605, 0.18312, 0.294085, 0.481927", \ + "0.0276109, 0.0661683, 0.0889956, 0.125868, 0.188651, 0.296902, 0.48331", \ + "0.037215, 0.078792, 0.103223, 0.141704, 0.205125, 0.310905, 0.492316", \ + "0.052737, 0.09954, 0.125538, 0.167274, 0.235021, 0.346766, 0.527512" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0357426, 0.0688997, 0.0896937, 0.123901, 0.180629, 0.274812, 0.432145", \ + "0.0560695, 0.0901325, 0.110924, 0.144896, 0.201678, 0.296106, 0.453386", \ + "0.0679133, 0.1033, 0.123817, 0.157524, 0.214287, 0.308634, 0.465662", \ + "0.0836298, 0.121678, 0.142385, 0.17592, 0.232559, 0.326616, 0.483848", \ + "0.0987156, 0.142248, 0.163304, 0.197306, 0.254166, 0.348447, 0.505527", \ + "0.110616, 0.161872, 0.185427, 0.22085, 0.278173, 0.374061, 0.532113", \ + "0.111297, 0.172588, 0.200411, 0.238528, 0.298446, 0.39818, 0.560494" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00951346, 0.0512265, 0.0816753, 0.132368, 0.217213, 0.358589, 0.594815", \ + "0.0121485, 0.0519465, 0.0819728, 0.13239, 0.217214, 0.35968, 0.594952", \ + "0.0142718, 0.052989, 0.0824737, 0.132655, 0.217374, 0.359681, 0.594953", \ + "0.0183265, 0.0553734, 0.0839462, 0.133504, 0.218041, 0.359682, 0.594954", \ + "0.0247182, 0.0616059, 0.0882631, 0.136544, 0.220013, 0.36066, 0.595903", \ + "0.0348048, 0.073314, 0.09839, 0.144061, 0.226426, 0.366315, 0.600385", \ + "0.05065, 0.092702, 0.11745, 0.160111, 0.239588, 0.379783, 0.614289" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0809727, 0.12208, 0.14289, 0.174383, 0.224021, 0.305408, 0.440372", \ + "0.0921496, 0.134015, 0.155114, 0.18669, 0.236649, 0.31811, 0.452889", \ + "0.0993254, 0.142133, 0.163493, 0.195515, 0.245636, 0.327286, 0.462276", \ + "0.114296, 0.159043, 0.180721, 0.213155, 0.263695, 0.345573, 0.480749", \ + "0.146906, 0.195613, 0.219224, 0.252578, 0.304429, 0.38676, 0.52159", \ + "0.201301, 0.256523, 0.282682, 0.319585, 0.375812, 0.461199, 0.598316", \ + "0.281429, 0.345546, 0.375842, 0.418928, 0.480477, 0.575331, 0.72269" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170929, 0.05386, 0.07698, 0.115239, 0.180884, 0.292683, 0.481138", \ + "0.0182849, 0.0548931, 0.0777874, 0.11586, 0.181236, 0.292766, 0.481487", \ + "0.0196499, 0.0564177, 0.0792395, 0.117065, 0.182187, 0.293519, 0.481682", \ + "0.0227305, 0.059292, 0.0815247, 0.118972, 0.183582, 0.294444, 0.482351", \ + "0.0286047, 0.0659147, 0.0885104, 0.124687, 0.187736, 0.296721, 0.48382", \ + "0.038422, 0.078065, 0.101185, 0.138724, 0.201598, 0.307968, 0.490795", \ + "0.054187, 0.098125, 0.122428, 0.162389, 0.227596, 0.336801, 0.51943" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0339338, 0.0663941, 0.0870256, 0.120946, 0.177799, 0.271834, 0.42925", \ + "0.0524855, 0.0860539, 0.106697, 0.140522, 0.19722, 0.291521, 0.448602", \ + "0.0627391, 0.0976528, 0.118007, 0.15184, 0.20836, 0.302748, 0.459869", \ + "0.0751555, 0.113118, 0.133771, 0.167363, 0.223908, 0.318066, 0.475166", \ + "0.0842493, 0.127685, 0.148861, 0.182872, 0.239925, 0.334455, 0.491457", \ + "0.0842503, 0.135474, 0.15916, 0.194295, 0.252425, 0.348352, 0.506152", \ + "0.0842513, 0.135475, 0.159161, 0.194296, 0.252426, 0.348353, 0.5082" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00895998, 0.0507142, 0.0813227, 0.131836, 0.217025, 0.358426, 0.594498", \ + "0.0117132, 0.0515291, 0.0815957, 0.132084, 0.217026, 0.359191, 0.594836", \ + "0.0139615, 0.0525091, 0.0820751, 0.132358, 0.217165, 0.359192, 0.594837", \ + "0.0179874, 0.0551679, 0.0837217, 0.133159, 0.217648, 0.359193, 0.594838", \ + "0.0246338, 0.0616043, 0.088273, 0.136315, 0.219832, 0.360444, 0.595625", \ + "0.0347159, 0.0736151, 0.0986241, 0.144079, 0.226362, 0.366347, 0.600264", \ + "0.051169, 0.09387, 0.118436, 0.161054, 0.240062, 0.379768, 0.614751" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0743014, 0.115528, 0.136245, 0.167581, 0.217703, 0.298862, 0.433814", \ + "0.0887809, 0.130676, 0.151855, 0.183482, 0.233513, 0.314981, 0.449776", \ + "0.100991, 0.143647, 0.164955, 0.197057, 0.24732, 0.329084, 0.46395", \ + "0.123429, 0.167524, 0.189134, 0.221179, 0.271896, 0.353735, 0.488986", \ + "0.165951, 0.213578, 0.236428, 0.269557, 0.320448, 0.402411, 0.53764", \ + "0.237071, 0.290578, 0.315578, 0.351561, 0.405062, 0.489238, 0.625551", \ + "0.347897, 0.409944, 0.438774, 0.479757, 0.538534, 0.630531, 0.774434" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170944, 0.0539386, 0.0769013, 0.115114, 0.181073, 0.292446, 0.481127", \ + "0.018678, 0.0552127, 0.0780245, 0.116147, 0.181308, 0.292861, 0.481524", \ + "0.0202013, 0.0565906, 0.0794468, 0.117312, 0.182437, 0.293494, 0.48169", \ + "0.0234292, 0.0591434, 0.0814016, 0.118955, 0.183801, 0.294636, 0.482643", \ + "0.029309, 0.0651413, 0.0871903, 0.123612, 0.18669, 0.296535, 0.484103", \ + "0.039419, 0.076346, 0.098565, 0.135239, 0.197388, 0.304979, 0.488996", \ + "0.055443, 0.096128, 0.118601, 0.156615, 0.219534, 0.328432, 0.511621" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.030707, 0.0627968, 0.0833819, 0.117226, 0.173882, 0.268152, 0.425159", \ + "0.0469322, 0.0802382, 0.100788, 0.134682, 0.191277, 0.285487, 0.442525", \ + "0.0551869, 0.0899784, 0.110389, 0.144171, 0.200703, 0.294936, 0.451923", \ + "0.0639988, 0.102367, 0.122985, 0.156619, 0.213237, 0.307348, 0.464269", \ + "0.0667875, 0.111109, 0.132606, 0.167029, 0.223755, 0.318254, 0.474784", \ + "0.0667885, 0.11111, 0.132607, 0.16703, 0.225574, 0.32176, 0.480576", \ + "0.0667895, 0.111111, 0.132608, 0.167031, 0.225575, 0.321761, 0.480577" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0085157, 0.0504839, 0.0810581, 0.13216, 0.216739, 0.358424, 0.594385", \ + "0.0114023, 0.0513551, 0.0814402, 0.132161, 0.216805, 0.358425, 0.594758", \ + "0.0138461, 0.0524066, 0.0820337, 0.132243, 0.217004, 0.358474, 0.594759", \ + "0.018007, 0.0554586, 0.0839638, 0.133298, 0.217664, 0.358863, 0.59476", \ + "0.0248795, 0.0628188, 0.0894177, 0.137201, 0.220371, 0.360761, 0.595848", \ + "0.0357554, 0.0758807, 0.100972, 0.14617, 0.228209, 0.368044, 0.601805", \ + "0.052765, 0.097132, 0.122692, 0.165718, 0.2442, 0.383241, 0.618272" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.062789, 0.103997, 0.124885, 0.156239, 0.206057, 0.28737, 0.422384", \ + "0.0844564, 0.125677, 0.146793, 0.178502, 0.228589, 0.309919, 0.44494", \ + "0.100608, 0.141925, 0.163054, 0.195063, 0.245318, 0.327173, 0.462106", \ + "0.126689, 0.168739, 0.189971, 0.221716, 0.272255, 0.354113, 0.48952", \ + "0.174671, 0.219827, 0.241758, 0.274197, 0.32483, 0.405676, 0.540955", \ + "0.256124, 0.306857, 0.330879, 0.365127, 0.417923, 0.50108, 0.636991", \ + "0.387133, 0.446203, 0.473686, 0.51239, 0.569128, 0.658082, 0.799914" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170793, 0.0539584, 0.0768922, 0.115116, 0.180764, 0.292542, 0.481415", \ + "0.0184484, 0.0551172, 0.0780424, 0.116252, 0.181449, 0.292935, 0.481531", \ + "0.020182, 0.0561134, 0.0790513, 0.117321, 0.18265, 0.293965, 0.481806", \ + "0.0238241, 0.0579864, 0.0805268, 0.118453, 0.183764, 0.295107, 0.483125", \ + "0.0300865, 0.0634459, 0.0851935, 0.121979, 0.185942, 0.29663, 0.48448", \ + "0.040798, 0.074578, 0.09616, 0.131972, 0.194945, 0.303401, 0.488776", \ + "0.058474, 0.094949, 0.116159, 0.152523, 0.214941, 0.324288, 0.50814" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0154041, 0.0156593, 0.0157038, 0.0156046, 0.0154788, 0.015099, 0.0145669", \ + "0.0166867, 0.0162087, 0.016159, 0.0162422, 0.015795, 0.0158416, 0.0155818", \ + "0.0188824, 0.0179259, 0.0177789, 0.0174998, 0.0174251, 0.016754, 0.0168663", \ + "0.0243449, 0.0224215, 0.0221736, 0.0218697, 0.0214468, 0.0208368, 0.0204189", \ + "0.0369683, 0.0335735, 0.0329557, 0.0323122, 0.0319275, 0.0314094, 0.0302028", \ + "0.0623893, 0.0569638, 0.0556619, 0.0546283, 0.053475, 0.0527104, 0.0518284", \ + "0.113914, 0.105507, 0.103809, 0.101831, 0.0993898, 0.0975847, 0.0956632" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0234209, 0.022148, 0.0221549, 0.0220888, 0.0218466, 0.0214637, 0.0210064", \ + "0.0233601, 0.0219118, 0.0218838, 0.0219105, 0.0216401, 0.0221384, 0.0207442", \ + "0.0242855, 0.0225973, 0.0225425, 0.0223218, 0.0222786, 0.0222211, 0.0213421", \ + "0.0279993, 0.0256776, 0.025553, 0.0254478, 0.0252472, 0.024805, 0.025047", \ + "0.0390074, 0.0351801, 0.034826, 0.0346465, 0.0344295, 0.0341496, 0.0331941", \ + "0.0636107, 0.0576042, 0.0567763, 0.0559189, 0.0555097, 0.054619, 0.0541971", \ + "0.113159, 0.104712, 0.10275, 0.101109, 0.09982, 0.098547, 0.097186" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130902, 0.0135685, 0.013622, 0.0136502, 0.0134946, 0.0130638, 0.0125779", \ + "0.0142894, 0.0139426, 0.0139428, 0.0141654, 0.0137143, 0.0136954, 0.0129194", \ + "0.0162867, 0.0155834, 0.0154857, 0.0151804, 0.0152816, 0.0145208, 0.0149939", \ + "0.0215133, 0.0196374, 0.0194897, 0.019197, 0.0190359, 0.0182504, 0.0188191", \ + "0.0327616, 0.0295443, 0.0289834, 0.0285354, 0.0281813, 0.027748, 0.0266686", \ + "0.0556026, 0.0503673, 0.0493348, 0.0482088, 0.0474253, 0.0467973, 0.0459985", \ + "0.101314, 0.0931719, 0.0914028, 0.0897229, 0.0877173, 0.0865716, 0.0849324" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0236194, 0.0225044, 0.0225105, 0.0224471, 0.0221665, 0.0218246, 0.0212483", \ + "0.0238516, 0.0222804, 0.02226, 0.0223151, 0.0220187, 0.0217542, 0.0211519", \ + "0.0251353, 0.0232388, 0.02318, 0.0229177, 0.0228988, 0.0225805, 0.0235801", \ + "0.0292579, 0.0266626, 0.0264159, 0.0263185, 0.0261031, 0.0255326, 0.026339", \ + "0.0399103, 0.0359378, 0.0356789, 0.0351235, 0.0349941, 0.0348251, 0.0338343", \ + "0.0623349, 0.056333, 0.0555449, 0.0549188, 0.054647, 0.0537856, 0.0533592", \ + "0.107214, 0.0987087, 0.0968553, 0.0956241, 0.094455, 0.0929818, 0.0925593" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012406, 0.0129975, 0.0130724, 0.0130014, 0.0129289, 0.0124605, 0.0119437", \ + "0.0136258, 0.0134774, 0.0134321, 0.0134987, 0.013234, 0.0131043, 0.0124096", \ + "0.0156674, 0.0149361, 0.0148764, 0.0147076, 0.0146307, 0.0139878, 0.0140965", \ + "0.0204273, 0.0187922, 0.018672, 0.0184342, 0.0182869, 0.0177995, 0.0180744", \ + "0.0307983, 0.0278126, 0.0273015, 0.0267834, 0.0266582, 0.0263715, 0.0254156", \ + "0.0519278, 0.0467203, 0.0458312, 0.0447769, 0.0442149, 0.043688, 0.0429498", \ + "0.0939549, 0.0858929, 0.0842331, 0.0825893, 0.0808944, 0.0795074, 0.0781098" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0203311, 0.0190971, 0.0190754, 0.0189705, 0.0188566, 0.0185174, 0.0178875", \ + "0.0208343, 0.019112, 0.0190462, 0.0191408, 0.0188439, 0.0192378, 0.017961", \ + "0.0226279, 0.0204928, 0.0204117, 0.0201753, 0.0201673, 0.0197655, 0.02073", \ + "0.0271242, 0.0242325, 0.0240179, 0.0238099, 0.023615, 0.0230777, 0.0237007", \ + "0.0372701, 0.0330706, 0.0327402, 0.0322683, 0.0319113, 0.0316933, 0.030698", \ + "0.0579497, 0.0517348, 0.0509425, 0.0502596, 0.0496218, 0.0488269, 0.0479881", \ + "0.0995987, 0.0906049, 0.0887311, 0.0875389, 0.0858756, 0.0848877, 0.0840566" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119269, 0.0126419, 0.0126698, 0.0127979, 0.0124316, 0.0120788, 0.0112937", \ + "0.0131705, 0.0131822, 0.01311, 0.0132561, 0.0128941, 0.0125048, 0.0120246", \ + "0.0152078, 0.0146363, 0.0146193, 0.0144459, 0.0144151, 0.0138285, 0.0150103", \ + "0.0200388, 0.0184995, 0.0184042, 0.0182232, 0.0181671, 0.0176342, 0.0183846", \ + "0.030348, 0.0273516, 0.0269737, 0.0266436, 0.026313, 0.0260775, 0.0248384", \ + "0.0514187, 0.0460846, 0.0453392, 0.0443339, 0.0439584, 0.0434234, 0.0429819", \ + "0.0929405, 0.0845436, 0.0830045, 0.0816245, 0.0802438, 0.0790281, 0.077624" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0164077, 0.0152541, 0.0152627, 0.0152243, 0.0150902, 0.0146713, 0.0141776", \ + "0.0179453, 0.0161557, 0.0160923, 0.0161872, 0.015908, 0.0158372, 0.0150039", \ + "0.0202284, 0.0180535, 0.0178829, 0.0176825, 0.0175208, 0.0173695, 0.0165535", \ + "0.0250702, 0.0219808, 0.0218038, 0.0215871, 0.0213906, 0.0206159, 0.0214543", \ + "0.0353904, 0.0308637, 0.0304798, 0.0300008, 0.0296561, 0.0293006, 0.0282613", \ + "0.0562429, 0.0496397, 0.0487103, 0.0477846, 0.0471883, 0.0464764, 0.0458994", \ + "0.0976119, 0.0880631, 0.0864157, 0.0850076, 0.0832081, 0.0821787, 0.0809317" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00296559; + rise_capacitance : 0.00284089; + rise_capacitance_range (0.00284089, 0.00284089); + fall_capacitance : 0.00309029; + fall_capacitance_range (0.00309029, 0.00309029); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00199702, -0.00203438, -0.00204694, -0.00205886, -0.00207126, -0.00208287, -0.00209567" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00383004, 0.00381198, 0.00382479, 0.00380514, 0.00385507, 0.00384113, 0.00381201" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00199702, -0.00203438, -0.00204694, -0.00205886, -0.00207126, -0.00208287, -0.00209567" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00383004, 0.00381198, 0.00382479, 0.00380514, 0.00385507, 0.00384113, 0.00381201" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00292754; + rise_capacitance : 0.00284973; + rise_capacitance_range (0.00284973, 0.00284973); + fall_capacitance : 0.00300535; + fall_capacitance_range (0.00300535, 0.00300535); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-4.90072e-05, -5.13988e-05, -4.36954e-05, -4.39595e-05, -5.01978e-05, -4.60976e-05, -5.05573e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "4.90072e-05, 5.13988e-05, 4.36954e-05, 4.39595e-05, 5.01978e-05, 4.60976e-05, 5.05573e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-4.90072e-05, -5.13988e-05, -4.36954e-05, -4.39595e-05, -5.01978e-05, -4.60976e-05, -5.05573e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "4.90072e-05, 5.13988e-05, 4.36954e-05, 4.39595e-05, 5.01978e-05, 4.60976e-05, 5.05573e-05" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00239432; + rise_capacitance : 0.00240803; + rise_capacitance_range (0.00240803, 0.00240803); + fall_capacitance : 0.00238061; + fall_capacitance_range (0.00238061, 0.00238061); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00242901; + rise_capacitance : 0.00250709; + rise_capacitance_range (0.00250709, 0.00250709); + fall_capacitance : 0.00235094; + fall_capacitance_range (0.00235094, 0.00235094); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_or4_2) { + area : 16.3296; + cell_footprint : "or4"; + cell_leakage_power : 1555.85; + leakage_power () { + value : 2186.5; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 1410.05; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 1230.32; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 1647.51; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 1072.61; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 1503.63; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 1479.57; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 1923.65; + when : "!A&B&C&D"; + } + leakage_power () { + value : 915.508; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 1349.2; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 1336.24; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 1785.05; + when : "A&!B&C&D"; + } + leakage_power () { + value : 1322.79; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 1772.94; + when : "A&B&!C&D"; + } + leakage_power () { + value : 1756.04; + when : "A&B&C&!D"; + } + leakage_power () { + value : 2202.02; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0417004, 0.0787406, 0.0998498, 0.134083, 0.190934, 0.285491, 0.442815", \ + "0.0668152, 0.104718, 0.125688, 0.159857, 0.216764, 0.311231, 0.468474", \ + "0.0821719, 0.121658, 0.142631, 0.176712, 0.23341, 0.327944, 0.485124", \ + "0.104274, 0.146631, 0.167496, 0.201062, 0.25752, 0.351503, 0.50858", \ + "0.131252, 0.178692, 0.200332, 0.234157, 0.290245, 0.384355, 0.54089", \ + "0.164622, 0.219133, 0.243338, 0.278526, 0.33561, 0.430312, 0.58685", \ + "0.20554, 0.269285, 0.297972, 0.337618, 0.396731, 0.493709, 0.653301" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.010793, 0.0531335, 0.0831193, 0.133481, 0.218317, 0.359862, 0.596072", \ + "0.0138177, 0.0541121, 0.0836021, 0.133627, 0.218349, 0.36184, 0.596717", \ + "0.0166155, 0.0556296, 0.0844333, 0.134095, 0.218573, 0.361841, 0.596718", \ + "0.0213333, 0.059275, 0.0866629, 0.13534, 0.219166, 0.361842, 0.596719", \ + "0.0297347, 0.0667658, 0.0921993, 0.138754, 0.221434, 0.361963, 0.597333", \ + "0.043306, 0.08136, 0.105133, 0.148538, 0.228369, 0.367041, 0.601291", \ + "0.065249, 0.105535, 0.129302, 0.169853, 0.244898, 0.381384, 0.614595" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.105361, 0.152481, 0.175235, 0.208664, 0.259753, 0.341445, 0.476771", \ + "0.115372, 0.162755, 0.185417, 0.218655, 0.270011, 0.352069, 0.487025", \ + "0.120369, 0.168306, 0.191252, 0.224765, 0.276175, 0.358346, 0.493275", \ + "0.129938, 0.179133, 0.20259, 0.236456, 0.288294, 0.370751, 0.505823", \ + "0.152956, 0.205545, 0.230406, 0.265681, 0.31846, 0.40127, 0.536731", \ + "0.193741, 0.252023, 0.279652, 0.318714, 0.375224, 0.461994, 0.599541", \ + "0.245104, 0.311285, 0.342951, 0.387615, 0.451738, 0.549196, 0.696563" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0241321, 0.0627939, 0.0862462, 0.124261, 0.188297, 0.298604, 0.485785", \ + "0.0248213, 0.0630769, 0.0862472, 0.124262, 0.188385, 0.298605, 0.486563", \ + "0.0259218, 0.0642749, 0.0872659, 0.125121, 0.189092, 0.298852, 0.486564", \ + "0.028663, 0.06693, 0.0900182, 0.127107, 0.19081, 0.299857, 0.486565", \ + "0.0353199, 0.0738508, 0.096762, 0.133297, 0.195179, 0.30277, 0.488026", \ + "0.047243, 0.087766, 0.111343, 0.149033, 0.210164, 0.31535, 0.495912", \ + "0.066717, 0.110376, 0.13555, 0.175922, 0.240547, 0.349808, 0.528148" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0410262, 0.077316, 0.0982226, 0.132395, 0.18912, 0.283476, 0.440682", \ + "0.0647331, 0.102203, 0.123045, 0.1571, 0.2138, 0.308251, 0.465312", \ + "0.0790566, 0.118117, 0.138915, 0.172843, 0.229491, 0.323817, 0.481073", \ + "0.0988994, 0.140923, 0.161522, 0.195358, 0.251756, 0.345672, 0.502662", \ + "0.12046, 0.167918, 0.189681, 0.223165, 0.279354, 0.37327, 0.52968", \ + "0.141311, 0.196239, 0.220593, 0.255496, 0.312534, 0.4071, 0.565092", \ + "0.154249, 0.218392, 0.246994, 0.28651, 0.345983, 0.442937, 0.603258" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0102406, 0.0523994, 0.0824639, 0.132968, 0.217815, 0.359499, 0.595818", \ + "0.0133119, 0.0535215, 0.0830378, 0.133139, 0.217897, 0.361717, 0.596498", \ + "0.0160524, 0.0550299, 0.083931, 0.133567, 0.218143, 0.361718, 0.596499", \ + "0.0208762, 0.0586849, 0.0860468, 0.134787, 0.218699, 0.361719, 0.5965", \ + "0.0292078, 0.0664725, 0.0918919, 0.138106, 0.220887, 0.36172, 0.59689", \ + "0.0425846, 0.080883, 0.10461, 0.147919, 0.227647, 0.366663, 0.6009", \ + "0.064081, 0.104464, 0.128348, 0.168637, 0.244034, 0.380673, 0.614121" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.103095, 0.150279, 0.172752, 0.206386, 0.257446, 0.339202, 0.474412", \ + "0.115581, 0.163062, 0.185753, 0.219048, 0.270479, 0.352441, 0.487386", \ + "0.124208, 0.172444, 0.195345, 0.228964, 0.280465, 0.362764, 0.497689", \ + "0.141732, 0.191148, 0.21451, 0.248471, 0.300454, 0.382955, 0.51829", \ + "0.179514, 0.231829, 0.256286, 0.291038, 0.343615, 0.426584, 0.561643", \ + "0.243223, 0.300711, 0.327515, 0.365699, 0.421202, 0.506175, 0.643568", \ + "0.337157, 0.402188, 0.43263, 0.475327, 0.537552, 0.63079, 0.776159" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0241342, 0.0628784, 0.0863397, 0.124155, 0.18833, 0.298481, 0.48579", \ + "0.0251471, 0.06334, 0.0864506, 0.12423, 0.18849, 0.29852, 0.486513", \ + "0.0266025, 0.0650169, 0.0877983, 0.125481, 0.189394, 0.299071, 0.486514", \ + "0.0297668, 0.0677494, 0.0906716, 0.127585, 0.191315, 0.300436, 0.486723", \ + "0.0364851, 0.0740788, 0.0963543, 0.132714, 0.194886, 0.302902, 0.488199", \ + "0.048762, 0.087427, 0.109875, 0.14687, 0.207536, 0.312484, 0.494421", \ + "0.068893, 0.10966, 0.133698, 0.171394, 0.234624, 0.340522, 0.520365" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0391069, 0.0747562, 0.0954839, 0.129458, 0.186246, 0.280489, 0.437644", \ + "0.0614223, 0.0984012, 0.119152, 0.153039, 0.209683, 0.30412, 0.461188", \ + "0.0744256, 0.113104, 0.133646, 0.1675, 0.224077, 0.318359, 0.475447", \ + "0.0915809, 0.133424, 0.153957, 0.187748, 0.243742, 0.33782, 0.494757", \ + "0.107903, 0.155309, 0.176931, 0.210548, 0.266692, 0.36053, 0.517507", \ + "0.117872, 0.17314, 0.197502, 0.233094, 0.289889, 0.384481, 0.542177", \ + "0.117873, 0.173141, 0.199833, 0.239884, 0.299061, 0.395933, 0.556433" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0097008, 0.0518861, 0.0820401, 0.132585, 0.217666, 0.359348, 0.595656", \ + "0.0129126, 0.053041, 0.0826845, 0.132862, 0.217716, 0.3612, 0.596723", \ + "0.0156113, 0.0546725, 0.0835569, 0.133206, 0.217919, 0.361201, 0.596724", \ + "0.0206576, 0.0583843, 0.0856983, 0.134516, 0.21854, 0.361202, 0.596725", \ + "0.0292989, 0.06663, 0.0916671, 0.138401, 0.220699, 0.361236, 0.596756", \ + "0.0430109, 0.081485, 0.105145, 0.148733, 0.227827, 0.366528, 0.600755", \ + "0.065263, 0.105725, 0.129861, 0.169939, 0.244387, 0.380711, 0.613948" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0964274, 0.14368, 0.166482, 0.199769, 0.250675, 0.332731, 0.467787", \ + "0.112952, 0.160524, 0.183233, 0.216525, 0.268012, 0.350129, 0.484906", \ + "0.127228, 0.175259, 0.198244, 0.231933, 0.283482, 0.36573, 0.500789", \ + "0.153076, 0.201968, 0.225566, 0.2593, 0.310989, 0.393565, 0.528865", \ + "0.201948, 0.253364, 0.277143, 0.311538, 0.36393, 0.446164, 0.581113", \ + "0.283393, 0.339495, 0.365586, 0.402281, 0.456359, 0.54065, 0.676613", \ + "0.409614, 0.472721, 0.502206, 0.543293, 0.603324, 0.692542, 0.83528" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0241175, 0.0628509, 0.0860866, 0.12414, 0.188378, 0.298417, 0.485804", \ + "0.025526, 0.0636023, 0.0866566, 0.124335, 0.188602, 0.298653, 0.486824", \ + "0.0273624, 0.0651671, 0.0880874, 0.125823, 0.189593, 0.299283, 0.486825", \ + "0.0309032, 0.0680535, 0.090987, 0.128141, 0.191446, 0.300642, 0.487024", \ + "0.0381918, 0.0738274, 0.0958616, 0.132055, 0.194757, 0.302846, 0.488487", \ + "0.050931, 0.08682, 0.10837, 0.143922, 0.204151, 0.310052, 0.492753", \ + "0.072048, 0.10925, 0.131739, 0.166914, 0.228348, 0.332368, 0.51302" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0360628, 0.0712715, 0.0919815, 0.12593, 0.182604, 0.27687, 0.43421", \ + "0.0566667, 0.0934472, 0.114033, 0.147964, 0.204568, 0.298897, 0.456051", \ + "0.0681487, 0.106628, 0.127186, 0.160817, 0.217266, 0.311477, 0.468416", \ + "0.0824003, 0.124285, 0.145015, 0.178442, 0.234633, 0.328492, 0.485282", \ + "0.0934708, 0.141056, 0.162994, 0.197005, 0.253042, 0.347205, 0.503876", \ + "0.0934718, 0.147636, 0.173101, 0.209058, 0.266212, 0.361591, 0.518641", \ + "0.0934728, 0.147637, 0.173102, 0.209059, 0.266213, 0.361592, 0.518642" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00923627, 0.0516097, 0.0818611, 0.13247, 0.217545, 0.359247, 0.595629", \ + "0.0125618, 0.052865, 0.0825301, 0.132745, 0.217562, 0.35941, 0.597329", \ + "0.0153794, 0.0545414, 0.0835169, 0.133142, 0.217832, 0.359411, 0.59733", \ + "0.0208838, 0.0585106, 0.0858251, 0.13452, 0.218453, 0.359774, 0.597331", \ + "0.0299524, 0.0675741, 0.0927756, 0.138939, 0.221106, 0.361506, 0.597332", \ + "0.0446653, 0.0834321, 0.107917, 0.150758, 0.229511, 0.368072, 0.601875", \ + "0.067797, 0.108599, 0.133579, 0.173948, 0.248323, 0.383803, 0.617257" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0850728, 0.132324, 0.154803, 0.188206, 0.23945, 0.321514, 0.456483", \ + "0.109026, 0.156145, 0.178909, 0.212191, 0.263522, 0.345708, 0.480662", \ + "0.128289, 0.175379, 0.198119, 0.23171, 0.283325, 0.365579, 0.50056", \ + "0.158756, 0.206434, 0.22946, 0.262739, 0.314513, 0.396896, 0.532013", \ + "0.214508, 0.264143, 0.287199, 0.32094, 0.372447, 0.454718, 0.589793", \ + "0.306908, 0.360878, 0.386099, 0.421331, 0.474734, 0.557487, 0.69238", \ + "0.454372, 0.515131, 0.543587, 0.582998, 0.640303, 0.727677, 0.867772" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0241303, 0.062767, 0.085755, 0.124096, 0.188407, 0.298545, 0.485852", \ + "0.0256818, 0.0636338, 0.0866979, 0.12443, 0.188605, 0.298739, 0.486325", \ + "0.0280931, 0.0653308, 0.0880952, 0.125863, 0.189778, 0.299353, 0.486395", \ + "0.0326292, 0.0680593, 0.09076, 0.127691, 0.191486, 0.300985, 0.487317", \ + "0.0407025, 0.0736836, 0.0950993, 0.131004, 0.193955, 0.302703, 0.488653", \ + "0.05471, 0.087173, 0.107475, 0.141618, 0.202544, 0.30846, 0.492347", \ + "0.077843, 0.11117, 0.131751, 0.164971, 0.223976, 0.327993, 0.50965" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0246532, 0.0242901, 0.0243585, 0.0242659, 0.0239074, 0.023214, 0.0219456", \ + "0.0273601, 0.0248279, 0.0246572, 0.0248342, 0.0241357, 0.0245168, 0.0226851", \ + "0.0307318, 0.0268399, 0.0266239, 0.0261308, 0.0261249, 0.0248958, 0.0234331", \ + "0.0381248, 0.0318292, 0.0310371, 0.0303899, 0.029639, 0.0278606, 0.0297087", \ + "0.0542188, 0.0440961, 0.0426021, 0.0412978, 0.0400537, 0.0390104, 0.0359126", \ + "0.0861676, 0.0706111, 0.0678922, 0.0655155, 0.0631954, 0.0614652, 0.059054", \ + "0.148413, 0.125994, 0.121542, 0.117315, 0.111989, 0.108798, 0.104693" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.039402, 0.0316372, 0.0312327, 0.0311786, 0.0306327, 0.028887, 0.0289173", \ + "0.0395853, 0.0313051, 0.0309088, 0.0309602, 0.0303899, 0.0294134, 0.0290583", \ + "0.0410319, 0.0321829, 0.0315626, 0.0310101, 0.0308558, 0.0297822, 0.0288077", \ + "0.04554, 0.0353901, 0.0348619, 0.0341664, 0.033589, 0.0322504, 0.0337956", \ + "0.0586483, 0.0456336, 0.0444627, 0.0432585, 0.0422803, 0.0417338, 0.0403475", \ + "0.0879145, 0.0705961, 0.0679113, 0.0658194, 0.0639084, 0.0628952, 0.0619704", \ + "0.145741, 0.122974, 0.117779, 0.113765, 0.109901, 0.108191, 0.105517" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0221801, 0.022196, 0.0223258, 0.0223948, 0.0220291, 0.0212946, 0.0200274", \ + "0.0246542, 0.0226356, 0.0226016, 0.0228205, 0.0222044, 0.0225439, 0.0207259", \ + "0.0278831, 0.0243575, 0.0242182, 0.0239201, 0.0238627, 0.0228947, 0.02188", \ + "0.035154, 0.0290539, 0.0282885, 0.0279382, 0.0273612, 0.0258875, 0.0276674", \ + "0.0501926, 0.0402979, 0.0389778, 0.0375807, 0.036541, 0.0357227, 0.0326372", \ + "0.0791783, 0.0637009, 0.0611115, 0.0588181, 0.0568564, 0.0552317, 0.0541299", \ + "0.13506, 0.112467, 0.108096, 0.104504, 0.100038, 0.0973747, 0.0940083" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.039746, 0.031995, 0.0312775, 0.0316121, 0.0309202, 0.0293701, 0.0290988", \ + "0.0402222, 0.0317238, 0.0313057, 0.0313427, 0.0310497, 0.0297581, 0.0293759", \ + "0.0421647, 0.0330193, 0.0322489, 0.0315374, 0.0314504, 0.0303663, 0.0313184", \ + "0.0473133, 0.0365789, 0.0357422, 0.0349927, 0.0342661, 0.0327886, 0.0344816", \ + "0.0602381, 0.0466888, 0.0453259, 0.0439274, 0.042994, 0.0423913, 0.0402386", \ + "0.0876472, 0.0697479, 0.0666364, 0.0649288, 0.0631855, 0.0614772, 0.0609564", \ + "0.140614, 0.117375, 0.112397, 0.108713, 0.105339, 0.102131, 0.100507" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0213371, 0.0216768, 0.0217938, 0.0217277, 0.021527, 0.0206564, 0.0195268", \ + "0.0239382, 0.0221667, 0.0221454, 0.0221986, 0.0216248, 0.0219246, 0.0204113", \ + "0.0270505, 0.0238576, 0.0236636, 0.0233272, 0.0233593, 0.0222555, 0.0218394", \ + "0.0340689, 0.0282452, 0.0275684, 0.027291, 0.0264968, 0.0254403, 0.0268687", \ + "0.0483862, 0.0384083, 0.0370004, 0.0361379, 0.0351597, 0.0340816, 0.0329364", \ + "0.0756636, 0.0598304, 0.0575014, 0.0557774, 0.0537122, 0.0521049, 0.0512515", \ + "0.127835, 0.10483, 0.10053, 0.0969229, 0.0924111, 0.0900972, 0.0870509" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0363068, 0.0285742, 0.0283292, 0.0281866, 0.0268065, 0.0266025, 0.0254322", \ + "0.0372695, 0.0285386, 0.0280834, 0.0281241, 0.0276393, 0.0266264, 0.026455", \ + "0.039929, 0.0301497, 0.0293556, 0.0288371, 0.0286964, 0.0274842, 0.0280811", \ + "0.0457892, 0.0343402, 0.0335564, 0.0327648, 0.0317316, 0.030354, 0.0299955", \ + "0.0582791, 0.0439502, 0.0429091, 0.0414913, 0.0407267, 0.039123, 0.0361769", \ + "0.0846573, 0.0655593, 0.0623695, 0.0602696, 0.0583101, 0.0572365, 0.055994", \ + "0.134611, 0.109603, 0.104533, 0.100399, 0.0970877, 0.0937833, 0.0921825" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0207385, 0.0215043, 0.0215382, 0.0214851, 0.0212005, 0.0203366, 0.0193782", \ + "0.0233387, 0.0219111, 0.0218927, 0.022297, 0.0213988, 0.0207312, 0.0209055", \ + "0.0265844, 0.0234871, 0.0234557, 0.0230014, 0.0228917, 0.021905, 0.0217853", \ + "0.0334912, 0.0275945, 0.0271507, 0.0266798, 0.026081, 0.0246891, 0.025783", \ + "0.0476204, 0.0375338, 0.0363914, 0.0354485, 0.0345368, 0.0340625, 0.0320034", \ + "0.0749683, 0.0588333, 0.0569557, 0.0548181, 0.0531108, 0.0519246, 0.0502504", \ + "0.12657, 0.102859, 0.0985618, 0.0951856, 0.0912498, 0.0889997, 0.0868678" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0324077, 0.0247035, 0.0241364, 0.0240295, 0.0236177, 0.0228313, 0.0214966", \ + "0.0343488, 0.0254262, 0.0249652, 0.0250263, 0.0247067, 0.0236382, 0.02272", \ + "0.0378058, 0.0278837, 0.0268863, 0.0263569, 0.0262671, 0.0250084, 0.0253991", \ + "0.0444735, 0.0323801, 0.0314238, 0.0303822, 0.0296108, 0.0284275, 0.0291783", \ + "0.0577621, 0.0426427, 0.0407553, 0.0393121, 0.0380902, 0.0372161, 0.0338414", \ + "0.0840534, 0.0643762, 0.0604462, 0.057819, 0.0567825, 0.0546963, 0.0532728", \ + "0.134892, 0.108401, 0.102744, 0.0984846, 0.094442, 0.0916929, 0.0891641" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00295964; + rise_capacitance : 0.00283147; + rise_capacitance_range (0.00283147, 0.00283147); + fall_capacitance : 0.00308781; + fall_capacitance_range (0.00308781, 0.00308781); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00199366, -0.00203254, -0.00204523, -0.00205612, -0.00206914, -0.00208, -0.00209248" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00383024, 0.00380733, 0.0038259, 0.00380561, 0.00385501, 0.00384194, 0.00381243" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00199366, -0.00203254, -0.00204523, -0.00205612, -0.00206914, -0.00208, -0.00209248" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00383024, 0.00380733, 0.0038259, 0.00380561, 0.00385501, 0.00384194, 0.00381243" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00291862; + rise_capacitance : 0.00283403; + rise_capacitance_range (0.00283403, 0.00283403); + fall_capacitance : 0.00300321; + fall_capacitance_range (0.00300321, 0.00300321); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.16193e-05, -5.15913e-05, -4.5371e-05, -4.6667e-05, -5.21298e-05, -4.77682e-05, -5.23599e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.16193e-05, 5.15913e-05, 4.5371e-05, 4.6667e-05, 5.21298e-05, 4.77682e-05, 5.23599e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.16193e-05, -5.15913e-05, -4.5371e-05, -4.6667e-05, -5.21298e-05, -4.77682e-05, -5.23599e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.16193e-05, 5.15913e-05, 4.5371e-05, 4.6667e-05, 5.21298e-05, 4.77682e-05, 5.23599e-05" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00238147; + rise_capacitance : 0.00238383; + rise_capacitance_range (0.00238383, 0.00238383); + fall_capacitance : 0.00237912; + fall_capacitance_range (0.00237912, 0.00237912); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00240782; + rise_capacitance : 0.00246827; + rise_capacitance_range (0.00246827, 0.00246827); + fall_capacitance : 0.00234738; + fall_capacitance_range (0.00234738, 0.00234738); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_sdfbbp_1) { + area : 63.504; + cell_footprint : "sdfrrs"; + cell_leakage_power : 6701.82; + leakage_power () { + value : 6313.58; + when : "!CLK&!D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6628.29; + when : "!CLK&!D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 5790.42; + when : "!CLK&!D&RESET_B&!SCD&!SCE&!SET_B&Q&!Q_N"; + } + leakage_power () { + value : 6884.64; + when : "!CLK&!D&!RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 5266.21; + when : "!CLK&!D&!RESET_B&!SCD&!SCE&!SET_B&Q&!Q_N"; + } + leakage_power () { + value : 6372.38; + when : "CLK&!D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6417.24; + when : "CLK&!D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 6950.84; + when : "!CLK&D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 7205.4; + when : "!CLK&D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 7370.34; + when : "!CLK&D&RESET_B&SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6693.58; + when : "CLK&D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6692.15; + when : "CLK&D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 7588.7; + when : "CLK&D&RESET_B&SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6250.35; + when : "!CLK&!D&RESET_B&!SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6568.28; + when : "!CLK&!D&RESET_B&!SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 6967.05; + when : "!CLK&!D&RESET_B&SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 7221.8; + when : "!CLK&!D&RESET_B&SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 6310.31; + when : "CLK&!D&RESET_B&!SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 7114.22; + when : "CLK&!D&RESET_B&!SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 6710.83; + when : "CLK&!D&RESET_B&SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 7421.6; + when : "CLK&!D&RESET_B&SCD&SCE&SET_B&Q&!Q_N"; + } + pin (Q) { + direction : output; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + sdf_cond : "SCE == 1'b1"; + timing_sense : non_unate; + timing_type : rising_edge; + when : "SCE"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.143259, 0.171788, 0.19221, 0.226039, 0.282804, 0.377322, 0.534598", \ + "0.162399, 0.191116, 0.211494, 0.245313, 0.301978, 0.396482, 0.554257", \ + "0.174608, 0.203322, 0.223713, 0.257592, 0.314287, 0.408711, 0.566037", \ + "0.192322, 0.221062, 0.241426, 0.27531, 0.331981, 0.426376, 0.583709", \ + "0.21415, 0.242924, 0.263325, 0.297125, 0.353866, 0.448274, 0.605569", \ + "0.23999, 0.26877, 0.289152, 0.323014, 0.379696, 0.474133, 0.631525", \ + "0.270569, 0.299354, 0.319745, 0.353555, 0.410263, 0.50476, 0.662098" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0104713, 0.0509084, 0.0814013, 0.132139, 0.217199, 0.359085, 0.595579", \ + "0.0104723, 0.0509121, 0.0814023, 0.13214, 0.217223, 0.359384, 0.595971", \ + "0.0104733, 0.0509244, 0.0814033, 0.132141, 0.217224, 0.359385, 0.595972", \ + "0.0104743, 0.0509254, 0.0814071, 0.132146, 0.217225, 0.359386, 0.595973", \ + "0.0104753, 0.0509264, 0.0814081, 0.132147, 0.217226, 0.359387, 0.595974", \ + "0.0104763, 0.0509274, 0.0814091, 0.13215, 0.217227, 0.359388, 0.595975", \ + "0.0104773, 0.0509284, 0.0814101, 0.132154, 0.217228, 0.359389, 0.595976" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.120632, 0.147152, 0.164653, 0.193526, 0.241763, 0.322133, 0.45609", \ + "0.13953, 0.166079, 0.183569, 0.212395, 0.260678, 0.341088, 0.474934", \ + "0.151875, 0.178456, 0.195938, 0.224773, 0.273052, 0.353373, 0.487222", \ + "0.170543, 0.197082, 0.214586, 0.243415, 0.291677, 0.37198, 0.50585", \ + "0.194245, 0.220783, 0.238241, 0.267107, 0.315376, 0.395731, 0.52965", \ + "0.223862, 0.250337, 0.26783, 0.296699, 0.344901, 0.425287, 0.559233", \ + "0.26003, 0.286439, 0.303925, 0.332726, 0.381012, 0.461321, 0.59526" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0088118, 0.0414482, 0.0654787, 0.105773, 0.173529, 0.2865, 0.475067", \ + "0.0088288, 0.0414492, 0.0654797, 0.105774, 0.173609, 0.287383, 0.47509", \ + "0.0088298, 0.0414502, 0.0654807, 0.105809, 0.17361, 0.287384, 0.475091", \ + "0.0088422, 0.0414512, 0.0654817, 0.10581, 0.173611, 0.287385, 0.475092", \ + "0.0088631, 0.0414522, 0.0654827, 0.105811, 0.173612, 0.287386, 0.475093", \ + "0.008898, 0.0414532, 0.0654837, 0.105812, 0.173613, 0.287387, 0.475094", \ + "0.008977, 0.0414542, 0.0654847, 0.105813, 0.173614, 0.287388, 0.475095" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.143259, 0.171788, 0.19221, 0.226039, 0.282804, 0.377322, 0.534598", \ + "0.162399, 0.191116, 0.211494, 0.245313, 0.301978, 0.396482, 0.554257", \ + "0.174608, 0.203322, 0.223713, 0.257592, 0.314287, 0.408711, 0.566037", \ + "0.192322, 0.221062, 0.241426, 0.27531, 0.331981, 0.426376, 0.583709", \ + "0.21415, 0.242924, 0.263325, 0.297125, 0.353866, 0.448274, 0.605569", \ + "0.23999, 0.26877, 0.289152, 0.323014, 0.379696, 0.474133, 0.631525", \ + "0.270569, 0.299354, 0.319745, 0.353555, 0.410263, 0.50476, 0.662098" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0104713, 0.0509084, 0.0814013, 0.132139, 0.217199, 0.359085, 0.595579", \ + "0.0104723, 0.0509121, 0.0814023, 0.13214, 0.217223, 0.359384, 0.595971", \ + "0.0104733, 0.0509244, 0.0814033, 0.132141, 0.217224, 0.359385, 0.595972", \ + "0.0104743, 0.0509254, 0.0814071, 0.132146, 0.217225, 0.359386, 0.595973", \ + "0.0104753, 0.0509264, 0.0814081, 0.132147, 0.217226, 0.359387, 0.595974", \ + "0.0104763, 0.0509274, 0.0814091, 0.13215, 0.217227, 0.359388, 0.595975", \ + "0.0104773, 0.0509284, 0.0814101, 0.132154, 0.217228, 0.359389, 0.595976" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.120632, 0.147152, 0.164653, 0.193526, 0.241763, 0.322133, 0.45609", \ + "0.13953, 0.166079, 0.183569, 0.212395, 0.260678, 0.341088, 0.474934", \ + "0.151875, 0.178456, 0.195938, 0.224773, 0.273052, 0.353373, 0.487222", \ + "0.170543, 0.197082, 0.214586, 0.243415, 0.291677, 0.37198, 0.50585", \ + "0.194245, 0.220783, 0.238241, 0.267107, 0.315376, 0.395731, 0.52965", \ + "0.223862, 0.250337, 0.26783, 0.296699, 0.344901, 0.425287, 0.559233", \ + "0.26003, 0.286439, 0.303925, 0.332726, 0.381012, 0.461321, 0.59526" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0088118, 0.0414482, 0.0654787, 0.105773, 0.173529, 0.2865, 0.475067", \ + "0.0088288, 0.0414492, 0.0654797, 0.105774, 0.173609, 0.287383, 0.47509", \ + "0.0088298, 0.0414502, 0.0654807, 0.105809, 0.17361, 0.287384, 0.475091", \ + "0.0088422, 0.0414512, 0.0654817, 0.10581, 0.173611, 0.287385, 0.475092", \ + "0.0088631, 0.0414522, 0.0654827, 0.105811, 0.173612, 0.287386, 0.475093", \ + "0.008898, 0.0414532, 0.0654837, 0.105812, 0.173613, 0.287387, 0.475094", \ + "0.008977, 0.0414542, 0.0654847, 0.105813, 0.173614, 0.287388, 0.475095" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.100579, 0.127119, 0.144611, 0.173469, 0.221735, 0.302111, 0.435927", \ + "0.124787, 0.151288, 0.16875, 0.197572, 0.245792, 0.326119, 0.459991", \ + "0.139407, 0.165859, 0.183359, 0.212221, 0.260433, 0.340804, 0.474664", \ + "0.15975, 0.186131, 0.203609, 0.232459, 0.280622, 0.360927, 0.494739", \ + "0.1914, 0.217535, 0.234974, 0.263773, 0.311978, 0.392336, 0.526125", \ + "0.237569, 0.263213, 0.280612, 0.309374, 0.357618, 0.437955, 0.571788", \ + "0.293463, 0.318401, 0.335764, 0.364397, 0.412564, 0.492953, 0.626915" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0090872, 0.0415326, 0.0655187, 0.105842, 0.173557, 0.286599, 0.474813", \ + "0.0091071, 0.0415336, 0.0655359, 0.105843, 0.173667, 0.2866, 0.47522", \ + "0.0091572, 0.0415346, 0.0655485, 0.105844, 0.173668, 0.286601, 0.475221", \ + "0.0092337, 0.0415352, 0.0655634, 0.105852, 0.173669, 0.286602, 0.475222", \ + "0.0094589, 0.041602, 0.0655663, 0.105931, 0.17367, 0.286603, 0.475223", \ + "0.009957, 0.041755, 0.065648, 0.105933, 0.173671, 0.286604, 0.475224", \ + "0.01087, 0.042049, 0.065883, 0.106002, 0.173672, 0.286618, 0.475225" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0601562, 0.0901685, 0.110737, 0.144636, 0.201413, 0.29588, 0.45321", \ + "0.0856128, 0.114945, 0.135398, 0.169254, 0.225949, 0.320389, 0.477731", \ + "0.101261, 0.129957, 0.150339, 0.184185, 0.240847, 0.335269, 0.49263", \ + "0.122709, 0.150501, 0.170696, 0.204362, 0.260856, 0.355362, 0.512605", \ + "0.155869, 0.182323, 0.202054, 0.235534, 0.291959, 0.386234, 0.543572", \ + "0.2039, 0.228447, 0.247874, 0.280847, 0.336697, 0.430782, 0.587988", \ + "0.261541, 0.283316, 0.302136, 0.334565, 0.389562, 0.483433, 0.640589" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00915656, 0.0508221, 0.0813567, 0.132087, 0.217266, 0.359075, 0.595553", \ + "0.0097029, 0.0508231, 0.0813577, 0.132088, 0.217267, 0.360052, 0.595596", \ + "0.0103174, 0.0509025, 0.0813728, 0.132089, 0.217268, 0.360053, 0.595597", \ + "0.0112959, 0.0510834, 0.0814218, 0.132101, 0.217269, 0.360054, 0.595598", \ + "0.0126828, 0.0513877, 0.08155, 0.132145, 0.21727, 0.360055, 0.595599", \ + "0.014413, 0.051792, 0.081638, 0.132188, 0.217271, 0.360056, 0.5956", \ + "0.01609, 0.052156, 0.081806, 0.132238, 0.217272, 0.360057, 0.595849" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "SCE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0341428, 0.0337541, 0.0337911, 0.0337697, 0.0337054, 0.0334841, 0.0329041", \ + "0.0352208, 0.0348666, 0.0349742, 0.0349307, 0.0351562, 0.0347196, 0.0342066", \ + "0.0369332, 0.0366448, 0.0366699, 0.0366048, 0.0367475, 0.0363196, 0.0356485", \ + "0.0407976, 0.040518, 0.0405917, 0.0406303, 0.0405519, 0.0403315, 0.0400458", \ + "0.0492287, 0.0489624, 0.0489759, 0.0490751, 0.0491328, 0.0490822, 0.0484702", \ + "0.0665179, 0.0661505, 0.0661725, 0.0662833, 0.06614, 0.0663614, 0.0663189", \ + "0.101341, 0.10103, 0.10113, 0.101086, 0.100824, 0.101018, 0.10073" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0330354, 0.0331269, 0.033132, 0.0330629, 0.0328241, 0.0323681, 0.0315628", \ + "0.0340519, 0.034129, 0.0341833, 0.0344198, 0.0339946, 0.0336582, 0.032715", \ + "0.0356973, 0.0358781, 0.0359337, 0.035817, 0.0356997, 0.0359535, 0.0343393", \ + "0.0397963, 0.0399553, 0.0399899, 0.040112, 0.0399806, 0.0393017, 0.0397144", \ + "0.0486005, 0.0486871, 0.0487526, 0.0487512, 0.0488677, 0.0489427, 0.0479518", \ + "0.0669759, 0.0669955, 0.0669127, 0.0669177, 0.067053, 0.0670005, 0.0671091", \ + "0.104056, 0.10395, 0.103944, 0.103952, 0.103722, 0.103535, 0.103534" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0341428, 0.0337541, 0.0337911, 0.0337697, 0.0337054, 0.0334841, 0.0329041", \ + "0.0352208, 0.0348666, 0.0349742, 0.0349307, 0.0351562, 0.0347196, 0.0342066", \ + "0.0369332, 0.0366448, 0.0366699, 0.0366048, 0.0367475, 0.0363196, 0.0356485", \ + "0.0407976, 0.040518, 0.0405917, 0.0406303, 0.0405519, 0.0403315, 0.0400458", \ + "0.0492287, 0.0489624, 0.0489759, 0.0490751, 0.0491328, 0.0490822, 0.0484702", \ + "0.0665179, 0.0661505, 0.0661725, 0.0662833, 0.06614, 0.0663614, 0.0663189", \ + "0.101341, 0.10103, 0.10113, 0.101086, 0.100824, 0.101018, 0.10073" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0330354, 0.0331269, 0.033132, 0.0330629, 0.0328241, 0.0323681, 0.0315628", \ + "0.0340519, 0.034129, 0.0341833, 0.0344198, 0.0339946, 0.0336582, 0.032715", \ + "0.0356973, 0.0358781, 0.0359337, 0.035817, 0.0356997, 0.0359535, 0.0343393", \ + "0.0397963, 0.0399553, 0.0399899, 0.040112, 0.0399806, 0.0393017, 0.0397144", \ + "0.0486005, 0.0486871, 0.0487526, 0.0487512, 0.0488677, 0.0489427, 0.0479518", \ + "0.0669759, 0.0669955, 0.0669127, 0.0669177, 0.067053, 0.0670005, 0.0671091", \ + "0.104056, 0.10395, 0.103944, 0.103952, 0.103722, 0.103535, 0.103534" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0705878, 0.101081, 0.122331, 0.157315, 0.21564, 0.312815, 0.474534", \ + "0.0714891, 0.101873, 0.123141, 0.158595, 0.21655, 0.313644, 0.475653", \ + "0.0735089, 0.10396, 0.125235, 0.159977, 0.218917, 0.315921, 0.48063", \ + "0.0780113, 0.108075, 0.129614, 0.164867, 0.222974, 0.320142, 0.485108", \ + "0.087983, 0.117528, 0.138919, 0.173988, 0.232955, 0.330686, 0.491935", \ + "0.110087, 0.138833, 0.16003, 0.1947, 0.253753, 0.351588, 0.514891", \ + "0.155327, 0.182804, 0.203681, 0.238373, 0.297011, 0.393906, 0.5576" \ + ); + } + } + internal_power () { + related_pin : "SET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0616838, 0.0930429, 0.114424, 0.14948, 0.208218, 0.305584, 0.467926", \ + "0.0647183, 0.0948795, 0.116186, 0.151498, 0.209946, 0.308147, 0.470017", \ + "0.0703694, 0.0997991, 0.121076, 0.156066, 0.215163, 0.312116, 0.474321", \ + "0.0823734, 0.110376, 0.131518, 0.16656, 0.224929, 0.322775, 0.484722", \ + "0.107253, 0.133055, 0.153792, 0.188508, 0.247201, 0.344629, 0.506959", \ + "0.154992, 0.178187, 0.198335, 0.232341, 0.290415, 0.387952, 0.550714", \ + "0.244506, 0.264584, 0.28377, 0.317269, 0.374253, 0.471101, 0.634001" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (Q_N) { + direction : output; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + sdf_cond : "SCE == 1'b1"; + timing_sense : non_unate; + timing_type : rising_edge; + when : "SCE"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.100125, 0.139359, 0.160905, 0.195389, 0.252586, 0.347735, 0.50615", \ + "0.119015, 0.158252, 0.179821, 0.214271, 0.271474, 0.36659, 0.525056", \ + "0.131391, 0.170658, 0.192196, 0.226676, 0.283882, 0.378977, 0.537461", \ + "0.149995, 0.189236, 0.210767, 0.245263, 0.302465, 0.397582, 0.555971", \ + "0.173603, 0.212917, 0.234479, 0.268978, 0.326169, 0.421247, 0.579753", \ + "0.203237, 0.242645, 0.264231, 0.298705, 0.355947, 0.451046, 0.609564", \ + "0.238865, 0.278559, 0.3001, 0.33463, 0.391884, 0.487006, 0.645529" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0132528, 0.0555197, 0.0846064, 0.134387, 0.219396, 0.362001, 0.600134", \ + "0.0132538, 0.0555207, 0.0846342, 0.134388, 0.219397, 0.362002, 0.600242", \ + "0.0132681, 0.0555212, 0.0846505, 0.134389, 0.219398, 0.362003, 0.600243", \ + "0.0133463, 0.0555605, 0.0846515, 0.134394, 0.219399, 0.362004, 0.600244", \ + "0.0134365, 0.0556403, 0.0847069, 0.134425, 0.2194, 0.362005, 0.600245", \ + "0.013722, 0.05577, 0.084793, 0.134472, 0.219421, 0.362006, 0.600246", \ + "0.014163, 0.056015, 0.08497, 0.134554, 0.219464, 0.362051, 0.600247" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.120724, 0.161034, 0.180968, 0.21133, 0.260445, 0.341513, 0.476448", \ + "0.139801, 0.180075, 0.199996, 0.230384, 0.27944, 0.360552, 0.49556", \ + "0.152038, 0.192351, 0.212263, 0.242694, 0.29174, 0.372819, 0.507796", \ + "0.169728, 0.210041, 0.229972, 0.26034, 0.309463, 0.390502, 0.525421", \ + "0.191568, 0.231907, 0.251796, 0.282196, 0.331309, 0.412382, 0.547309", \ + "0.217406, 0.257736, 0.27765, 0.308024, 0.357152, 0.438247, 0.573272", \ + "0.247977, 0.288297, 0.308225, 0.338601, 0.387741, 0.468843, 0.603786" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151882, 0.0508394, 0.0730559, 0.111099, 0.177393, 0.290222, 0.479757", \ + "0.0152341, 0.0508458, 0.0730569, 0.11111, 0.177394, 0.290535, 0.479799", \ + "0.0152351, 0.0508468, 0.0730579, 0.111111, 0.177395, 0.290536, 0.4798", \ + "0.0152361, 0.0508478, 0.0730913, 0.111112, 0.177396, 0.290537, 0.479801", \ + "0.0152402, 0.0508488, 0.0730923, 0.111114, 0.177427, 0.290538, 0.479802", \ + "0.015259, 0.050851, 0.0730933, 0.111115, 0.177428, 0.290539, 0.479803", \ + "0.015286, 0.050852, 0.0730943, 0.111122, 0.177429, 0.29054, 0.479882" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.100125, 0.139359, 0.160905, 0.195389, 0.252586, 0.347735, 0.50615", \ + "0.119015, 0.158252, 0.179821, 0.214271, 0.271474, 0.36659, 0.525056", \ + "0.131391, 0.170658, 0.192196, 0.226676, 0.283882, 0.378977, 0.537461", \ + "0.149995, 0.189236, 0.210767, 0.245263, 0.302465, 0.397582, 0.555971", \ + "0.173603, 0.212917, 0.234479, 0.268978, 0.326169, 0.421247, 0.579753", \ + "0.203237, 0.242645, 0.264231, 0.298705, 0.355947, 0.451046, 0.609564", \ + "0.238865, 0.278559, 0.3001, 0.33463, 0.391884, 0.487006, 0.645529" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0132528, 0.0555197, 0.0846064, 0.134387, 0.219396, 0.362001, 0.600134", \ + "0.0132538, 0.0555207, 0.0846342, 0.134388, 0.219397, 0.362002, 0.600242", \ + "0.0132681, 0.0555212, 0.0846505, 0.134389, 0.219398, 0.362003, 0.600243", \ + "0.0133463, 0.0555605, 0.0846515, 0.134394, 0.219399, 0.362004, 0.600244", \ + "0.0134365, 0.0556403, 0.0847069, 0.134425, 0.2194, 0.362005, 0.600245", \ + "0.013722, 0.05577, 0.084793, 0.134472, 0.219421, 0.362006, 0.600246", \ + "0.014163, 0.056015, 0.08497, 0.134554, 0.219464, 0.362051, 0.600247" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.120724, 0.161034, 0.180968, 0.21133, 0.260445, 0.341513, 0.476448", \ + "0.139801, 0.180075, 0.199996, 0.230384, 0.27944, 0.360552, 0.49556", \ + "0.152038, 0.192351, 0.212263, 0.242694, 0.29174, 0.372819, 0.507796", \ + "0.169728, 0.210041, 0.229972, 0.26034, 0.309463, 0.390502, 0.525421", \ + "0.191568, 0.231907, 0.251796, 0.282196, 0.331309, 0.412382, 0.547309", \ + "0.217406, 0.257736, 0.27765, 0.308024, 0.357152, 0.438247, 0.573272", \ + "0.247977, 0.288297, 0.308225, 0.338601, 0.387741, 0.468843, 0.603786" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151882, 0.0508394, 0.0730559, 0.111099, 0.177393, 0.290222, 0.479757", \ + "0.0152341, 0.0508458, 0.0730569, 0.11111, 0.177394, 0.290535, 0.479799", \ + "0.0152351, 0.0508468, 0.0730579, 0.111111, 0.177395, 0.290536, 0.4798", \ + "0.0152361, 0.0508478, 0.0730913, 0.111112, 0.177396, 0.290537, 0.479801", \ + "0.0152402, 0.0508488, 0.0730923, 0.111114, 0.177427, 0.290538, 0.479802", \ + "0.015259, 0.050851, 0.0730933, 0.111115, 0.177428, 0.290539, 0.479803", \ + "0.015286, 0.050852, 0.0730943, 0.111122, 0.177429, 0.29054, 0.479882" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.079399, 0.120624, 0.143213, 0.17883, 0.236483, 0.331721, 0.490195", \ + "0.103569, 0.144818, 0.167361, 0.202899, 0.26062, 0.355868, 0.514309", \ + "0.118085, 0.159439, 0.181975, 0.217505, 0.275236, 0.370425, 0.528927", \ + "0.138191, 0.179783, 0.202314, 0.237815, 0.295513, 0.390662, 0.549094", \ + "0.168984, 0.211345, 0.233905, 0.269361, 0.327018, 0.422193, 0.580599", \ + "0.213971, 0.258101, 0.280772, 0.316209, 0.373786, 0.468913, 0.627357", \ + "0.267517, 0.315018, 0.337865, 0.373217, 0.430704, 0.525767, 0.684134" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0138851, 0.0578571, 0.0873859, 0.136783, 0.220735, 0.362265, 0.59982", \ + "0.0140593, 0.0579699, 0.0874165, 0.136784, 0.220736, 0.363548, 0.599821", \ + "0.0143447, 0.0581193, 0.0874987, 0.136785, 0.220737, 0.363549, 0.603796", \ + "0.014909, 0.0583738, 0.0876602, 0.136822, 0.220738, 0.36355, 0.603797", \ + "0.0161738, 0.0591196, 0.0880414, 0.136964, 0.220762, 0.363551, 0.603798", \ + "0.018545, 0.060643, 0.088931, 0.137338, 0.220885, 0.363552, 0.603799", \ + "0.022406, 0.063706, 0.090705, 0.138057, 0.221222, 0.363553, 0.6038" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0410487, 0.0756723, 0.0944788, 0.12409, 0.172751, 0.253749, 0.388627", \ + "0.0648163, 0.101409, 0.120282, 0.149831, 0.198482, 0.279395, 0.414426", \ + "0.0788046, 0.117532, 0.136507, 0.165985, 0.214628, 0.295533, 0.430389", \ + "0.0976761, 0.139661, 0.158652, 0.188071, 0.236583, 0.317446, 0.452339", \ + "0.127612, 0.173515, 0.192502, 0.221689, 0.269928, 0.350738, 0.485496", \ + "0.170936, 0.220973, 0.239686, 0.26853, 0.316337, 0.396565, 0.531176", \ + "0.223867, 0.276544, 0.294709, 0.323079, 0.370404, 0.449344, 0.584246" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0106786, 0.0454706, 0.0686212, 0.107841, 0.175084, 0.288479, 0.478238", \ + "0.0135888, 0.0468273, 0.0691945, 0.107936, 0.175085, 0.28848, 0.478421", \ + "0.0162424, 0.0484797, 0.0700357, 0.108252, 0.175086, 0.288549, 0.478422", \ + "0.0203581, 0.0512547, 0.0714148, 0.108717, 0.17524, 0.28855, 0.478423", \ + "0.0263825, 0.0550654, 0.0734231, 0.109366, 0.175428, 0.288685, 0.478436", \ + "0.035027, 0.059933, 0.075914, 0.110285, 0.175651, 0.288756, 0.478718", \ + "0.046916, 0.06484, 0.078593, 0.111283, 0.17596, 0.288912, 0.478805" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "SCE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0326703, 0.0328504, 0.0329018, 0.0328916, 0.032796, 0.0325221, 0.0321153", \ + "0.033663, 0.0338262, 0.0339489, 0.0340809, 0.0338001, 0.033556, 0.03317", \ + "0.0353602, 0.0355862, 0.0356492, 0.0356041, 0.035751, 0.0354175, 0.0348388", \ + "0.0395174, 0.0397248, 0.039819, 0.0398533, 0.0397653, 0.0395373, 0.039272", \ + "0.0483016, 0.0484752, 0.0484985, 0.0486243, 0.0486727, 0.0486312, 0.0480554", \ + "0.0666386, 0.0667467, 0.0667264, 0.0667993, 0.0667424, 0.0668401, 0.0667544", \ + "0.103707, 0.103771, 0.103714, 0.103813, 0.103606, 0.103691, 0.1035" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0341362, 0.0337786, 0.0337383, 0.0336707, 0.0334736, 0.0329889, 0.0320396", \ + "0.0352092, 0.0348042, 0.0348479, 0.0351456, 0.0344771, 0.0341448, 0.0331498", \ + "0.036921, 0.0366187, 0.0366534, 0.0364004, 0.0364143, 0.0363161, 0.0355178", \ + "0.0407809, 0.0404943, 0.0405601, 0.0406001, 0.0404378, 0.0397898, 0.0398926", \ + "0.0492152, 0.0488765, 0.0489454, 0.0490038, 0.0490236, 0.0490412, 0.0482265", \ + "0.0665081, 0.0661366, 0.0660792, 0.0660462, 0.0661183, 0.0661773, 0.0661665", \ + "0.101223, 0.100831, 0.100936, 0.100922, 0.100562, 0.100478, 0.100422" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0326703, 0.0328504, 0.0329018, 0.0328916, 0.032796, 0.0325221, 0.0321153", \ + "0.033663, 0.0338262, 0.0339489, 0.0340809, 0.0338001, 0.033556, 0.03317", \ + "0.0353602, 0.0355862, 0.0356492, 0.0356041, 0.035751, 0.0354175, 0.0348388", \ + "0.0395174, 0.0397248, 0.039819, 0.0398533, 0.0397653, 0.0395373, 0.039272", \ + "0.0483016, 0.0484752, 0.0484985, 0.0486243, 0.0486727, 0.0486312, 0.0480554", \ + "0.0666386, 0.0667467, 0.0667264, 0.0667993, 0.0667424, 0.0668401, 0.0667544", \ + "0.103707, 0.103771, 0.103714, 0.103813, 0.103606, 0.103691, 0.1035" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0341362, 0.0337786, 0.0337383, 0.0336707, 0.0334736, 0.0329889, 0.0320396", \ + "0.0352092, 0.0348042, 0.0348479, 0.0351456, 0.0344771, 0.0341448, 0.0331498", \ + "0.036921, 0.0366187, 0.0366534, 0.0364004, 0.0364143, 0.0363161, 0.0355178", \ + "0.0407809, 0.0404943, 0.0405601, 0.0406001, 0.0404378, 0.0397898, 0.0398926", \ + "0.0492152, 0.0488765, 0.0489454, 0.0490038, 0.0490236, 0.0490412, 0.0482265", \ + "0.0665081, 0.0661366, 0.0660792, 0.0660462, 0.0661183, 0.0661773, 0.0661665", \ + "0.101223, 0.100831, 0.100936, 0.100922, 0.100562, 0.100478, 0.100422" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0705489, 0.101183, 0.122608, 0.157764, 0.216375, 0.313924, 0.476331", \ + "0.0714433, 0.102023, 0.12341, 0.158962, 0.217149, 0.315655, 0.477106", \ + "0.0734609, 0.104129, 0.12534, 0.160416, 0.219295, 0.316768, 0.481832", \ + "0.0779579, 0.108235, 0.129667, 0.164975, 0.223468, 0.321312, 0.483673", \ + "0.0880987, 0.117918, 0.139341, 0.174436, 0.233239, 0.331043, 0.493166", \ + "0.109988, 0.139077, 0.160264, 0.195217, 0.254041, 0.351786, 0.514884", \ + "0.155315, 0.183148, 0.20405, 0.238993, 0.29737, 0.395228, 0.558015" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "SET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0616207, 0.0928861, 0.114063, 0.148998, 0.207207, 0.304315, 0.466025", \ + "0.0646104, 0.0948108, 0.11593, 0.151211, 0.210081, 0.306328, 0.468126", \ + "0.0703192, 0.099807, 0.121115, 0.155598, 0.214327, 0.312191, 0.473672", \ + "0.0822909, 0.110339, 0.131424, 0.166544, 0.224919, 0.321984, 0.486976", \ + "0.106939, 0.132769, 0.153507, 0.188371, 0.247005, 0.34477, 0.505306", \ + "0.154952, 0.177938, 0.198112, 0.232266, 0.290246, 0.387392, 0.550971", \ + "0.244447, 0.264243, 0.283313, 0.316829, 0.374584, 0.469772, 0.63389" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : input; + max_transition : 2.5074; + capacitance : 0.00341476; + rise_capacitance : 0.00347229; + rise_capacitance_range (0.00347229, 0.00347229); + fall_capacitance : 0.00334284; + fall_capacitance_range (0.00334284, 0.00334284); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0463867, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0567627, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(RESET_B * SCD * SCE * SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0197968, 0.0217363, 0.0249709, 0.0328974, 0.0496026, 0.0839079, 0.154138" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0211207, 0.0235293, 0.0271997, 0.0347872, 0.051132, 0.0858229, 0.155395" \ + ); + } + } + internal_power () { + when : "(RESET_B * SCD * SCE * SET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0387367, 0.0410863, 0.0448861, 0.0526594, 0.0696303, 0.105566, 0.177462" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.024247, 0.0262125, 0.0295069, 0.0371813, 0.0540523, 0.0885252, 0.157974" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0207165, 0.0232098, 0.0271023, 0.0349237, 0.0516633, 0.0870421, 0.157609" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SCD * SCE * SET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0416391, 0.0441714, 0.0480695, 0.0558817, 0.0726617, 0.108112, 0.178717" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SCD * SCE * SET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0198922, 0.0218467, 0.0251552, 0.0329726, 0.0499572, 0.084514, 0.154239" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0216817, 0.024082, 0.0278451, 0.0352826, 0.0516399, 0.0863194, 0.155661" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !SCE * SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.026915, 0.0288663, 0.0321038, 0.0400258, 0.0567333, 0.0910474, 0.161265" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0211278, 0.0235638, 0.0272012, 0.0347894, 0.0511337, 0.0858276, 0.155392" \ + ); + } + } + internal_power () { + when : "(!RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0222538, 0.0242551, 0.0276407, 0.0353746, 0.0523731, 0.0870097, 0.15671" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0225265, 0.0249303, 0.028674, 0.0361382, 0.052491, 0.0871721, 0.156525" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !SCE * SET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0198558, 0.0218398, 0.0251517, 0.0329735, 0.0499509, 0.0845294, 0.154226" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0216425, 0.024068, 0.0278305, 0.0352745, 0.05163, 0.0863099, 0.155682" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0222538, 0.0242551, 0.0276407, 0.0353746, 0.0523731, 0.0870097, 0.15671" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0225265, 0.0249303, 0.028674, 0.0361382, 0.052491, 0.0871721, 0.156525" \ + ); + } + } + } + pin (D) { + direction : input; + nextstate_type : data; + max_transition : 2.5074; + capacitance : 0.00217542; + rise_capacitance : 0.00222353; + rise_capacitance_range (0.00222353, 0.00222353); + fall_capacitance : 0.00212732; + fall_capacitance_range (0.00212732, 0.00212732); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0464586, -0.0227089, -0.00928808, -0.000828112", \ + "-0.127041, -0.101858, -0.088195, -0.0846213", \ + "-0.181023, -0.158312, -0.14841, -0.151256", \ + "-0.223136, -0.206773, -0.201863, -0.209559" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0464586, 0.00724098, 0.0421475, 0.0693294", \ + "-0.134528, -0.0840332, -0.0488588, -0.0186453", \ + "-0.211885, -0.163557, -0.129521, -0.100407", \ + "-0.2825, -0.239761, -0.207512, -0.180044" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0562394, 0.0301964, 0.0170034, 0.0116216", \ + "0.137024, 0.109498, 0.0960622, 0.0928683", \ + "0.196454, 0.168801, 0.159203, 0.159731", \ + "0.244723, 0.217769, 0.213162, 0.218414" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0684653, 0.0127256, -0.0138579, -0.0234572", \ + "0.15699, 0.104405, 0.0724605, 0.0598803", \ + "0.232459, 0.181913, 0.151108, 0.134307", \ + "0.306785, 0.259004, 0.227287, 0.209559" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * !SCE * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0210376, 0.0215128, 0.0226052, 0.0257818, 0.0326133, 0.0474137, 0.0784699" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0222709, 0.0229004, 0.0241908, 0.0271025, 0.0340033, 0.0488017, 0.079418" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * !SCE * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00672607, 0.00713227, 0.00807419, 0.0106039, 0.0166846, 0.0297904, 0.0575037" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00876128, 0.00920225, 0.0102962, 0.0128754, 0.0189629, 0.0318831, 0.0591239" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00672607, 0.00713227, 0.00807419, 0.0106039, 0.0166846, 0.0297904, 0.0575037" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00876128, 0.00920225, 0.0102962, 0.0128754, 0.0189629, 0.0318831, 0.0591239" \ + ); + } + } + } + pin (RESET_B) { + direction : input; + max_transition : 2.5074; + capacitance : 0.00194924; + rise_capacitance : 0.00194924; + rise_capacitance_range (0.00194924, 0.00194924); + fall_capacitance : 0.00194924; + fall_capacitance_range (0.00194924, 0.00194924); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0293423, -0.0197201, -0.0524346, -0.0774245", \ + "0.0945949, 0.0432898, 0.00952261, -0.0170917", \ + "0.142447, 0.0875066, 0.0512689, 0.0241335", \ + "0.185359, 0.127052, 0.0888645, 0.0619823" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0195615, 0.0272076, 0.0601499, 0.0855195", \ + "-0.0821157, -0.033104, -0.00165537, 0.0280877", \ + "-0.127016, -0.0743946, -0.0404755, -0.0128337", \ + "-0.163772, -0.110558, -0.0747398, -0.0472246" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0671387, 0.689697, 1.68457, 3.34351" \ + ); + } + } + } + pin (SCD) { + direction : input; + nextstate_type : scan_in; + max_transition : 2.5074; + capacitance : 0.00224598; + rise_capacitance : 0.00225844; + rise_capacitance_range (0.00225844, 0.00225844); + fall_capacitance : 0.00223351; + fall_capacitance_range (0.00223351, 0.00223351); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0586846, -0.035188, -0.022147, -0.0143199", \ + "-0.132032, -0.112044, -0.0986846, -0.0928683", \ + "-0.191311, -0.171424, -0.161902, -0.162556", \ + "-0.244723, -0.228765, -0.224462, -0.227268" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0611298, -0.00773397, 0.0267168, 0.0531392", \ + "-0.132032, -0.0840332, -0.0488588, -0.0213943", \ + "-0.199026, -0.153067, -0.121426, -0.0947573", \ + "-0.263612, -0.223267, -0.190563, -0.165286" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0684653, 0.0426755, 0.0298623, 0.0251134", \ + "0.144511, 0.117137, 0.103929, 0.101115", \ + "0.206741, 0.181913, 0.169997, 0.171031", \ + "0.263612, 0.239761, 0.232937, 0.236123" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0831365, 0.0277006, 0.00157274, -0.00996535", \ + "0.154495, 0.101858, 0.0724605, 0.0598803", \ + "0.222172, 0.171424, 0.140315, 0.125832", \ + "0.290595, 0.239761, 0.210337, 0.194802" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * SCE * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0238443, 0.024145, 0.0251042, 0.0279343, 0.0348478, 0.0501478, 0.0819171" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0310809, 0.0312156, 0.0322616, 0.035107, 0.0422149, 0.0577758, 0.0896868" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * SCE * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00976141, 0.00995689, 0.0107103, 0.0130716, 0.0191991, 0.0329793, 0.0618" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00643697, 0.0066309, 0.00748068, 0.00990622, 0.0162158, 0.0301231, 0.0591635" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00976141, 0.00995689, 0.0107103, 0.0130716, 0.0191991, 0.0329793, 0.0618" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00643697, 0.0066309, 0.00748068, 0.00990622, 0.0162158, 0.0301231, 0.0591635" \ + ); + } + } + } + pin (SCE) { + direction : input; + nextstate_type : scan_enable; + max_transition : 2.5074; + capacitance : 0.00396017; + rise_capacitance : 0.00435294; + rise_capacitance_range (0.00435294, 0.00435294); + fall_capacitance : 0.0035674; + fall_capacitance_range (0.0035674, 0.0035674); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0489038, -0.0252047, -0.0118599, -0.00352648", \ + "-0.134528, -0.109498, -0.0960622, -0.0928683", \ + "-0.204169, -0.184536, -0.175394, -0.173856", \ + "-0.279802, -0.264502, -0.261187, -0.265638" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0464586, 0.00724098, 0.0421475, 0.0693294", \ + "-0.10957, -0.0560221, -0.0200123, 0.0088447", \ + "-0.155306, -0.108486, -0.0728558, -0.0467332", \ + "-0.185359, -0.143546, -0.111464, -0.0826431" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0611298, 0.035188, 0.022147, 0.0170183", \ + "0.154495, 0.124777, 0.111797, 0.109362", \ + "0.232459, 0.205515, 0.194282, 0.193631", \ + "0.320277, 0.291992, 0.286611, 0.289251" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0684653, 0.0127256, -0.0138579, -0.0234572", \ + "0.129536, 0.0763938, 0.0462364, 0.0351393", \ + "0.178452, 0.126843, 0.0944428, 0.0806326", \ + "0.209644, 0.162789, 0.131239, 0.112158" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESET_B * !SCD * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0215915, 0.0228046, 0.0247761, 0.0289563, 0.0379724, 0.0567587, 0.0947404" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0286275, 0.0300321, 0.0318445, 0.0357906, 0.0445275, 0.0628294, 0.10012" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESET_B * !SCD * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0275768, 0.0283968, 0.0302369, 0.034439, 0.0434825, 0.0620231, 0.0998355" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0378168, 0.0458865, 0.0485041, 0.0525585, 0.061246, 0.0794941, 0.116768" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESET_B * SCD * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0231464, 0.0250857, 0.0283333, 0.0357466, 0.0520166, 0.0853872, 0.154005" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0175149, 0.0462121, 0.0535129, 0.0610658, 0.0766152, 0.109428, 0.176422" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESET_B * SCD * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00852297, 0.0103287, 0.0133555, 0.020217, 0.0356681, 0.067301, 0.132309" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00827651, 0.00996553, 0.0128366, 0.0193392, 0.034028, 0.0652372, 0.129504" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0275768, 0.0283968, 0.0302369, 0.034439, 0.0434825, 0.0620231, 0.0998355" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0378168, 0.0458865, 0.0485041, 0.0525585, 0.061246, 0.0794941, 0.116768" \ + ); + } + } + } + pin (SET_B) { + direction : input; + max_transition : 2.5074; + capacitance : 0.0058623; + rise_capacitance : 0.0058623; + rise_capacitance_range (0.0058623, 0.0058623); + fall_capacitance : 0.0058623; + fall_capacitance_range (0.0058623, 0.0058623); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.00489038, -0.0571575, -0.093583, -0.1179", \ + "0.0846116, 0.0178252, -0.0219463, -0.0473307", \ + "0.278751, 0.160934, 0.0971411, 0.058033", \ + "0.627891, 0.451434, 0.34311, 0.26859" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0195615, 0.0746283, 0.111585, 0.139487", \ + "-0.0172243, 0.0381969, 0.0743946, 0.102311", \ + "-0.0524346, 0.00427779, 0.0377771, 0.0662649", \ + "-0.0855195, -0.0335857, 0.00153393, 0.0295154" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : non_seq_hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0366779, -0.0401797, -0.0555801, -0.0790807", \ + "-0.0945949, -0.0763938, -0.0803277, -0.0983663", \ + "-0.145019, -0.121598, -0.126823, -0.145606", \ + "-0.185359, -0.162789, -0.167963, -0.19185" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : non_seq_setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0464586, 0.065138, 0.135305, 0.257173", \ + "0.10957, 0.0891261, 0.103929, 0.153346", \ + "0.165593, 0.139955, 0.145712, 0.187981", \ + "0.217739, 0.190279, 0.193388, 0.233172" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0463867, 0.689697, 1.68457, 3.34351" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clear_preset_var1 : "H"; + clear_preset_var2 : "L"; + clocked_on : "CLK"; + next_state : "(SCE*SCD)+(SCE'*D)"; + preset : "SET_B'"; + } + test_cell () { + pin (Q) { + direction : output; + function : "IQ"; + signal_type : test_scan_out; + } + pin (Q_N) { + direction : output; + function : "IQN"; + signal_type : test_scan_out_inverted; + } + pin (CLK) { + direction : input; + } + pin (D) { + direction : input; + } + pin (RESET_B) { + direction : input; + } + pin (SCD) { + direction : input; + signal_type : test_scan_in; + } + pin (SCE) { + direction : input; + signal_type : test_scan_enable; + } + pin (SET_B) { + direction : input; + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clear_preset_var1 : H; + clear_preset_var2 : L; + clocked_on : "CLK"; + next_state : "D"; + preset : "SET_B'"; + } + } + } + cell (sg13g2_sighold) { + area : 9.072; + cell_footprint : "keepstate"; + dont_touch : true; + dont_use : true; + cell_leakage_power : 1502.82; + leakage_power () { + value : 116.275; + when : "SH"; + } + leakage_power () { + value : 2889.37; + when : "!SH"; + } + pin (SH) { + direction : "inout"; + driver_type : bus_hold; + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_slgcp_1) { + area : 30.8448; + cell_footprint : "sgclk"; + clock_gating_integrated_cell : "latch_posedge_precontrol"; + dont_use : true; + dont_touch : true; + cell_leakage_power : 3668.47; + leakage_power () { + value : 3623.06; + when : "!CLK&GATE&SCE&!GCLK"; + } + leakage_power () { + value : 4067.22; + when : "CLK&GATE&SCE&GCLK"; + } + leakage_power () { + value : 3653.41; + when : "!GATE&SCE&!GCLK"; + } + leakage_power () { + value : 3362; + when : "!CLK&GATE&!SCE&!GCLK"; + } + leakage_power () { + value : 3797.76; + when : "CLK&GATE&!SCE&GCLK"; + } + leakage_power () { + value : 3507.39; + when : "!GATE&!SCE&!GCLK"; + } + statetable ("CLK GATE SCE", "int_GATE") { + table : "L L L : - : L,\ + L L H : - : H,\ + L H L : - : H,\ + L H H : - : H,\ + H - - : - : N"; + } + pin (int_GATE) { + direction : "internal"; + internal_node : "int_GATE"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : "output"; + state_function : "CLK * int_GATE"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0370244, 0.0707723, 0.0914594, 0.125403, 0.182134, 0.276404, 0.433842", \ + "0.0490769, 0.0838018, 0.104664, 0.138676, 0.195355, 0.289711, 0.446951", \ + "0.0574345, 0.0933915, 0.114272, 0.148285, 0.205044, 0.299398, 0.456486", \ + "0.0701362, 0.108905, 0.130191, 0.164547, 0.221337, 0.315802, 0.472857", \ + "0.0852475, 0.128903, 0.151335, 0.186842, 0.244741, 0.339902, 0.497204", \ + "0.102222, 0.153058, 0.177687, 0.21554, 0.27632, 0.374891, 0.535196", \ + "0.1182, 0.178021, 0.207151, 0.248839, 0.314111, 0.419286, 0.587583" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00988166, 0.0517368, 0.0821027, 0.132504, 0.217558, 0.359162, 0.595394", \ + "0.0116838, 0.052587, 0.0825305, 0.132831, 0.217622, 0.359804, 0.595655", \ + "0.0136821, 0.0536225, 0.0831464, 0.13316, 0.217877, 0.359805, 0.595656", \ + "0.0171966, 0.0564545, 0.0851783, 0.134503, 0.218629, 0.359806, 0.595657", \ + "0.022849, 0.0625759, 0.0906504, 0.139137, 0.222291, 0.362115, 0.596885", \ + "0.0317678, 0.0738925, 0.101026, 0.14931, 0.232558, 0.371994, 0.604276", \ + "0.046343, 0.091753, 0.120191, 0.167599, 0.251298, 0.393726, 0.627622" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0321644, 0.0610758, 0.0787287, 0.107608, 0.155884, 0.236244, 0.369851", \ + "0.051246, 0.0814877, 0.099232, 0.128239, 0.176532, 0.256825, 0.390665", \ + "0.0621818, 0.0935051, 0.111267, 0.140278, 0.188569, 0.268925, 0.4026", \ + "0.0762963, 0.110178, 0.128223, 0.157297, 0.205442, 0.285781, 0.419537", \ + "0.0992455, 0.137369, 0.15622, 0.185913, 0.234719, 0.315386, 0.449256", \ + "0.134824, 0.179319, 0.199991, 0.231348, 0.281894, 0.364707, 0.499947", \ + "0.180187, 0.233323, 0.257611, 0.292066, 0.346041, 0.432849, 0.573812" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00818567, 0.0413712, 0.065437, 0.105661, 0.173403, 0.286374, 0.474394", \ + "0.0110593, 0.0424937, 0.0661586, 0.106117, 0.17357, 0.286375, 0.474729", \ + "0.0130674, 0.0435994, 0.0668312, 0.106589, 0.173883, 0.286595, 0.47473", \ + "0.0167756, 0.0461768, 0.068594, 0.107623, 0.174603, 0.286997, 0.474841", \ + "0.0228628, 0.0517996, 0.0732323, 0.111187, 0.177111, 0.288788, 0.475885", \ + "0.032306, 0.062253, 0.082621, 0.119444, 0.184877, 0.29568, 0.48078", \ + "0.047383, 0.080061, 0.100043, 0.135613, 0.200245, 0.312147, 0.498248" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0241956, 0.0247724, 0.0247987, 0.02471, 0.0245672, 0.0240943, 0.0234542", \ + "0.0251248, 0.0252222, 0.0251812, 0.0252695, 0.0249254, 0.0246843, 0.0239855", \ + "0.0272814, 0.0268052, 0.0269037, 0.0268276, 0.0268809, 0.0261012, 0.0266816", \ + "0.0331246, 0.0317721, 0.0317594, 0.0317462, 0.0317019, 0.0312518, 0.0318196", \ + "0.0458938, 0.0432625, 0.0430886, 0.0428464, 0.0427557, 0.0427609, 0.041926", \ + "0.0719016, 0.0675306, 0.0667706, 0.0661866, 0.0657996, 0.0657416, 0.0655026", \ + "0.124652, 0.117443, 0.116631, 0.115112, 0.11379, 0.11334, 0.112655" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0171164, 0.0178648, 0.0179191, 0.0178411, 0.0176659, 0.0174318, 0.0166728", \ + "0.019288, 0.0192783, 0.0192668, 0.0192926, 0.0192989, 0.0188509, 0.0183571", \ + "0.022309, 0.0217091, 0.0217346, 0.0217845, 0.0215198, 0.0219582, 0.0205436", \ + "0.0285118, 0.0270873, 0.0270453, 0.027105, 0.0269686, 0.0263235, 0.0271198", \ + "0.0411508, 0.0384217, 0.0380588, 0.0380047, 0.037874, 0.0378427, 0.0370596", \ + "0.0673985, 0.0623245, 0.0617305, 0.0612455, 0.0606735, 0.0604695, 0.0600565", \ + "0.119999, 0.112045, 0.11069, 0.109413, 0.108572, 0.107199, 0.107062" \ + ); + } + } + } + pin (CLK) { + clock_gate_clock_pin : true; + direction : "input"; + clock : true; + max_transition : 2.5074; + capacitance : 0.00570846; + rise_capacitance : 0.00589726; + rise_capacitance_range (0.00589726, 0.00589726); + fall_capacitance : 0.00551967; + fall_capacitance_range (0.00551967, 0.00551967); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.111237, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0489807, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00732597, 0.00909407, 0.0121373, 0.0187831, 0.032938, 0.0622474, 0.120904" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00862013, 0.0109987, 0.0142387, 0.0209069, 0.0353481, 0.0654085, 0.124862" \ + ); + } + } + } + pin (GATE) { + clock_gate_enable_pin : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00225008; + rise_capacitance : 0.0027841; + rise_capacitance_range (0.0027841, 0.0027841); + fall_capacitance : 0.00171606; + fall_capacitance_range (0.00171606, 0.00171606); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0205975, -0.0114877, -0.0272905, -0.0617812", \ + "-0.0617374, -0.0566252, -0.0737139, -0.110916", \ + "-0.070437, -0.0666107, -0.0863477, -0.131482", \ + "-0.0517034, -0.0465912, -0.0662649, -0.112875" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0287659, 0.006586, 0.0112861, -0.00201714", \ + "-0.11161, -0.0842534, -0.0728441, -0.0848615", \ + "-0.188739, -0.166665, -0.161902, -0.173856", \ + "-0.275728, -0.260363, -0.255537, -0.272964" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0316114, 0.037672, 0.0787261, 0.154904", \ + "0.0885521, 0.0866176, 0.114393, 0.183862", \ + "0.109014, 0.113788, 0.134918, 0.196456", \ + "0.10164, 0.115696, 0.136889, 0.192953" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.048454, 0.00810726, 0.00157274, 0.0211854", \ + "0.134309, 0.105955, 0.0941367, 0.103043", \ + "0.2196, 0.193957, 0.183489, 0.196456", \ + "0.320201, 0.295844, 0.289436, 0.300927" \ + ); + } + } + internal_power () { + when : "!CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0361126, 0.0379585, 0.0401107, 0.0449319, 0.0558016, 0.0785351, 0.124756" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0448253, 0.058272, 0.0608486, 0.0656881, 0.07676, 0.0993898, 0.145312" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0361126, 0.0379585, 0.0401107, 0.0449319, 0.0558016, 0.0785351, 0.124756" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0448253, 0.058272, 0.0608486, 0.0656881, 0.07676, 0.0993898, 0.145312" \ + ); + } + } + } + pin (SCE) { + clock_gate_test_pin : "true"; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00270911; + rise_capacitance : 0.00264937; + rise_capacitance_range (0.00264937, 0.00264937); + fall_capacitance : 0.00276886; + fall_capacitance_range (0.00276886, 0.00276886); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0200979, -0.0146456, -0.0298623, -0.0671712", \ + "-0.0735371, -0.0720823, -0.0845874, -0.124608", \ + "-0.106442, -0.102275, -0.121426, -0.165381", \ + "-0.122081, -0.120626, -0.139714, -0.185144" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0284727, 0.0031338, 0.0112861, -0.00139098", \ + "-0.0907407, -0.0631318, -0.0522678, -0.063659", \ + "-0.139875, -0.117549, -0.113331, -0.128657", \ + "-0.187861, -0.172245, -0.167963, -0.184764" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.002, 0, 0, 0", \ + "0.49976, 0.002, 0, 0", \ + "1.2464, 0.74864, 0.002, 0", \ + "2.4908, 1.99304, 1.2464, 0.002" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0507326, 0.0104727, 0.00414452, 0.0243035", \ + "0.115013, 0.0827479, 0.0711363, 0.0805887", \ + "0.170736, 0.145181, 0.134918, 0.148431", \ + "0.225512, 0.205239, 0.199038, 0.215073" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0164656, 0.017783, 0.0200482, 0.0250261, 0.036674, 0.0601547, 0.108494" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.046315, 0.057001, 0.0590856, 0.0639199, 0.0749148, 0.0980552, 0.145165" \ + ); + } + } + } + } + cell (sg13g2_tiehi) { + area : 7.2576; + cell_footprint : "tie1"; + cell_leakage_power : 977.894; + pin (L_HI) { + direction : "output"; + function : "1"; + driver_type : open_drain; + } + } + cell (sg13g2_tielo) { + area : 7.2576; + cell_footprint : "tie0"; + cell_leakage_power : 1134.26; + pin (L_LO) { + direction : "output"; + function : "0"; + driver_type : open_source; + } + } + cell (sg13g2_xnor2_1) { + area : 14.5152; + cell_footprint : "xnor2_1"; + cell_leakage_power : 1834.6; + leakage_power () { + value : 1793.44; + when : "!A&B"; + } + leakage_power () { + value : 2725.61; + when : "A&B"; + } + leakage_power () { + value : 2135.71; + when : "A&!B"; + } + leakage_power () { + value : 683.656; + when : "!A&!B"; + } + pin (Y) { + direction : "output"; + function : "!(A^B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0367741, 0.0698603, 0.0904187, 0.124265, 0.181015, 0.275235, 0.43235", \ + "0.0496085, 0.0838141, 0.104569, 0.138518, 0.195042, 0.289269, 0.446287", \ + "0.058195, 0.0934797, 0.114321, 0.148162, 0.204845, 0.299222, 0.45622", \ + "0.0711465, 0.10933, 0.130458, 0.164748, 0.221263, 0.315541, 0.47266", \ + "0.0859866, 0.129532, 0.151555, 0.186662, 0.244455, 0.339478, 0.496498", \ + "0.102763, 0.153214, 0.178017, 0.21534, 0.276058, 0.374276, 0.534369", \ + "0.118272, 0.178631, 0.207954, 0.249517, 0.314837, 0.420042, 0.588578" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0106947, 0.0525966, 0.0829471, 0.133425, 0.218569, 0.360032, 0.596394", \ + "0.0127377, 0.0534827, 0.0834186, 0.133796, 0.218572, 0.360232, 0.596395", \ + "0.0148382, 0.0545345, 0.0840648, 0.134097, 0.218814, 0.360283, 0.596396", \ + "0.0185369, 0.0574158, 0.0862001, 0.135402, 0.219647, 0.360766, 0.596467", \ + "0.0244908, 0.0640238, 0.0917161, 0.140204, 0.223308, 0.363239, 0.597839", \ + "0.033932, 0.075178, 0.102584, 0.150578, 0.233709, 0.37308, 0.605312", \ + "0.048729, 0.093654, 0.121805, 0.168922, 0.252788, 0.394883, 0.628731" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.037147, 0.0850021, 0.116695, 0.168764, 0.255604, 0.400506, 0.641641", \ + "0.056342, 0.104322, 0.136015, 0.188103, 0.275191, 0.420137, 0.661329", \ + "0.0673723, 0.115715, 0.147332, 0.199294, 0.28629, 0.431126, 0.672347", \ + "0.0819397, 0.131616, 0.163101, 0.214977, 0.301913, 0.446788, 0.688112", \ + "0.105062, 0.157334, 0.188745, 0.240665, 0.327637, 0.472276, 0.713561", \ + "0.14116, 0.19815, 0.22982, 0.282266, 0.369468, 0.514796, 0.756403", \ + "0.187106, 0.251937, 0.285985, 0.339959, 0.428535, 0.576337, 0.819257" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121894, 0.0738844, 0.117722, 0.190253, 0.311573, 0.51427, 0.851692", \ + "0.0144729, 0.0742563, 0.117862, 0.190254, 0.311761, 0.514271, 0.851712", \ + "0.0162512, 0.0746861, 0.118071, 0.190433, 0.311762, 0.514272, 0.851713", \ + "0.0194945, 0.0760702, 0.118793, 0.190828, 0.311997, 0.514273, 0.851714", \ + "0.0250427, 0.079275, 0.121056, 0.19236, 0.313166, 0.514699, 0.851715", \ + "0.034119, 0.086334, 0.126024, 0.19635, 0.316192, 0.517342, 0.853109", \ + "0.049029, 0.101007, 0.138769, 0.206065, 0.324664, 0.525114, 0.859624" \ + ); + } + } + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0269247, 0.0874717, 0.128568, 0.196265, 0.309545, 0.49822, 0.812892", \ + "0.0375469, 0.10683, 0.14909, 0.217135, 0.330474, 0.519344, 0.833716", \ + "0.0441254, 0.121353, 0.166205, 0.23663, 0.350718, 0.539393, 0.853982", \ + "0.0531217, 0.144342, 0.193983, 0.269576, 0.388675, 0.579706, 0.894187", \ + "0.0682337, 0.181741, 0.24007, 0.32522, 0.455245, 0.657243, 0.978146", \ + "0.09104, 0.237518, 0.309596, 0.41208, 0.559591, 0.784999, 1.12802", \ + "0.11717, 0.307857, 0.401217, 0.53058, 0.710436, 0.970498, 1.35793" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0209899, 0.106287, 0.166245, 0.265296, 0.431154, 0.707529, 1.16852", \ + "0.0314543, 0.112751, 0.170016, 0.266759, 0.431759, 0.70753, 1.16853", \ + "0.0414552, 0.123678, 0.179708, 0.273759, 0.434836, 0.709245, 1.16854", \ + "0.0571517, 0.14559, 0.201654, 0.293676, 0.450316, 0.716795, 1.17562", \ + "0.0856433, 0.187418, 0.244617, 0.337813, 0.491848, 0.751546, 1.19217", \ + "0.13611, 0.261109, 0.325214, 0.421104, 0.578185, 0.83747, 1.26956", \ + "0.222038, 0.385334, 0.464707, 0.576773, 0.742275, 1.00897, 1.44032" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.024999, 0.0727978, 0.104828, 0.157519, 0.245755, 0.392756, 0.63768", \ + "0.0350642, 0.0929282, 0.126916, 0.180706, 0.269226, 0.416292, 0.661104", \ + "0.0409821, 0.108601, 0.145558, 0.202416, 0.293023, 0.440646, 0.685587", \ + "0.0497129, 0.132803, 0.175788, 0.238913, 0.336074, 0.488636, 0.735563", \ + "0.0600306, 0.166258, 0.217875, 0.292358, 0.402741, 0.568656, 0.826802", \ + "0.0721238, 0.209973, 0.276655, 0.368194, 0.500193, 0.690743, 0.977302", \ + "0.0843389, 0.265099, 0.352729, 0.47296, 0.638993, 0.869442, 1.20573" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157955, 0.0795313, 0.124083, 0.197779, 0.321437, 0.527184, 0.870158", \ + "0.0249007, 0.087125, 0.129424, 0.200833, 0.322333, 0.527185, 0.870159", \ + "0.0354022, 0.0977334, 0.139977, 0.209373, 0.327915, 0.529531, 0.87097", \ + "0.0551226, 0.120226, 0.162329, 0.231474, 0.346855, 0.542834, 0.876878", \ + "0.0877007, 0.163439, 0.206804, 0.276234, 0.39266, 0.583734, 0.908012", \ + "0.142123, 0.242632, 0.290423, 0.364511, 0.482903, 0.674846, 0.995496", \ + "0.235761, 0.377876, 0.441, 0.526812, 0.654273, 0.854035, 1.1779" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0348888, 0.0676266, 0.0880746, 0.121788, 0.178465, 0.272471, 0.429723", \ + "0.0516099, 0.0851878, 0.10584, 0.139678, 0.196273, 0.290324, 0.447346", \ + "0.0629925, 0.0976222, 0.118103, 0.151789, 0.208239, 0.302375, 0.459386", \ + "0.0803621, 0.117622, 0.138383, 0.171845, 0.228234, 0.322168, 0.479149", \ + "0.103135, 0.145191, 0.166709, 0.201418, 0.258712, 0.352789, 0.50887", \ + "0.134497, 0.184511, 0.208138, 0.244356, 0.303931, 0.40019, 0.559275", \ + "0.18019, 0.240141, 0.267904, 0.309227, 0.371032, 0.474515, 0.640077" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108711, 0.0527004, 0.0829751, 0.133496, 0.21863, 0.36007, 0.596209", \ + "0.0135624, 0.0535814, 0.0835065, 0.133867, 0.218668, 0.360794, 0.596393", \ + "0.0158776, 0.0545331, 0.0839522, 0.134135, 0.218873, 0.360795, 0.596394", \ + "0.0200383, 0.057156, 0.0857486, 0.135218, 0.219434, 0.360796, 0.596442", \ + "0.0268552, 0.0635845, 0.0907636, 0.139224, 0.222535, 0.362677, 0.597465", \ + "0.0376935, 0.075682, 0.101929, 0.14869, 0.231628, 0.371085, 0.603804", \ + "0.055179, 0.096753, 0.122674, 0.167923, 0.249046, 0.390173, 0.623848" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0368943, 0.0823852, 0.113821, 0.16616, 0.253969, 0.400833, 0.645422", \ + "0.0531359, 0.099989, 0.131307, 0.1835, 0.271296, 0.418048, 0.662575", \ + "0.0621978, 0.109903, 0.141192, 0.193117, 0.280493, 0.426811, 0.671277", \ + "0.0729437, 0.122787, 0.15442, 0.206566, 0.294068, 0.439908, 0.68434", \ + "0.0885856, 0.141719, 0.173647, 0.226308, 0.314374, 0.460192, 0.70435", \ + "0.108619, 0.167264, 0.200229, 0.254141, 0.34321, 0.489982, 0.734336", \ + "0.122848, 0.190596, 0.226514, 0.281993, 0.373249, 0.523232, 0.770593" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0161552, 0.0799885, 0.12414, 0.197862, 0.32144, 0.527259, 0.8699", \ + "0.016448, 0.0799895, 0.124141, 0.197863, 0.321441, 0.52726, 0.870287", \ + "0.0175668, 0.0799905, 0.124142, 0.197864, 0.321442, 0.527261, 0.870288", \ + "0.020155, 0.0799915, 0.124143, 0.197865, 0.321443, 0.527262, 0.870289", \ + "0.0255938, 0.0824165, 0.124891, 0.197866, 0.321444, 0.527263, 0.87029", \ + "0.0352644, 0.090121, 0.130864, 0.202059, 0.324172, 0.52824, 0.870291", \ + "0.052024, 0.107585, 0.14638, 0.214067, 0.333774, 0.537652, 0.877352" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0245224, 0.0855493, 0.126789, 0.194439, 0.307725, 0.496605, 0.811179", \ + "0.0404313, 0.115043, 0.157737, 0.22581, 0.338972, 0.527442, 0.842296", \ + "0.0505876, 0.13669, 0.184058, 0.255659, 0.370063, 0.558373, 0.872569", \ + "0.0646252, 0.168831, 0.224127, 0.305207, 0.428123, 0.620107, 0.934224", \ + "0.089725, 0.220014, 0.285958, 0.382518, 0.524478, 0.735513, 1.06068", \ + "0.133155, 0.298888, 0.380879, 0.497048, 0.667783, 0.915493, 1.28041", \ + "0.19834, 0.41294, 0.518463, 0.664829, 0.870401, 1.16929, 1.60627" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.021724, 0.106287, 0.166263, 0.265277, 0.431142, 0.707426, 1.16833", \ + "0.0350368, 0.11694, 0.172523, 0.267728, 0.432116, 0.707427, 1.16844", \ + "0.0443323, 0.133163, 0.187836, 0.279203, 0.437042, 0.70928, 1.16845", \ + "0.0603792, 0.161516, 0.219194, 0.310506, 0.46248, 0.722948, 1.17311", \ + "0.0901628, 0.208955, 0.273622, 0.371215, 0.526722, 0.77861, 1.20807", \ + "0.141276, 0.289964, 0.362712, 0.473789, 0.642027, 0.90759, 1.32954", \ + "0.228856, 0.426097, 0.516506, 0.644083, 0.83663, 1.12773, 1.57776" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0205289, 0.0687941, 0.100423, 0.152403, 0.239335, 0.384239, 0.625596", \ + "0.0280839, 0.0884228, 0.122372, 0.175678, 0.263094, 0.408102, 0.649418", \ + "0.0315107, 0.103403, 0.140594, 0.1973, 0.287046, 0.432721, 0.674155", \ + "0.0349303, 0.126085, 0.169938, 0.233464, 0.330076, 0.481099, 0.724901", \ + "0.0349313, 0.154791, 0.208588, 0.285015, 0.395494, 0.56121, 0.816817", \ + "0.0349323, 0.186234, 0.258366, 0.354831, 0.488785, 0.682728, 0.966639", \ + "0.0349333, 0.212072, 0.309046, 0.43907, 0.613364, 0.850857, 1.18936" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114135, 0.0739418, 0.117977, 0.190257, 0.311759, 0.514025, 0.85139", \ + "0.0206627, 0.0817998, 0.123308, 0.19326, 0.312623, 0.514378, 0.851629", \ + "0.0308462, 0.092643, 0.133984, 0.202152, 0.318559, 0.516572, 0.854186", \ + "0.0497169, 0.115275, 0.156833, 0.224867, 0.338089, 0.530521, 0.858495", \ + "0.0804236, 0.15958, 0.202391, 0.271509, 0.384375, 0.572623, 0.890724", \ + "0.130984, 0.238915, 0.287487, 0.359724, 0.477155, 0.665788, 0.980117", \ + "0.217714, 0.369575, 0.436456, 0.525278, 0.649771, 0.847741, 1.16704" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0154071, 0.0159109, 0.0158455, 0.0156622, 0.0154889, 0.0150509, 0.0143902", \ + "0.0164039, 0.0166149, 0.0165717, 0.0165911, 0.0161757, 0.0156838, 0.0151554", \ + "0.0187304, 0.0185046, 0.0185555, 0.0183434, 0.0182621, 0.0176243, 0.0180181", \ + "0.0245328, 0.0237009, 0.0236479, 0.02366, 0.0233144, 0.022772, 0.0225267", \ + "0.0373417, 0.0354353, 0.0351653, 0.0349424, 0.0347731, 0.0344498, 0.0336783", \ + "0.0634322, 0.0599389, 0.0593274, 0.0587485, 0.0583685, 0.0579987, 0.0576576", \ + "0.115871, 0.109724, 0.108815, 0.107882, 0.106626, 0.106396, 0.105813" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.013282, 0.014059, 0.0141245, 0.0140932, 0.0138939, 0.0136123, 0.0130185", \ + "0.0151287, 0.0153678, 0.0155308, 0.0154513, 0.0158958, 0.0150222, 0.0144574", \ + "0.0179219, 0.0178546, 0.0178377, 0.0179382, 0.0176929, 0.0174543, 0.016849", \ + "0.0237132, 0.0230822, 0.0231207, 0.0230693, 0.0228638, 0.023472, 0.0219947", \ + "0.0363215, 0.0345188, 0.034386, 0.034319, 0.0342909, 0.0339452, 0.0342507", \ + "0.0624739, 0.0588758, 0.0583693, 0.0579952, 0.057584, 0.057551, 0.0571637", \ + "0.11494, 0.108521, 0.10757, 0.106697, 0.105593, 0.105272, 0.104677" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0152265, 0.0152025, 0.0149313, 0.0146357, 0.0144058, 0.0137681, 0.0131967", \ + "0.0168177, 0.0166119, 0.0164193, 0.0163341, 0.015838, 0.0154716, 0.0146179", \ + "0.019528, 0.0190209, 0.01888, 0.0186048, 0.0182864, 0.0174515, 0.0179653", \ + "0.0254693, 0.0242188, 0.0241355, 0.0240301, 0.0234885, 0.0227634, 0.0223234", \ + "0.0385214, 0.035984, 0.0355511, 0.0352504, 0.035027, 0.0344412, 0.0330052", \ + "0.0643817, 0.0601262, 0.05949, 0.0586516, 0.0580363, 0.0573459, 0.0568492", \ + "0.116003, 0.109335, 0.107911, 0.106732, 0.105649, 0.104792, 0.103616" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0141511, 0.0130128, 0.0124876, 0.012061, 0.0116643, 0.0111887, 0.010406", \ + "0.0150943, 0.0144895, 0.0142603, 0.0137803, 0.0133698, 0.0127418, 0.0119947", \ + "0.017372, 0.0169739, 0.0166396, 0.016311, 0.0156739, 0.0157525, 0.0142559", \ + "0.022697, 0.0221373, 0.0220038, 0.0216659, 0.0211652, 0.0206099, 0.0196442", \ + "0.0346037, 0.0331044, 0.0329464, 0.0327525, 0.0325189, 0.0316686, 0.0313508", \ + "0.0598961, 0.0563864, 0.0559952, 0.0556778, 0.0553302, 0.0549416, 0.0539635", \ + "0.110955, 0.10437, 0.103432, 0.102529, 0.101491, 0.101119, 0.100405" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0064075; + rise_capacitance : 0.00646266; + rise_capacitance_range (0.00646266, 0.00646266); + fall_capacitance : 0.00635234; + fall_capacitance_range (0.00635234, 0.00635234); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00554395; + rise_capacitance : 0.00546877; + rise_capacitance_range (0.00546877, 0.00546877); + fall_capacitance : 0.00561913; + fall_capacitance_range (0.00561913, 0.00561913); + } + } + cell (sg13g2_xor2_1) { + area : 14.5152; + cell_footprint : "xor2_1"; + cell_leakage_power : 1605.39; + leakage_power () { + value : 1457.16; + when : "!A&!B"; + } + leakage_power () { + value : 2318.26; + when : "A&B"; + } + leakage_power () { + value : 1562.89; + when : "A&!B"; + } + leakage_power () { + value : 1083.25; + when : "!A&B"; + } + pin (X) { + direction : "output"; + function : "(A^B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0375209, 0.098851, 0.140431, 0.208359, 0.322214, 0.511779, 0.827345", \ + "0.0558814, 0.116338, 0.157599, 0.225735, 0.339565, 0.529136, 0.845256", \ + "0.0674114, 0.127711, 0.168701, 0.236786, 0.350556, 0.540034, 0.85577", \ + "0.0847263, 0.145493, 0.186279, 0.254029, 0.367451, 0.557, 0.872524", \ + "0.107031, 0.16965, 0.209893, 0.277174, 0.39035, 0.579489, 0.894998", \ + "0.137769, 0.204643, 0.244731, 0.311344, 0.423458, 0.612213, 0.926909", \ + "0.181969, 0.25759, 0.298114, 0.365265, 0.477045, 0.664689, 0.979111" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0154541, 0.100947, 0.161294, 0.260449, 0.426904, 0.704319, 1.16653", \ + "0.0175718, 0.100951, 0.161295, 0.26045, 0.426905, 0.704445, 1.16661", \ + "0.0193449, 0.101112, 0.161296, 0.260451, 0.426906, 0.70742, 1.1676", \ + "0.0223257, 0.10175, 0.161454, 0.260498, 0.426907, 0.707421, 1.16761", \ + "0.027048, 0.103224, 0.162059, 0.261076, 0.427206, 0.707422, 1.16762", \ + "0.0356896, 0.107801, 0.163808, 0.261626, 0.427903, 0.707423, 1.16763", \ + "0.050302, 0.121175, 0.172898, 0.266161, 0.429108, 0.707424, 1.16764" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0413495, 0.0725709, 0.0905007, 0.119637, 0.168188, 0.249104, 0.383824", \ + "0.0538636, 0.086473, 0.104721, 0.134081, 0.18274, 0.263627, 0.398432", \ + "0.0615939, 0.0953425, 0.11381, 0.143135, 0.191824, 0.272931, 0.407537", \ + "0.0721295, 0.108686, 0.128046, 0.157627, 0.206606, 0.287346, 0.422405", \ + "0.0877751, 0.129195, 0.150152, 0.181624, 0.231429, 0.313005, 0.447919", \ + "0.109486, 0.157909, 0.181405, 0.215548, 0.268792, 0.354289, 0.492009", \ + "0.126921, 0.185153, 0.212532, 0.252278, 0.310761, 0.404413, 0.550914" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107588, 0.0444432, 0.0682774, 0.10829, 0.176322, 0.289991, 0.479842", \ + "0.0127602, 0.045727, 0.0691632, 0.108885, 0.176649, 0.290191, 0.480373", \ + "0.0145731, 0.0470722, 0.0701137, 0.109485, 0.176974, 0.290361, 0.480374", \ + "0.0179794, 0.0500056, 0.0727252, 0.111112, 0.177795, 0.290921, 0.480375", \ + "0.0241654, 0.0567877, 0.0790469, 0.116966, 0.18202, 0.293226, 0.481322", \ + "0.0337124, 0.068428, 0.090967, 0.128633, 0.194071, 0.304398, 0.489354", \ + "0.04865, 0.087413, 0.11015, 0.149817, 0.216364, 0.330083, 0.516169" \ + ); + } + } + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0296675, 0.090568, 0.132115, 0.200467, 0.314784, 0.505512, 0.823089", \ + "0.0398097, 0.109745, 0.152408, 0.221195, 0.335695, 0.526297, 0.844005", \ + "0.0451245, 0.123701, 0.169142, 0.240215, 0.355528, 0.546215, 0.86385", \ + "0.0526753, 0.145872, 0.196211, 0.272595, 0.39301, 0.586109, 0.90372", \ + "0.0670965, 0.18262, 0.241877, 0.327686, 0.458773, 0.662467, 0.986805", \ + "0.0896953, 0.237814, 0.311018, 0.412665, 0.562268, 0.789682, 1.13578", \ + "0.116103, 0.309214, 0.40243, 0.533135, 0.714299, 0.975666, 1.36692" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0196591, 0.106424, 0.167032, 0.267021, 0.434566, 0.713926, 1.17913", \ + "0.0288757, 0.112375, 0.170401, 0.268395, 0.435521, 0.713927, 1.17914", \ + "0.0389438, 0.122956, 0.179811, 0.274995, 0.438006, 0.714366, 1.17915", \ + "0.0560348, 0.144639, 0.201456, 0.294537, 0.453007, 0.722675, 1.18266", \ + "0.0854151, 0.186743, 0.244418, 0.338464, 0.494097, 0.756821, 1.20234", \ + "0.137278, 0.260172, 0.325083, 0.420777, 0.580569, 0.841847, 1.27838", \ + "0.225221, 0.385545, 0.465002, 0.577063, 0.742402, 1.01221, 1.45149" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.023652, 0.0707923, 0.10226, 0.154352, 0.240525, 0.384578, 0.624836", \ + "0.0345491, 0.0916631, 0.125025, 0.177827, 0.264627, 0.408795, 0.648894", \ + "0.0412361, 0.107624, 0.144011, 0.199972, 0.288893, 0.433694, 0.673821", \ + "0.0510309, 0.132411, 0.17499, 0.236951, 0.332644, 0.482401, 0.724744", \ + "0.0621324, 0.16636, 0.217504, 0.291004, 0.399578, 0.563408, 0.81708", \ + "0.074513, 0.210481, 0.276484, 0.366691, 0.497289, 0.687422, 0.968704", \ + "0.0864736, 0.264468, 0.351019, 0.471023, 0.635301, 0.86563, 1.19798" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0165214, 0.0782455, 0.121872, 0.194455, 0.315083, 0.516204, 0.85244", \ + "0.0267881, 0.0864234, 0.127623, 0.19731, 0.315958, 0.516663, 0.852441", \ + "0.0375021, 0.0973533, 0.138433, 0.206195, 0.321985, 0.519119, 0.855101", \ + "0.0566431, 0.120244, 0.161378, 0.228804, 0.341735, 0.533076, 0.859635", \ + "0.0885068, 0.164596, 0.206715, 0.274753, 0.387736, 0.575457, 0.891927", \ + "0.141446, 0.242778, 0.290119, 0.36346, 0.478636, 0.669102, 0.980681", \ + "0.234046, 0.376805, 0.44068, 0.525964, 0.650806, 0.848407, 1.16589" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0379942, 0.0971165, 0.1379, 0.205644, 0.319609, 0.510135, 0.827594", \ + "0.0526575, 0.112197, 0.152953, 0.220787, 0.334772, 0.524945, 0.842083", \ + "0.0617852, 0.122004, 0.162749, 0.230284, 0.343826, 0.533986, 0.851653", \ + "0.0743657, 0.135479, 0.17668, 0.244172, 0.357465, 0.547201, 0.864241", \ + "0.0873954, 0.150788, 0.19141, 0.258887, 0.37268, 0.562018, 0.878248", \ + "0.0996754, 0.168377, 0.208621, 0.275917, 0.388812, 0.579363, 0.895527", \ + "0.105741, 0.183436, 0.225953, 0.293441, 0.407515, 0.596491, 0.913242" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0193801, 0.106373, 0.16693, 0.267079, 0.43455, 0.713879, 1.17926", \ + "0.0193811, 0.106374, 0.166931, 0.26708, 0.434551, 0.713974, 1.17944", \ + "0.0205021, 0.106375, 0.166932, 0.267081, 0.434552, 0.714526, 1.18003", \ + "0.0229159, 0.106376, 0.166933, 0.267082, 0.434553, 0.714527, 1.18004", \ + "0.0276814, 0.106377, 0.166934, 0.267083, 0.434554, 0.714528, 1.18005", \ + "0.0366883, 0.111033, 0.166935, 0.267084, 0.434555, 0.714529, 1.18006", \ + "0.053031, 0.126666, 0.178598, 0.270346, 0.434556, 0.71453, 1.18007" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0390115, 0.0697999, 0.0876534, 0.116709, 0.165245, 0.245916, 0.380724", \ + "0.057333, 0.0893949, 0.107602, 0.136855, 0.185452, 0.266191, 0.400788", \ + "0.0685728, 0.101603, 0.119885, 0.149155, 0.197864, 0.278799, 0.41346", \ + "0.0841747, 0.119592, 0.138248, 0.167774, 0.216296, 0.297239, 0.432023", \ + "0.110417, 0.149961, 0.169545, 0.200007, 0.249411, 0.330086, 0.464797", \ + "0.151738, 0.198388, 0.220486, 0.252693, 0.304746, 0.388182, 0.523939", \ + "0.207986, 0.264628, 0.290747, 0.327244, 0.383051, 0.472179, 0.61554" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01085, 0.0446006, 0.0684137, 0.108444, 0.176443, 0.290107, 0.479733", \ + "0.013541, 0.0459995, 0.0694253, 0.109185, 0.176802, 0.290391, 0.482076", \ + "0.0156356, 0.0470507, 0.0701631, 0.109812, 0.17729, 0.290639, 0.482077", \ + "0.0196465, 0.0497172, 0.0720449, 0.110799, 0.177937, 0.291146, 0.482078", \ + "0.0266075, 0.0560416, 0.0774126, 0.115048, 0.180873, 0.292904, 0.482079", \ + "0.037564, 0.068207, 0.089023, 0.125233, 0.190489, 0.301258, 0.48739", \ + "0.054902, 0.089662, 0.109944, 0.144934, 0.209755, 0.321646, 0.508362" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0247955, 0.0865853, 0.127915, 0.195871, 0.309665, 0.499134, 0.81527", \ + "0.0328479, 0.104919, 0.147733, 0.216392, 0.330394, 0.520126, 0.835773", \ + "0.0359629, 0.118306, 0.164054, 0.235155, 0.350151, 0.539867, 0.855859", \ + "0.0396099, 0.13858, 0.190128, 0.267094, 0.387214, 0.579581, 0.895765", \ + "0.0449778, 0.171318, 0.232633, 0.320034, 0.452229, 0.655852, 0.978969", \ + "0.0496642, 0.216131, 0.292946, 0.400286, 0.551793, 0.781041, 1.12775", \ + "0.0496652, 0.261505, 0.363033, 0.500998, 0.691133, 0.958895, 1.35173" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0152415, 0.100947, 0.161103, 0.260388, 0.426847, 0.704207, 1.1667", \ + "0.0245991, 0.107216, 0.164701, 0.261903, 0.427235, 0.704385, 1.16671", \ + "0.0351758, 0.118069, 0.174333, 0.268679, 0.430415, 0.705339, 1.16672", \ + "0.0528471, 0.139775, 0.196332, 0.288632, 0.445672, 0.713502, 1.17092", \ + "0.0820874, 0.183153, 0.23983, 0.333011, 0.487504, 0.748114, 1.19027", \ + "0.132751, 0.259332, 0.321963, 0.419477, 0.575167, 0.834379, 1.26759", \ + "0.222259, 0.386616, 0.464413, 0.577699, 0.742222, 1.00882, 1.44168" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.021815, 0.0694537, 0.100915, 0.152647, 0.239184, 0.38332, 0.623312", \ + "0.0362411, 0.0990754, 0.133565, 0.186715, 0.273526, 0.417517, 0.657456", \ + "0.0456357, 0.120025, 0.159551, 0.217942, 0.308091, 0.452931, 0.692818", \ + "0.0601852, 0.151661, 0.199217, 0.267505, 0.368574, 0.521989, 0.765263", \ + "0.0792657, 0.194899, 0.253028, 0.336504, 0.456809, 0.633129, 0.896002", \ + "0.107106, 0.25688, 0.330108, 0.432184, 0.581176, 0.793999, 1.10236", \ + "0.150015, 0.344861, 0.439728, 0.572554, 0.755909, 1.01946, 1.39625" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0174259, 0.0782996, 0.122004, 0.194064, 0.315075, 0.516634, 0.852453", \ + "0.0305959, 0.0918141, 0.131789, 0.199452, 0.316728, 0.516635, 0.852454", \ + "0.041735, 0.108071, 0.148648, 0.214503, 0.326886, 0.520852, 0.853821", \ + "0.0610838, 0.13687, 0.180446, 0.248625, 0.359113, 0.545022, 0.865087", \ + "0.0939269, 0.186618, 0.235982, 0.310225, 0.426998, 0.611926, 0.919648", \ + "0.150085, 0.272818, 0.330082, 0.414599, 0.542952, 0.743605, 1.05562", \ + "0.249298, 0.42164, 0.496184, 0.594683, 0.744819, 0.965786, 1.30723" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0131671, 0.0138466, 0.013959, 0.0138581, 0.0136766, 0.0132622, 0.012859", \ + "0.0147146, 0.0148283, 0.0149967, 0.0148372, 0.0146843, 0.0143939, 0.013979", \ + "0.0172508, 0.0169858, 0.0169314, 0.0171949, 0.0166967, 0.0168088, 0.0162579", \ + "0.0231332, 0.0223052, 0.0222501, 0.0220402, 0.0220147, 0.0214429, 0.021725", \ + "0.0357602, 0.0340125, 0.0337673, 0.0335158, 0.0332776, 0.0326807, 0.033836", \ + "0.0616946, 0.0582948, 0.0577645, 0.0571645, 0.0566852, 0.0562962, 0.0552133", \ + "0.113783, 0.108276, 0.106948, 0.105879, 0.104836, 0.103949, 0.103152" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167811, 0.017133, 0.0170776, 0.0168858, 0.0166921, 0.0162692, 0.015757", \ + "0.0178666, 0.0179742, 0.0178801, 0.0177951, 0.0177875, 0.0173192, 0.0168287", \ + "0.0202359, 0.0199552, 0.0199943, 0.0198122, 0.0195441, 0.0199164, 0.0186133", \ + "0.0256832, 0.0248263, 0.0250143, 0.0249566, 0.0248831, 0.0239929, 0.0241992", \ + "0.0378121, 0.0359053, 0.0357993, 0.0359166, 0.0357543, 0.0356201, 0.0346512", \ + "0.0633089, 0.0595756, 0.0592474, 0.0588509, 0.058351, 0.0582986, 0.0579447", \ + "0.114151, 0.108188, 0.10713, 0.106487, 0.105568, 0.105016, 0.104738" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0139795, 0.0132767, 0.0128913, 0.0124807, 0.0119783, 0.0114038, 0.0108535", \ + "0.0144369, 0.0142142, 0.0139791, 0.0136942, 0.0131529, 0.0125863, 0.0119573", \ + "0.0166501, 0.0163522, 0.0161516, 0.0161156, 0.0153542, 0.0148899, 0.0142652", \ + "0.0220061, 0.0213871, 0.0213166, 0.0209582, 0.0206378, 0.0198352, 0.020116", \ + "0.0340755, 0.0325526, 0.0322979, 0.0319879, 0.0317104, 0.0308548, 0.0317881", \ + "0.0588384, 0.0556186, 0.0551073, 0.0545827, 0.0541289, 0.0538508, 0.0528363", \ + "0.109162, 0.103234, 0.102378, 0.101043, 0.100398, 0.0995695, 0.0986349" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0155768, 0.0152302, 0.0149723, 0.0146127, 0.014284, 0.0137347, 0.0131286", \ + "0.0175602, 0.017139, 0.0168719, 0.016573, 0.0166699, 0.0157441, 0.0158479", \ + "0.0202421, 0.019554, 0.0195025, 0.0191295, 0.0187557, 0.0183137, 0.0173994", \ + "0.0257548, 0.0244615, 0.024376, 0.0242987, 0.0239882, 0.0229219, 0.0230346", \ + "0.038053, 0.0353614, 0.0349942, 0.0348949, 0.0347408, 0.0341904, 0.0330275", \ + "0.0629868, 0.0586706, 0.0581518, 0.0574362, 0.0568998, 0.056419, 0.0557907", \ + "0.112427, 0.105697, 0.104397, 0.10325, 0.102658, 0.101395, 0.100681" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00661853; + rise_capacitance : 0.00662426; + rise_capacitance_range (0.00662426, 0.00662426); + fall_capacitance : 0.0066128; + fall_capacitance_range (0.0066128, 0.0066128); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00572532; + rise_capacitance : 0.00580195; + rise_capacitance_range (0.00580195, 0.00580195); + fall_capacitance : 0.00564869; + fall_capacitance_range (0.00564869, 0.00564869); + } + } +} diff --git a/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_slow_1p08V_125C.lib b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_slow_1p08V_125C.lib new file mode 100644 index 0000000000..ddf071d608 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_slow_1p08V_125C.lib @@ -0,0 +1,28275 @@ +/************************************************************************ + + Copyright 2024 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library (sg13g2_stdcell_slow_1p08V_125C) { + comment : "IHP Microelectronics GmbH, 2024"; + date : "$Date: Thu Mar 14 15:11:13 2024 $"; + revision : "$Revision: 0.1.0 $"; + delay_model : table_lookup; + capacitive_load_unit (1,pf); + current_unit : "1uA"; + leakage_power_unit : "1pW"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1V"; + default_inout_pin_cap : 0.006; + default_input_pin_cap : 0.006; + default_leakage_power_density : 0; + default_max_capacitance : 0.3; + default_max_fanout : 8; + default_wire_load_area : 0.29; + default_wire_load_capacitance : 0.0003; + default_wire_load_mode : "top"; + default_wire_load_resistance : 0.0057; + default_fanout_load : 1; + default_cell_leakage_power : 0; + default_output_pin_cap : 0; + default_max_transition : 2.5074; + in_place_swap_mode : match_footprint; + input_threshold_pct_fall : 50; + input_threshold_pct_rise : 50; + nom_process : 1; + nom_temperature : 125; + nom_voltage : 1.08; + output_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + slew_derate_from_library : 1; + slew_lower_threshold_pct_fall : 20; + slew_lower_threshold_pct_rise : 20; + slew_upper_threshold_pct_fall : 80; + slew_upper_threshold_pct_rise : 80; + operating_conditions (sg13g2_stdcell_slow_1p08V_125C) { + process : 1; + temperature : 125; + voltage : 1.08; + } + wire_load (Zero) { + area : 0.01; + capacitance : 0.0001; + resistance : 0.0001; + slope : 1; + fanout_length (1, 0.01); + fanout_length (5, 0.01); + fanout_length (20, 0.01); + } + wire_load ("0_1k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0035; + slope : 7.387; + fanout_length (1, 6.43); + fanout_length (5, 38.56); + fanout_length (20, 146.8); + } + wire_load ("0_5k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0042; + slope : 10.99; + fanout_length (1, 7.89); + fanout_length (5, 47.32); + fanout_length (20, 169.4); + } + wire_load ("1k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0053; + slope : 10.9; + fanout_length (1, 9.93); + fanout_length (5, 59.59); + fanout_length (20, 215.6); + } + wire_load ("2k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0063; + slope : 16.87; + fanout_length (1, 11.68); + fanout_length (5, 70.11); + fanout_length (20, 239.5); + } + wire_load ("5k") { + area : 0.36; + capacitance : 0.0003; + resistance : 0.0072; + slope : 14.58; + fanout_length (1, 13.44); + fanout_length (5, 80.62); + fanout_length (20, 287.1); + } + wire_load ("10k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.008; + slope : 18.94; + fanout_length (1, 14.9); + fanout_length (5, 89.39); + fanout_length (20, 381.6); + } + wire_load ("30k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.0094; + slope : 24.61; + fanout_length (1, 17.53); + fanout_length (5, 105.2); + fanout_length (20, 515.5); + } + wire_load ("50k") { + area : 0.37; + capacitance : 0.0005; + resistance : 0.0152; + slope : 31.81; + fanout_length (1, 28.33); + fanout_length (5, 170); + fanout_length (20, 607.6); + } + wire_load ("100k") { + area : 0.39; + capacitance : 0.0009; + resistance : 0.0265; + slope : 40.22; + fanout_length (1, 49.37); + fanout_length (5, 296.2); + fanout_length (20, 812.1); + } + wire_load ("200k") { + area : 0.41; + capacitance : 0.0016; + resistance : 0.0456; + slope : 52.68; + fanout_length (1, 84.71); + fanout_length (5, 508.3); + fanout_length (20, 1051.7); + } + wire_load ("500k") { + area : 0.45; + capacitance : 0.0027; + resistance : 0.076; + slope : 67.44; + fanout_length (1, 141.4); + fanout_length (5, 848.3); + fanout_length (20, 1527.1); + } + wire_load_selection ("4_metls_routing") { + wire_load_from_area (0, 635, 0_1k); + wire_load_from_area (635, 3175, 0_5k); + wire_load_from_area (3175, 6350, 1k); + wire_load_from_area (6350, 12701, 2k); + wire_load_from_area (12701, 31752, 5k); + wire_load_from_area (31752, 63504, 10k); + wire_load_from_area (63504, 190512, 30k); + wire_load_from_area (190512, 317520, 50k); + wire_load_from_area (317520, 635040, 100k); + wire_load_from_area (635040, 1.27008e+06, 200k); + wire_load_from_area (1.27008e+06, 3.1752e+06, 500k); + } + default_wire_load : "1k"; + default_operating_conditions : sg13g2_stdcell_slow_1p08V_125C; + default_wire_load_selection : "4_metls_routing"; + lu_table_template (CONSTRAINT_4x4) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + } + power_lut_template (POWER_7x7ds1) { + variable_1 : input_transition_time; + variable_2 : total_output_net_capacitance; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + } + lu_table_template (TIMING_DELAY_7x7ds1) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + } + lu_table_template (mpw_CONSTRAINT_4x4) { + variable_1 : constrained_pin_transition; + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + } + power_lut_template (passive_POWER_7x1ds1) { + variable_1 : input_transition_time; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + } + cell (sg13g2_a21o_1) { + area : 12.7008; + cell_footprint : "AO21"; + cell_leakage_power : 650.209; + leakage_power () { + value : 628.111; + when : "!A1&!A2&!B1"; + } + leakage_power () { + value : 704.462; + when : "!A1&A2&!B1"; + } + leakage_power () { + value : 692.543; + when : "A1&!A2&!B1"; + } + leakage_power () { + value : 1047.73; + when : "A1&A2&!B1"; + } + leakage_power () { + value : 573.47; + when : "!A1&!A2&B1"; + } + leakage_power () { + value : 571.431; + when : "!A1&A2&B1"; + } + leakage_power () { + value : 571.425; + when : "A1&!A2&B1"; + } + leakage_power () { + value : 412.504; + when : "A1&A2&B1"; + } + pin (X) { + direction : "output"; + function : "((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.126388, 0.236418, 0.305122, 0.417523, 0.605345, 0.917376, 1.43714", \ + "0.165471, 0.275671, 0.344423, 0.456998, 0.644543, 0.95682, 1.47641", \ + "0.198899, 0.309724, 0.378657, 0.491145, 0.678939, 0.991163, 1.51087", \ + "0.251056, 0.364034, 0.43303, 0.545814, 0.733656, 1.04594, 1.56556", \ + "0.325742, 0.44334, 0.511961, 0.624194, 0.812008, 1.12455, 1.64435", \ + "0.428085, 0.561089, 0.628391, 0.740437, 0.927528, 1.23886, 1.75916", \ + "0.56273, 0.719797, 0.789712, 0.901837, 1.08855, 1.399, 1.91917" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0326232, 0.164664, 0.260578, 0.4201, 0.687534, 1.13344, 1.87732", \ + "0.0333247, 0.164784, 0.260579, 0.420101, 0.687599, 1.1336, 1.87788", \ + "0.035729, 0.165522, 0.26102, 0.420284, 0.689131, 1.13376, 1.87789", \ + "0.0414789, 0.16719, 0.262204, 0.421227, 0.689132, 1.13696, 1.8779", \ + "0.0526241, 0.170635, 0.264158, 0.422712, 0.689498, 1.13697, 1.87791", \ + "0.070481, 0.180078, 0.26902, 0.424829, 0.691201, 1.13698, 1.87916", \ + "0.096119, 0.199392, 0.28136, 0.432075, 0.694846, 1.13993, 1.88216" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.140183, 0.242807, 0.301784, 0.397753, 0.557793, 0.824153, 1.26746", \ + "0.17538, 0.27797, 0.337116, 0.433095, 0.593171, 0.859412, 1.30366", \ + "0.204213, 0.308196, 0.36748, 0.463546, 0.623585, 0.889842, 1.33341", \ + "0.249457, 0.357107, 0.416905, 0.513288, 0.673568, 0.939754, 1.38321", \ + "0.312215, 0.427239, 0.48747, 0.584369, 0.745057, 1.01133, 1.45477", \ + "0.386147, 0.514769, 0.576527, 0.673878, 0.833765, 1.10032, 1.54419", \ + "0.473954, 0.631419, 0.698913, 0.798827, 0.958462, 1.22423, 1.66901" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0330763, 0.139449, 0.214046, 0.340827, 0.555029, 0.912775, 1.5093", \ + "0.0331006, 0.13945, 0.214152, 0.340979, 0.55503, 0.913011, 1.50938", \ + "0.0347624, 0.139744, 0.214644, 0.341042, 0.555166, 0.913012, 1.50939", \ + "0.0390332, 0.142576, 0.216438, 0.342365, 0.555952, 0.913013, 1.51091", \ + "0.0469699, 0.148616, 0.220619, 0.344882, 0.557693, 0.913884, 1.51092", \ + "0.063259, 0.160062, 0.228849, 0.350018, 0.560419, 0.916111, 1.51146", \ + "0.090185, 0.186866, 0.250731, 0.364848, 0.567559, 0.919893, 1.51438" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.132357, 0.242282, 0.3108, 0.42356, 0.611299, 0.923088, 1.44288", \ + "0.170719, 0.281025, 0.349621, 0.462129, 0.649738, 0.962646, 1.48232", \ + "0.202963, 0.313879, 0.382556, 0.495239, 0.682994, 0.995253, 1.51457", \ + "0.255209, 0.368607, 0.437556, 0.550122, 0.737842, 1.05024, 1.56958", \ + "0.333191, 0.450758, 0.519799, 0.632524, 0.820184, 1.13261, 1.65206", \ + "0.440818, 0.570865, 0.640318, 0.752658, 0.94005, 1.25207, 1.77202", \ + "0.584573, 0.738605, 0.809528, 0.921697, 1.10929, 1.4204, 1.94084" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0325895, 0.164671, 0.260471, 0.419938, 0.68753, 1.13346, 1.87714", \ + "0.0328804, 0.164764, 0.260588, 0.420012, 0.687615, 1.1347, 1.87715", \ + "0.0348153, 0.165295, 0.260773, 0.420141, 0.688724, 1.13471, 1.87793", \ + "0.0384767, 0.166593, 0.261667, 0.420736, 0.688725, 1.13472, 1.87794", \ + "0.0467272, 0.169751, 0.263566, 0.421887, 0.689066, 1.13473, 1.87795", \ + "0.062335, 0.177791, 0.268367, 0.424127, 0.690512, 1.1356, 1.87905", \ + "0.085803, 0.196289, 0.280726, 0.431432, 0.69438, 1.13872, 1.88073" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.152157, 0.256218, 0.315704, 0.411946, 0.572361, 0.838908, 1.28252", \ + "0.188863, 0.292871, 0.352381, 0.448781, 0.609345, 0.875903, 1.32001", \ + "0.219083, 0.324033, 0.383513, 0.480046, 0.640366, 0.907683, 1.35067", \ + "0.267416, 0.375525, 0.435516, 0.532221, 0.692738, 0.959248, 1.40295", \ + "0.335804, 0.451007, 0.511501, 0.60867, 0.76973, 1.03639, 1.48018", \ + "0.419733, 0.547031, 0.60959, 0.706863, 0.868056, 1.1347, 1.57886", \ + "0.522573, 0.673585, 0.740898, 0.840512, 1.00107, 1.26776, 1.71175" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0343965, 0.140257, 0.215391, 0.3418, 0.555856, 0.913594, 1.51008", \ + "0.0343975, 0.140258, 0.215392, 0.342097, 0.556501, 0.913595, 1.51017", \ + "0.0356814, 0.140853, 0.215721, 0.342098, 0.556502, 0.915052, 1.51021", \ + "0.0394177, 0.143252, 0.217125, 0.342991, 0.556743, 0.915053, 1.51123", \ + "0.046438, 0.148492, 0.220818, 0.345353, 0.55787, 0.915054, 1.51124", \ + "0.060795, 0.1589, 0.228923, 0.350113, 0.560544, 0.916764, 1.51195", \ + "0.085273, 0.182421, 0.247541, 0.362369, 0.566843, 0.920509, 1.51472" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0793626, 0.182708, 0.2504, 0.362158, 0.548944, 0.860544, 1.37984", \ + "0.120656, 0.224961, 0.292823, 0.404744, 0.591765, 0.903101, 1.42192", \ + "0.150723, 0.257112, 0.324982, 0.436758, 0.623719, 0.935617, 1.45461", \ + "0.195309, 0.306482, 0.374409, 0.486326, 0.673311, 0.984692, 1.50363", \ + "0.255039, 0.377708, 0.445771, 0.557423, 0.744472, 1.05579, 1.57483", \ + "0.33179, 0.476733, 0.545455, 0.657423, 0.84414, 1.15464, 1.67345", \ + "0.434744, 0.615162, 0.687732, 0.798802, 0.986326, 1.29783, 1.81582" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0262321, 0.159289, 0.255879, 0.415712, 0.683282, 1.1301, 1.87348", \ + "0.0294035, 0.159478, 0.25588, 0.415976, 0.683546, 1.13011, 1.87349", \ + "0.0340882, 0.160239, 0.256219, 0.415977, 0.683547, 1.13012, 1.8735", \ + "0.0434209, 0.161903, 0.257165, 0.416374, 0.683834, 1.13313, 1.87351", \ + "0.0593466, 0.166596, 0.259355, 0.417609, 0.684576, 1.13314, 1.87352", \ + "0.083121, 0.179267, 0.266409, 0.420862, 0.68641, 1.13315, 1.87425", \ + "0.115353, 0.209281, 0.28594, 0.430257, 0.691403, 1.13481, 1.87676" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.137378, 0.241545, 0.300877, 0.397264, 0.557655, 0.824201, 1.26806", \ + "0.17119, 0.275299, 0.334692, 0.431206, 0.59157, 0.85817, 1.30228", \ + "0.202939, 0.308225, 0.367808, 0.464339, 0.624867, 0.891416, 1.33524", \ + "0.252659, 0.360385, 0.420527, 0.517694, 0.678408, 0.944864, 1.38885", \ + "0.321483, 0.434905, 0.495393, 0.592696, 0.753926, 1.02174, 1.46548", \ + "0.407891, 0.531328, 0.592031, 0.688664, 0.849883, 1.11711, 1.56201", \ + "0.519657, 0.665331, 0.728409, 0.825368, 0.985394, 1.25289, 1.69694" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0343776, 0.140186, 0.215399, 0.341818, 0.555914, 0.913587, 1.51001", \ + "0.0345176, 0.140231, 0.2154, 0.341819, 0.555915, 0.913845, 1.51017", \ + "0.036584, 0.141304, 0.216044, 0.342112, 0.556036, 0.914039, 1.51018", \ + "0.0419046, 0.144223, 0.218247, 0.343778, 0.557147, 0.91404, 1.51019", \ + "0.0517452, 0.149418, 0.222023, 0.34683, 0.559669, 0.915695, 1.51161", \ + "0.068416, 0.158187, 0.22799, 0.350666, 0.562823, 0.919139, 1.51379", \ + "0.094701, 0.179751, 0.242994, 0.359245, 0.566815, 0.922794, 1.51735" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0743394, 0.176018, 0.243757, 0.355504, 0.54234, 0.854014, 1.37289", \ + "0.114685, 0.21675, 0.284614, 0.39646, 0.583822, 0.895086, 1.41385", \ + "0.143639, 0.246177, 0.314244, 0.425993, 0.612774, 0.924574, 1.44412", \ + "0.186236, 0.291196, 0.358955, 0.471082, 0.658296, 0.969877, 1.48918", \ + "0.24275, 0.355301, 0.422434, 0.534442, 0.721194, 1.03249, 1.55216", \ + "0.314971, 0.443193, 0.511297, 0.622433, 0.80897, 1.11935, 1.6387", \ + "0.409829, 0.565961, 0.636095, 0.746817, 0.933935, 1.24484, 1.76302" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0227196, 0.159226, 0.25575, 0.415695, 0.683691, 1.12946, 1.8741", \ + "0.0249946, 0.159379, 0.255842, 0.415828, 0.684134, 1.12963, 1.87411", \ + "0.0284263, 0.159867, 0.256225, 0.415829, 0.684135, 1.12964, 1.87412", \ + "0.0356292, 0.161198, 0.257091, 0.416473, 0.684136, 1.13449, 1.87445", \ + "0.0483562, 0.164798, 0.258908, 0.418057, 0.684839, 1.1345, 1.87446", \ + "0.06726, 0.173577, 0.264051, 0.420106, 0.686654, 1.13451, 1.87447", \ + "0.093422, 0.197351, 0.279184, 0.429132, 0.691542, 1.13512, 1.87688" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.122736, 0.225057, 0.284374, 0.380397, 0.540423, 0.806691, 1.25021", \ + "0.156011, 0.258828, 0.317869, 0.413926, 0.573969, 0.840585, 1.28448", \ + "0.185521, 0.289711, 0.349252, 0.445553, 0.60569, 0.872397, 1.31556", \ + "0.230851, 0.338401, 0.398146, 0.494954, 0.65534, 0.921781, 1.36538", \ + "0.292886, 0.405449, 0.465287, 0.561728, 0.72317, 0.989623, 1.43312", \ + "0.36861, 0.493754, 0.554327, 0.650153, 0.809835, 1.07565, 1.51951", \ + "0.465953, 0.615165, 0.677711, 0.7743, 0.933691, 1.19718, 1.64022" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0329777, 0.139011, 0.214577, 0.340766, 0.55499, 0.912824, 1.5093", \ + "0.0336943, 0.139075, 0.214578, 0.340787, 0.555236, 0.913289, 1.51012", \ + "0.0365044, 0.140501, 0.215139, 0.341317, 0.555237, 0.913939, 1.51013", \ + "0.0422954, 0.143696, 0.217927, 0.343471, 0.556493, 0.91394, 1.51014", \ + "0.0529138, 0.149805, 0.222, 0.346738, 0.559337, 0.915972, 1.51023", \ + "0.071265, 0.159082, 0.228203, 0.350313, 0.562485, 0.918935, 1.51344", \ + "0.098873, 0.183137, 0.246173, 0.360749, 0.566798, 0.922024, 1.51702" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0793626, 0.182708, 0.2504, 0.362158, 0.548944, 0.860544, 1.37984", \ + "0.120656, 0.224961, 0.292823, 0.404744, 0.591765, 0.903101, 1.42192", \ + "0.150723, 0.257112, 0.324982, 0.436758, 0.623719, 0.935617, 1.45461", \ + "0.195309, 0.306482, 0.374409, 0.486326, 0.673311, 0.984692, 1.50363", \ + "0.255039, 0.377708, 0.445771, 0.557423, 0.744472, 1.05579, 1.57483", \ + "0.33179, 0.476733, 0.545455, 0.657423, 0.84414, 1.15464, 1.67345", \ + "0.434744, 0.615162, 0.687732, 0.798802, 0.986326, 1.29783, 1.81582" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0262321, 0.159289, 0.255879, 0.415712, 0.683282, 1.1301, 1.87348", \ + "0.0294035, 0.159478, 0.25588, 0.415976, 0.683546, 1.13011, 1.87349", \ + "0.0340882, 0.160239, 0.256219, 0.415977, 0.683547, 1.13012, 1.8735", \ + "0.0434209, 0.161903, 0.257165, 0.416374, 0.683834, 1.13313, 1.87351", \ + "0.0593466, 0.166596, 0.259355, 0.417609, 0.684576, 1.13314, 1.87352", \ + "0.083121, 0.179267, 0.266409, 0.420862, 0.68641, 1.13315, 1.87425", \ + "0.115353, 0.209281, 0.28594, 0.430257, 0.691403, 1.13481, 1.87676" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.137378, 0.241545, 0.300877, 0.397264, 0.557655, 0.824201, 1.26806", \ + "0.17119, 0.275299, 0.334692, 0.431206, 0.59157, 0.85817, 1.30228", \ + "0.202939, 0.308225, 0.367808, 0.464339, 0.624867, 0.891416, 1.33524", \ + "0.252659, 0.360385, 0.420527, 0.517694, 0.678408, 0.944864, 1.38885", \ + "0.321483, 0.434905, 0.495393, 0.592696, 0.753926, 1.02174, 1.46548", \ + "0.407891, 0.531328, 0.592031, 0.688664, 0.849883, 1.11711, 1.56201", \ + "0.519657, 0.665331, 0.728409, 0.825368, 0.985394, 1.25289, 1.69694" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0343776, 0.140186, 0.215399, 0.341818, 0.555914, 0.913587, 1.51001", \ + "0.0345176, 0.140231, 0.2154, 0.341819, 0.555915, 0.913845, 1.51017", \ + "0.036584, 0.141304, 0.216044, 0.342112, 0.556036, 0.914039, 1.51018", \ + "0.0419046, 0.144223, 0.218247, 0.343778, 0.557147, 0.91404, 1.51019", \ + "0.0517452, 0.149418, 0.222023, 0.34683, 0.559669, 0.915695, 1.51161", \ + "0.068416, 0.158187, 0.22799, 0.350666, 0.562823, 0.919139, 1.51379", \ + "0.094701, 0.179751, 0.242994, 0.359245, 0.566815, 0.922794, 1.51735" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0059209, 0.0062206, 0.0062427, 0.00622209, 0.00615726, 0.00598575, 0.00608015", \ + "0.00569254, 0.00597977, 0.00601335, 0.00600404, 0.00593203, 0.00578094, 0.00589299", \ + "0.00564346, 0.00587472, 0.0059535, 0.00591857, 0.00591438, 0.00571094, 0.00576498", \ + "0.00566816, 0.00582553, 0.0058506, 0.00596502, 0.00582361, 0.00580414, 0.00565848", \ + "0.00586122, 0.00593523, 0.0059819, 0.00590484, 0.00586677, 0.00602825, 0.00590354", \ + "0.00648446, 0.00652549, 0.00646678, 0.00649584, 0.00649251, 0.00620205, 0.00662219", \ + "0.00799432, 0.00783802, 0.0077828, 0.00785271, 0.0078457, 0.00779473, 0.00748431" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0064985, 0.0068687, 0.00689253, 0.00686686, 0.00678597, 0.00666591, 0.00638119", \ + "0.00630948, 0.00669556, 0.0067305, 0.00672181, 0.00663792, 0.00649072, 0.00634346", \ + "0.00625183, 0.00659201, 0.00667534, 0.0066309, 0.00656347, 0.0064214, 0.00618416", \ + "0.00619702, 0.00650692, 0.00651504, 0.00657162, 0.00649874, 0.00635658, 0.00618754", \ + "0.00623027, 0.00649761, 0.00654265, 0.00654645, 0.00650757, 0.00652602, 0.0061714", \ + "0.00652225, 0.00663503, 0.00665807, 0.00669467, 0.00665884, 0.0063831, 0.00645696", \ + "0.00772932, 0.00760335, 0.00765417, 0.00769357, 0.00765283, 0.00763051, 0.00765858" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00693543, 0.00722815, 0.00724347, 0.00724724, 0.00716437, 0.00698915, 0.0070787", \ + "0.00678719, 0.0070966, 0.00713739, 0.00709571, 0.0070267, 0.00695684, 0.00701487", \ + "0.00673683, 0.00699229, 0.00705828, 0.0070425, 0.00700716, 0.00683817, 0.00688761", \ + "0.00669577, 0.00692131, 0.00694553, 0.00706708, 0.00692166, 0.00679361, 0.00682287", \ + "0.00674353, 0.00687842, 0.0069379, 0.00691958, 0.0068434, 0.00681351, 0.00681374", \ + "0.00710544, 0.00712742, 0.00717446, 0.00719218, 0.00720461, 0.00689683, 0.0073903", \ + "0.00823046, 0.0081053, 0.00805587, 0.00811244, 0.00814668, 0.00809515, 0.00780394" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00650277, 0.00686156, 0.00688927, 0.00686482, 0.00683234, 0.00666711, 0.00639982", \ + "0.00640166, 0.00678532, 0.00682026, 0.00682061, 0.00677281, 0.00663949, 0.00640851", \ + "0.00635986, 0.00669964, 0.00678338, 0.00678134, 0.00668425, 0.00663427, 0.0062776", \ + "0.0063378, 0.00661874, 0.00662997, 0.00669956, 0.00660593, 0.00650332, 0.00628708", \ + "0.00636517, 0.00662805, 0.00669483, 0.00668995, 0.0066292, 0.00691965, 0.00626802", \ + "0.00663732, 0.00676715, 0.00682491, 0.00689616, 0.00690454, 0.00660502, 0.0068024", \ + "0.00770294, 0.00761441, 0.00768316, 0.00773904, 0.00770794, 0.00777919, 0.00768849" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00576237, 0.00606325, 0.00604167, 0.00599553, 0.00589153, 0.00573712, 0.00579645", \ + "0.00544693, 0.00578006, 0.00575237, 0.00573648, 0.00565154, 0.00546759, 0.00550187", \ + "0.00536624, 0.00563626, 0.00574406, 0.00569994, 0.0055638, 0.00543307, 0.00542046", \ + "0.00536953, 0.00561108, 0.00561496, 0.00571377, 0.00555339, 0.00555885, 0.00537812", \ + "0.00562185, 0.0057957, 0.0058692, 0.00580995, 0.00581195, 0.00617447, 0.00567135", \ + "0.00630704, 0.00633631, 0.0064261, 0.00650083, 0.00653496, 0.00625647, 0.00661993", \ + "0.00804556, 0.00787823, 0.00791084, 0.00787163, 0.00797966, 0.00808802, 0.0078078" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00465868, 0.0050242, 0.00503885, 0.00501826, 0.00495308, 0.00482941, 0.004562", \ + "0.00444722, 0.00479803, 0.00482773, 0.00483437, 0.00480295, 0.00466071, 0.00443834", \ + "0.00442551, 0.00470663, 0.00480892, 0.00477614, 0.00471037, 0.00460487, 0.0043578", \ + "0.0044791, 0.00469178, 0.00471263, 0.00476316, 0.00470144, 0.00457448, 0.00433649", \ + "0.00480506, 0.00497039, 0.00503308, 0.0050279, 0.00497489, 0.00511777, 0.00469367", \ + "0.00539603, 0.00542353, 0.00543809, 0.0054734, 0.00548864, 0.00513583, 0.00533311", \ + "0.00696658, 0.00682895, 0.00681953, 0.00680019, 0.0067668, 0.00690334, 0.00675247" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00470565, 0.0050182, 0.0049995, 0.00494624, 0.00486651, 0.00483921, 0.0047683", \ + "0.00439255, 0.00473228, 0.00469108, 0.00466711, 0.00462259, 0.00441934, 0.0044836", \ + "0.00430789, 0.00455292, 0.0046602, 0.00461751, 0.00447479, 0.00433492, 0.00441821", \ + "0.00431122, 0.00453651, 0.00451319, 0.00461466, 0.00447895, 0.00451702, 0.0043475", \ + "0.00456892, 0.00473377, 0.0047607, 0.00475018, 0.00468969, 0.00480514, 0.00453002", \ + "0.00523481, 0.00528203, 0.00536544, 0.00540781, 0.00543021, 0.00511014, 0.00547956", \ + "0.00691618, 0.00678115, 0.00682347, 0.00684098, 0.00685519, 0.00689791, 0.00671398" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00454051, 0.00490146, 0.00491232, 0.00490653, 0.00484888, 0.00469462, 0.00446766", \ + "0.0043244, 0.00470372, 0.0047601, 0.00470901, 0.00466518, 0.004559, 0.00434121", \ + "0.00432021, 0.00460752, 0.00469852, 0.00465694, 0.00459748, 0.00454442, 0.00420537", \ + "0.00440469, 0.00467056, 0.00465799, 0.00471153, 0.00465062, 0.00452479, 0.0042964", \ + "0.00471677, 0.00489257, 0.00491123, 0.00487644, 0.00482151, 0.00485844, 0.00445757", \ + "0.00539575, 0.00538643, 0.00545997, 0.00544467, 0.00542187, 0.00507851, 0.00492407", \ + "0.0070343, 0.00682012, 0.00687967, 0.00681181, 0.00677323, 0.00661675, 0.00634646" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00470565, 0.0050182, 0.0049995, 0.00494624, 0.00486651, 0.00483921, 0.0047683", \ + "0.00439255, 0.00473228, 0.00469108, 0.00466711, 0.00462259, 0.00441934, 0.0044836", \ + "0.00430789, 0.00455292, 0.0046602, 0.00461751, 0.00447479, 0.00433492, 0.00441821", \ + "0.00431122, 0.00453651, 0.00451319, 0.00461466, 0.00447895, 0.00451702, 0.0043475", \ + "0.00456892, 0.00473377, 0.0047607, 0.00475018, 0.00468969, 0.00480514, 0.00453002", \ + "0.00523481, 0.00528203, 0.00536544, 0.00540781, 0.00543021, 0.00511014, 0.00547956", \ + "0.00691618, 0.00678115, 0.00682347, 0.00684098, 0.00685519, 0.00689791, 0.00671398" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00454051, 0.00490146, 0.00491232, 0.00490653, 0.00484888, 0.00469462, 0.00446766", \ + "0.0043244, 0.00470372, 0.0047601, 0.00470901, 0.00466518, 0.004559, 0.00434121", \ + "0.00432021, 0.00460752, 0.00469852, 0.00465694, 0.00459748, 0.00454442, 0.00420537", \ + "0.00440469, 0.00467056, 0.00465799, 0.00471153, 0.00465062, 0.00452479, 0.0042964", \ + "0.00471677, 0.00489257, 0.00491123, 0.00487644, 0.00482151, 0.00485844, 0.00445757", \ + "0.00539575, 0.00538643, 0.00545997, 0.00544467, 0.00542187, 0.00507851, 0.00492407", \ + "0.0070343, 0.00682012, 0.00687967, 0.00681181, 0.00677323, 0.00661675, 0.00634646" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00253072; + rise_capacitance : 0.00257019; + rise_capacitance_range (0.00257019, 0.00257019); + fall_capacitance : 0.00249125; + fall_capacitance_range (0.00249125, 0.00249125); + internal_power () { + when : "(A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000232892, 0.000135875, 0.000110548, 8.91587e-05, 7.45502e-05, 6.19058e-05, 5.04059e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.18056e-05, 3.12694e-05, 2.87479e-05, 2.75262e-05, 2.3853e-05, 2.70022e-05, 2.44719e-05" \ + ); + } + } + internal_power () { + when : "(!A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-1.65781e-05, -8.02016e-06, -1.15852e-05, -1.31969e-05, -1.11934e-05, -9.9309e-06, -9.89743e-06" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "2.81524e-05, 2.24627e-05, 2.16183e-05, 1.78373e-05, 1.96215e-05, 2.07793e-05, 2.21857e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-1.65781e-05, -8.02016e-06, -1.15852e-05, -1.31969e-05, -1.11934e-05, -9.9309e-06, -9.89743e-06" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "2.81524e-05, 2.24627e-05, 2.16183e-05, 1.78373e-05, 1.96215e-05, 2.07793e-05, 2.21857e-05" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00257911; + rise_capacitance : 0.00262627; + rise_capacitance_range (0.00262627, 0.00262627); + fall_capacitance : 0.00253195; + fall_capacitance_range (0.00253195, 0.00253195); + internal_power () { + when : "(A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000249458, 0.000155588, 0.000130917, 0.000109871, 9.4006e-05, 8.00629e-05, 6.89891e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.47974e-05, 1.26217e-05, 1.1951e-05, 1.06581e-05, 1.09044e-05, 1.08466e-05, 6.89772e-06" \ + ); + } + } + internal_power () { + when : "(!A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.02635e-06, 8.6421e-06, 9.18646e-06, 6.92186e-06, 7.24481e-06, 1.0034e-05, 9.67938e-06" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.56237e-06, 2.94312e-06, 2.51685e-06, 2.86699e-06, 3.4275e-06, 4.09687e-06, 4.64964e-06" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.02635e-06, 8.6421e-06, 9.18646e-06, 6.92186e-06, 7.24481e-06, 1.0034e-05, 9.67938e-06" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.56237e-06, 2.94312e-06, 2.51685e-06, 2.86699e-06, 3.4275e-06, 4.09687e-06, 4.64964e-06" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00232928; + rise_capacitance : 0.00231544; + rise_capacitance_range (0.00231544, 0.00231544); + fall_capacitance : 0.00234313; + fall_capacitance_range (0.00234313, 0.00234313); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000319975, 0.000321058, 0.00031972, 0.000321376, 0.000323205, 0.000324768, 0.00032448" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000530266, 0.000530022, 0.000528916, 0.000536038, 0.000536792, 0.000542371, 0.000546674" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000319975, 0.000321058, 0.00031972, 0.000321376, 0.000323205, 0.000324768, 0.00032448" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000530266, 0.000530022, 0.000528916, 0.000536038, 0.000536792, 0.000542371, 0.000546674" \ + ); + } + } + } + } + cell (sg13g2_a21o_2) { + area : 14.5152; + cell_footprint : "AO21"; + cell_leakage_power : 929.293; + leakage_power () { + value : 1133.82; + when : "!A1&!A2&!B1"; + } + leakage_power () { + value : 1228.44; + when : "!A1&A2&!B1"; + } + leakage_power () { + value : 1213.4; + when : "A1&!A2&!B1"; + } + leakage_power () { + value : 1184.16; + when : "A1&A2&!B1"; + } + leakage_power () { + value : 709.184; + when : "!A1&!A2&B1"; + } + leakage_power () { + value : 707.851; + when : "!A1&A2&B1"; + } + leakage_power () { + value : 707.851; + when : "A1&!A2&B1"; + } + leakage_power () { + value : 549.636; + when : "A1&A2&B1"; + } + pin (X) { + direction : "output"; + function : "((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.134466, 0.255151, 0.324014, 0.436838, 0.624486, 0.936889, 1.45656", \ + "0.174794, 0.295658, 0.364569, 0.477253, 0.665095, 0.977782, 1.49788", \ + "0.210047, 0.331774, 0.40078, 0.513541, 0.701587, 1.0136, 1.53331", \ + "0.265521, 0.390759, 0.459957, 0.572882, 0.760694, 1.07309, 1.5929", \ + "0.344363, 0.477403, 0.546111, 0.658202, 0.845863, 1.15755, 1.67694", \ + "0.450165, 0.602604, 0.670439, 0.782293, 0.969045, 1.28021, 1.79919", \ + "0.59104, 0.773918, 0.846244, 0.95598, 1.14168, 1.45306, 1.97041" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0339283, 0.167525, 0.262601, 0.421567, 0.689252, 1.13556, 1.87961", \ + "0.0346211, 0.167526, 0.262602, 0.422093, 0.689253, 1.13595, 1.87962", \ + "0.0376429, 0.168297, 0.262931, 0.422094, 0.689373, 1.13596, 1.87988", \ + "0.0446135, 0.170499, 0.26436, 0.422765, 0.68968, 1.13597, 1.87989", \ + "0.0576232, 0.175959, 0.267607, 0.424416, 0.691197, 1.13662, 1.88051", \ + "0.080132, 0.189413, 0.274781, 0.428429, 0.693699, 1.13856, 1.88152", \ + "0.112357, 0.217886, 0.295737, 0.439298, 0.69918, 1.14214, 1.88451" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.176431, 0.298122, 0.359162, 0.455951, 0.616012, 0.881645, 1.32394", \ + "0.211442, 0.33372, 0.394693, 0.491602, 0.651758, 0.917326, 1.35977", \ + "0.242317, 0.364894, 0.426247, 0.523136, 0.683254, 0.950786, 1.39102", \ + "0.293693, 0.419618, 0.481086, 0.578306, 0.738498, 1.00435, 1.44652", \ + "0.368638, 0.502939, 0.565598, 0.663424, 0.824168, 1.09004, 1.53226", \ + "0.466095, 0.614153, 0.679065, 0.777333, 0.938449, 1.20398, 1.64598", \ + "0.596137, 0.770813, 0.840113, 0.940747, 1.10203, 1.3668, 1.8081" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0418147, 0.151845, 0.224914, 0.349207, 0.56145, 0.917241, 1.51151", \ + "0.0418157, 0.151846, 0.224915, 0.349208, 0.561451, 0.91726, 1.5116", \ + "0.0430854, 0.152134, 0.225248, 0.349251, 0.561797, 0.9191, 1.51161", \ + "0.0473071, 0.154905, 0.227229, 0.350426, 0.561798, 0.919101, 1.51162", \ + "0.0564868, 0.161864, 0.232431, 0.354012, 0.563807, 0.919102, 1.51271", \ + "0.073264, 0.177028, 0.244813, 0.362271, 0.568831, 0.921499, 1.51335", \ + "0.104759, 0.205282, 0.267654, 0.378876, 0.578576, 0.927169, 1.51732" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.140357, 0.260799, 0.329802, 0.442502, 0.630195, 0.942546, 1.46182", \ + "0.17927, 0.300108, 0.369082, 0.481764, 0.669636, 0.982171, 1.50244", \ + "0.212505, 0.33425, 0.403349, 0.516034, 0.703907, 1.01607, 1.53651", \ + "0.26717, 0.392097, 0.461194, 0.574041, 0.761696, 1.07406, 1.59356", \ + "0.348872, 0.480112, 0.549408, 0.661826, 0.849625, 1.16164, 1.6812", \ + "0.462352, 0.608907, 0.678309, 0.791003, 0.978407, 1.29023, 1.80866", \ + "0.612777, 0.787814, 0.860058, 0.973126, 1.15899, 1.47086, 1.99" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0339288, 0.167463, 0.262518, 0.421765, 0.689288, 1.13557, 1.87997", \ + "0.0342463, 0.167468, 0.262519, 0.421908, 0.689289, 1.13622, 1.87998", \ + "0.0361625, 0.168034, 0.262756, 0.421931, 0.689522, 1.13623, 1.88008", \ + "0.0406908, 0.169857, 0.263932, 0.422376, 0.689523, 1.13624, 1.88009", \ + "0.0500007, 0.174478, 0.266651, 0.423976, 0.690814, 1.13627, 1.88038", \ + "0.068458, 0.185077, 0.273224, 0.427623, 0.692722, 1.13765, 1.88091", \ + "0.097139, 0.210535, 0.290432, 0.437877, 0.697692, 1.14082, 1.88336" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.189957, 0.313686, 0.374798, 0.472014, 0.632433, 0.898169, 1.34105", \ + "0.226689, 0.35044, 0.411657, 0.509146, 0.669563, 0.935697, 1.37829", \ + "0.258602, 0.38271, 0.4443, 0.541714, 0.702138, 0.968102, 1.41066", \ + "0.312511, 0.439139, 0.501221, 0.598772, 0.759421, 1.02555, 1.468", \ + "0.39258, 0.526646, 0.58953, 0.687869, 0.848725, 1.11489, 1.55773", \ + "0.498065, 0.646339, 0.710967, 0.810361, 0.971825, 1.238, 1.68062", \ + "0.641487, 0.812921, 0.88188, 0.983575, 1.14452, 1.41044, 1.85304" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0435233, 0.153259, 0.226534, 0.35048, 0.562564, 0.9182, 1.51244", \ + "0.0435243, 0.15326, 0.226535, 0.350481, 0.562565, 0.918201, 1.51253", \ + "0.0442299, 0.15332, 0.226562, 0.350554, 0.562566, 0.91848, 1.51254", \ + "0.0479327, 0.155576, 0.228068, 0.351661, 0.563064, 0.920112, 1.5134", \ + "0.0563758, 0.161912, 0.232869, 0.355038, 0.564696, 0.920113, 1.51372", \ + "0.071542, 0.175612, 0.243246, 0.362024, 0.568947, 0.922031, 1.51438", \ + "0.100417, 0.200856, 0.263748, 0.377, 0.578129, 0.927575, 1.51856" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0837494, 0.194856, 0.26266, 0.374681, 0.561602, 0.873099, 1.39258", \ + "0.127382, 0.239442, 0.307383, 0.41944, 0.606502, 0.918059, 1.43762", \ + "0.15998, 0.274684, 0.342632, 0.454515, 0.641785, 0.953901, 1.47281", \ + "0.208447, 0.329907, 0.397931, 0.509522, 0.696519, 1.00799, 1.53448", \ + "0.274661, 0.409901, 0.477775, 0.589238, 0.77622, 1.08745, 1.60631", \ + "0.359279, 0.520794, 0.589442, 0.699939, 0.88608, 1.19738, 1.71628", \ + "0.47027, 0.668638, 0.741899, 0.85245, 1.03833, 1.34939, 1.86783" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0253392, 0.159347, 0.255684, 0.415564, 0.683391, 1.12963, 1.8739", \ + "0.0284462, 0.159572, 0.255743, 0.415603, 0.683407, 1.13017, 1.87391", \ + "0.0333723, 0.160367, 0.25599, 0.415604, 0.683844, 1.13108, 1.87397", \ + "0.0430718, 0.162861, 0.257196, 0.416263, 0.683845, 1.13155, 1.88107", \ + "0.0600476, 0.168775, 0.260357, 0.41785, 0.684878, 1.13156, 1.88108", \ + "0.086077, 0.185336, 0.269822, 0.422205, 0.687018, 1.13268, 1.88109", \ + "0.123639, 0.222066, 0.295219, 0.435423, 0.693389, 1.13555, 1.8811" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.175865, 0.299767, 0.361012, 0.45825, 0.618584, 0.884625, 1.32711", \ + "0.20972, 0.333264, 0.394838, 0.492666, 0.652636, 0.918715, 1.36155", \ + "0.245009, 0.369185, 0.431004, 0.528306, 0.688757, 0.956603, 1.39728", \ + "0.304222, 0.431208, 0.492985, 0.590809, 0.751293, 1.01753, 1.45998", \ + "0.390469, 0.524837, 0.587471, 0.685435, 0.846473, 1.11287, 1.55532", \ + "0.50242, 0.65059, 0.715198, 0.813185, 0.973869, 1.24081, 1.68344", \ + "0.657513, 0.829661, 0.895946, 0.995704, 1.15433, 1.41958, 1.86208" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0431986, 0.153219, 0.226217, 0.35046, 0.562567, 0.918232, 1.51324", \ + "0.0432781, 0.15322, 0.226245, 0.350813, 0.562568, 0.918335, 1.51325", \ + "0.0450564, 0.153527, 0.2266, 0.350814, 0.563737, 0.920006, 1.51326", \ + "0.0510092, 0.1569, 0.228946, 0.352049, 0.563738, 0.920007, 1.51327", \ + "0.0642679, 0.163667, 0.233992, 0.356201, 0.565854, 0.920008, 1.51328", \ + "0.084464, 0.178517, 0.245708, 0.363109, 0.57046, 0.9238, 1.51588", \ + "0.117381, 0.205076, 0.265151, 0.376569, 0.578372, 0.929117, 1.52086" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0800499, 0.187974, 0.256095, 0.367757, 0.55494, 0.866556, 1.38575", \ + "0.123371, 0.231761, 0.299795, 0.411806, 0.598873, 0.910489, 1.43006", \ + "0.155326, 0.265172, 0.333348, 0.445309, 0.63253, 0.943995, 1.46355", \ + "0.202732, 0.316283, 0.38406, 0.495617, 0.682639, 0.994495, 1.51382", \ + "0.266796, 0.391113, 0.458289, 0.569102, 0.755152, 1.0664, 1.5856", \ + "0.347637, 0.49355, 0.559759, 0.670844, 0.856221, 1.16584, 1.68401", \ + "0.452512, 0.628207, 0.700196, 0.808983, 0.994513, 1.30332, 1.82111" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0216714, 0.159059, 0.255758, 0.41559, 0.683385, 1.13026, 1.87361", \ + "0.0243581, 0.159173, 0.255759, 0.415701, 0.683575, 1.13027, 1.8741", \ + "0.0285256, 0.159866, 0.255928, 0.415702, 0.683576, 1.13053, 1.87411", \ + "0.0367863, 0.161802, 0.257021, 0.416208, 0.683756, 1.13186, 1.87412", \ + "0.0516837, 0.167039, 0.25966, 0.418005, 0.684892, 1.13187, 1.87413", \ + "0.074174, 0.181305, 0.26739, 0.421725, 0.687246, 1.13228, 1.87528", \ + "0.106617, 0.211485, 0.289977, 0.433374, 0.693328, 1.13637, 1.87781" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.158946, 0.28054, 0.341965, 0.43897, 0.598773, 0.864391, 1.30673", \ + "0.192747, 0.314822, 0.375704, 0.472578, 0.632869, 0.898541, 1.34104", \ + "0.226818, 0.349411, 0.410838, 0.507983, 0.668044, 0.933789, 1.37593", \ + "0.282635, 0.40855, 0.470319, 0.567827, 0.727897, 0.993648, 1.43604", \ + "0.36231, 0.497033, 0.559183, 0.65697, 0.817888, 1.08352, 1.52588", \ + "0.46515, 0.613704, 0.67766, 0.774599, 0.934391, 1.19924, 1.64158", \ + "0.60733, 0.781716, 0.84818, 0.946798, 1.10313, 1.36806, 1.80787" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0417532, 0.15169, 0.224899, 0.349121, 0.56146, 0.917233, 1.5115", \ + "0.0418857, 0.151691, 0.2249, 0.349282, 0.561461, 0.917234, 1.51285", \ + "0.0443122, 0.152403, 0.225492, 0.34947, 0.562291, 0.917235, 1.51286", \ + "0.0512827, 0.156198, 0.228276, 0.351133, 0.56233, 0.919914, 1.51287", \ + "0.0653001, 0.163891, 0.233774, 0.355268, 0.565023, 0.919915, 1.51302", \ + "0.086472, 0.179454, 0.246212, 0.363078, 0.570481, 0.923092, 1.51528", \ + "0.121525, 0.208926, 0.266875, 0.37753, 0.578778, 0.92852, 1.52059" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0837494, 0.194856, 0.26266, 0.374681, 0.561602, 0.873099, 1.39258", \ + "0.127382, 0.239442, 0.307383, 0.41944, 0.606502, 0.918059, 1.43762", \ + "0.15998, 0.274684, 0.342632, 0.454515, 0.641785, 0.953901, 1.47281", \ + "0.208447, 0.329907, 0.397931, 0.509522, 0.696519, 1.00799, 1.53448", \ + "0.274661, 0.409901, 0.477775, 0.589238, 0.77622, 1.08745, 1.60631", \ + "0.359279, 0.520794, 0.589442, 0.699939, 0.88608, 1.19738, 1.71628", \ + "0.47027, 0.668638, 0.741899, 0.85245, 1.03833, 1.34939, 1.86783" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0253392, 0.159347, 0.255684, 0.415564, 0.683391, 1.12963, 1.8739", \ + "0.0284462, 0.159572, 0.255743, 0.415603, 0.683407, 1.13017, 1.87391", \ + "0.0333723, 0.160367, 0.25599, 0.415604, 0.683844, 1.13108, 1.87397", \ + "0.0430718, 0.162861, 0.257196, 0.416263, 0.683845, 1.13155, 1.88107", \ + "0.0600476, 0.168775, 0.260357, 0.41785, 0.684878, 1.13156, 1.88108", \ + "0.086077, 0.185336, 0.269822, 0.422205, 0.687018, 1.13268, 1.88109", \ + "0.123639, 0.222066, 0.295219, 0.435423, 0.693389, 1.13555, 1.8811" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.175865, 0.299767, 0.361012, 0.45825, 0.618584, 0.884625, 1.32711", \ + "0.20972, 0.333264, 0.394838, 0.492666, 0.652636, 0.918715, 1.36155", \ + "0.245009, 0.369185, 0.431004, 0.528306, 0.688757, 0.956603, 1.39728", \ + "0.304222, 0.431208, 0.492985, 0.590809, 0.751293, 1.01753, 1.45998", \ + "0.390469, 0.524837, 0.587471, 0.685435, 0.846473, 1.11287, 1.55532", \ + "0.50242, 0.65059, 0.715198, 0.813185, 0.973869, 1.24081, 1.68344", \ + "0.657513, 0.829661, 0.895946, 0.995704, 1.15433, 1.41958, 1.86208" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0431986, 0.153219, 0.226217, 0.35046, 0.562567, 0.918232, 1.51324", \ + "0.0432781, 0.15322, 0.226245, 0.350813, 0.562568, 0.918335, 1.51325", \ + "0.0450564, 0.153527, 0.2266, 0.350814, 0.563737, 0.920006, 1.51326", \ + "0.0510092, 0.1569, 0.228946, 0.352049, 0.563738, 0.920007, 1.51327", \ + "0.0642679, 0.163667, 0.233992, 0.356201, 0.565854, 0.920008, 1.51328", \ + "0.084464, 0.178517, 0.245708, 0.363109, 0.57046, 0.9238, 1.51588", \ + "0.117381, 0.205076, 0.265151, 0.376569, 0.578372, 0.929117, 1.52086" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00880906, 0.00940381, 0.00943516, 0.00946354, 0.00928069, 0.00933431, 0.00915285", \ + "0.00862247, 0.00925103, 0.00926689, 0.00931013, 0.00916903, 0.00894117, 0.00913716", \ + "0.00860499, 0.00908093, 0.00919632, 0.00925093, 0.00902896, 0.00872323, 0.00886832", \ + "0.00868013, 0.00897255, 0.00902672, 0.00925213, 0.00908755, 0.00870233, 0.00883107", \ + "0.00896038, 0.00902905, 0.00911694, 0.0089733, 0.00894193, 0.00930943, 0.00915133", \ + "0.00987273, 0.00961515, 0.00952469, 0.00953382, 0.00948991, 0.00889849, 0.00945812", \ + "0.0119557, 0.0111214, 0.0110764, 0.0110065, 0.010992, 0.0111394, 0.0102883" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00948767, 0.0101137, 0.0101873, 0.0101216, 0.00999815, 0.00966451, 0.00917404", \ + "0.0092798, 0.010025, 0.0101227, 0.010121, 0.0100181, 0.00969841, 0.00935361", \ + "0.00920678, 0.00987733, 0.010152, 0.00997652, 0.00992706, 0.00990945, 0.00911703", \ + "0.00923783, 0.00967097, 0.00972838, 0.00986767, 0.00980043, 0.00968173, 0.00892027", \ + "0.00934652, 0.00963013, 0.00976246, 0.00969882, 0.00968077, 0.00990975, 0.00966231", \ + "0.00985895, 0.00987505, 0.00988783, 0.00985008, 0.0100787, 0.00958353, 0.00975674", \ + "0.011717, 0.0107656, 0.0107274, 0.0107694, 0.0107058, 0.0106812, 0.0103096" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00997622, 0.010567, 0.0106222, 0.0105525, 0.0104452, 0.0104671, 0.0102252", \ + "0.00985146, 0.0104752, 0.0105382, 0.0105729, 0.0103993, 0.0104532, 0.0104424", \ + "0.00983726, 0.0103793, 0.0104888, 0.0104956, 0.0103296, 0.0100409, 0.0102251", \ + "0.00987322, 0.0102526, 0.0103138, 0.010555, 0.0103417, 0.0099258, 0.0101085", \ + "0.00996245, 0.010146, 0.0102656, 0.0101872, 0.0101198, 0.0106049, 0.010485", \ + "0.0105931, 0.0103734, 0.0103742, 0.0104543, 0.0105482, 0.00990155, 0.0105734", \ + "0.0120394, 0.0114695, 0.0113648, 0.0114717, 0.0114035, 0.011563, 0.0108637" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00948761, 0.0101218, 0.0100795, 0.0100438, 0.00994101, 0.00953392, 0.00915234", \ + "0.00939468, 0.0100986, 0.0100989, 0.0101192, 0.0100894, 0.0098231, 0.00932954", \ + "0.00934117, 0.00995839, 0.0101415, 0.0100729, 0.0100174, 0.00971536, 0.00928364", \ + "0.00941351, 0.00978224, 0.00988939, 0.0100526, 0.00989657, 0.00982378, 0.00916995", \ + "0.00949884, 0.00973783, 0.00982986, 0.00985724, 0.00973515, 0.010236, 0.0101231", \ + "0.00997667, 0.00996123, 0.0100088, 0.0101624, 0.0103772, 0.00983466, 0.0102124", \ + "0.0115271, 0.0107466, 0.01073, 0.0109333, 0.0108237, 0.010914, 0.0108515" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00885396, 0.00963704, 0.00962234, 0.00956076, 0.00935997, 0.00900061, 0.00915161", \ + "0.00856242, 0.00932062, 0.00936621, 0.0092556, 0.00912465, 0.00880896, 0.00886421", \ + "0.00852563, 0.00909058, 0.00922223, 0.00909819, 0.00900163, 0.00878434, 0.0088437", \ + "0.0085247, 0.00902145, 0.00903556, 0.00924344, 0.00889616, 0.0087516, 0.00970616", \ + "0.00883226, 0.00907158, 0.00919974, 0.00912877, 0.0091483, 0.00950654, 0.00904746", \ + "0.00982696, 0.00960365, 0.00967425, 0.00980765, 0.00978355, 0.00952537, 0.0101757", \ + "0.0121443, 0.0112029, 0.0112217, 0.0112007, 0.0113124, 0.011552, 0.0110708" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0076759, 0.00831769, 0.00835926, 0.00829274, 0.00816372, 0.00792734, 0.00738908", \ + "0.00746002, 0.00807117, 0.0081757, 0.00828148, 0.00813042, 0.00787729, 0.00747225", \ + "0.00741096, 0.00794767, 0.00812241, 0.00807332, 0.00816309, 0.00806895, 0.00732674", \ + "0.00757954, 0.00787153, 0.00791518, 0.0081343, 0.00799641, 0.00784923, 0.00721639", \ + "0.00799806, 0.00806323, 0.00815245, 0.00816074, 0.00805867, 0.00849258, 0.00828912", \ + "0.00900978, 0.00856128, 0.00874233, 0.00874468, 0.0088914, 0.0084661, 0.00870781", \ + "0.0111058, 0.00993799, 0.00993749, 0.0100928, 0.00993199, 0.0100048, 0.00945125" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00762621, 0.008415, 0.0084322, 0.00832676, 0.00814776, 0.0078616, 0.0079535", \ + "0.0073528, 0.00807395, 0.00809407, 0.00807259, 0.00790873, 0.00759471, 0.00772442", \ + "0.00728685, 0.00785493, 0.00804012, 0.00798123, 0.00776836, 0.00745172, 0.00764687", \ + "0.00728971, 0.00769497, 0.00770751, 0.00788379, 0.00760832, 0.00746372, 0.00733969", \ + "0.00758939, 0.00783485, 0.00791404, 0.00775024, 0.00761389, 0.00784706, 0.00752718", \ + "0.00848024, 0.00842716, 0.00840679, 0.00856317, 0.008514, 0.00791244, 0.00831478", \ + "0.0107215, 0.00987102, 0.0100851, 0.00999512, 0.0100175, 0.0100877, 0.00956601" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00752207, 0.00813772, 0.00823043, 0.00827938, 0.00805964, 0.00770707, 0.00722819", \ + "0.00730618, 0.00800474, 0.00804667, 0.0080472, 0.00803799, 0.0077232, 0.00744531", \ + "0.00727039, 0.00781984, 0.00800786, 0.00798221, 0.00799506, 0.00763266, 0.00714283", \ + "0.00744735, 0.00771867, 0.00779482, 0.00796011, 0.00782706, 0.00787278, 0.00714998", \ + "0.00793292, 0.00802944, 0.00811714, 0.00813542, 0.00805153, 0.00855595, 0.00797933", \ + "0.00889506, 0.00855937, 0.0085817, 0.00846344, 0.00859673, 0.00791043, 0.0081646", \ + "0.0111962, 0.0100078, 0.00988959, 0.00999959, 0.00963489, 0.00981139, 0.00912665" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00762621, 0.008415, 0.0084322, 0.00832676, 0.00814776, 0.0078616, 0.0079535", \ + "0.0073528, 0.00807395, 0.00809407, 0.00807259, 0.00790873, 0.00759471, 0.00772442", \ + "0.00728685, 0.00785493, 0.00804012, 0.00798123, 0.00776836, 0.00745172, 0.00764687", \ + "0.00728971, 0.00769497, 0.00770751, 0.00788379, 0.00760832, 0.00746372, 0.00733969", \ + "0.00758939, 0.00783485, 0.00791404, 0.00775024, 0.00761389, 0.00784706, 0.00752718", \ + "0.00848024, 0.00842716, 0.00840679, 0.00856317, 0.008514, 0.00791244, 0.00831478", \ + "0.0107215, 0.00987102, 0.0100851, 0.00999512, 0.0100175, 0.0100877, 0.00956601" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00752207, 0.00813772, 0.00823043, 0.00827938, 0.00805964, 0.00770707, 0.00722819", \ + "0.00730618, 0.00800474, 0.00804667, 0.0080472, 0.00803799, 0.0077232, 0.00744531", \ + "0.00727039, 0.00781984, 0.00800786, 0.00798221, 0.00799506, 0.00763266, 0.00714283", \ + "0.00744735, 0.00771867, 0.00779482, 0.00796011, 0.00782706, 0.00787278, 0.00714998", \ + "0.00793292, 0.00802944, 0.00811714, 0.00813542, 0.00805153, 0.00855595, 0.00797933", \ + "0.00889506, 0.00855937, 0.0085817, 0.00846344, 0.00859673, 0.00791043, 0.0081646", \ + "0.0111962, 0.0100078, 0.00988959, 0.00999959, 0.00963489, 0.00981139, 0.00912665" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00270856; + rise_capacitance : 0.00272364; + rise_capacitance_range (0.00272364, 0.00272364); + fall_capacitance : 0.00269348; + fall_capacitance_range (0.00269348, 0.00269348); + internal_power () { + when : "(A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000334533, 0.000238575, 0.000212449, 0.000190208, 0.000178105, 0.00016479, 0.000152589" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-7.18193e-05, -7.33886e-05, -7.6657e-05, -7.82266e-05, -8.27468e-05, -7.83553e-05, -8.12542e-05" \ + ); + } + } + internal_power () { + when : "(!A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.69551e-05, 9.74758e-05, 9.56861e-05, 9.05664e-05, 9.38127e-05, 9.45552e-05, 9.62422e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-7.25683e-05, -8.37992e-05, -8.49032e-05, -8.6399e-05, -8.67776e-05, -8.58296e-05, -8.39362e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.69551e-05, 9.74758e-05, 9.56861e-05, 9.05664e-05, 9.38127e-05, 9.45552e-05, 9.62422e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-7.25683e-05, -8.37992e-05, -8.49032e-05, -8.6399e-05, -8.67776e-05, -8.58296e-05, -8.39362e-05" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00265027; + rise_capacitance : 0.00267531; + rise_capacitance_range (0.00267531, 0.00267531); + fall_capacitance : 0.00262522; + fall_capacitance_range (0.00262522, 0.00262522); + internal_power () { + when : "(A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000287139, 0.000192507, 0.000168456, 0.000147902, 0.000132185, 0.000118502, 0.000106735" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-2.4001e-05, -2.8853e-05, -3.05317e-05, -3.01168e-05, -2.94693e-05, -3.05573e-05, -3.42059e-05" \ + ); + } + } + internal_power () { + when : "(!A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.06789e-05, 5.14889e-05, 5.28075e-05, 5.01061e-05, 4.81503e-05, 5.26488e-05, 5.05646e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.69756e-05, -3.74636e-05, -3.82413e-05, -3.81402e-05, -3.7655e-05, -3.6837e-05, -3.63991e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.06789e-05, 5.14889e-05, 5.28075e-05, 5.01061e-05, 4.81503e-05, 5.26488e-05, 5.05646e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.69756e-05, -3.74636e-05, -3.82413e-05, -3.81402e-05, -3.7655e-05, -3.6837e-05, -3.63991e-05" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00246453; + rise_capacitance : 0.00243183; + rise_capacitance_range (0.00243183, 0.00243183); + fall_capacitance : 0.00249722; + fall_capacitance_range (0.00249722, 0.00249722); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000391064, 0.000396997, 0.000396837, 0.000397242, 0.000399462, 0.000400845, 0.000401382" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00044475, 0.000447577, 0.000452115, 0.000455986, 0.000460235, 0.000459037, 0.00046681" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000391064, 0.000396997, 0.000396837, 0.000397242, 0.000399462, 0.000400845, 0.000401382" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00044475, 0.000447577, 0.000452115, 0.000455986, 0.000460235, 0.000459037, 0.00046681" \ + ); + } + } + } + } + cell (sg13g2_a21oi_1) { + area : 9.072; + cell_footprint : "a21oi"; + cell_leakage_power : 439.033; + leakage_power () { + value : 180.6; + when : "!A1*!A2*!B1"; + } + leakage_power () { + value : 490.126; + when : "!A1*!A2*B1"; + } + leakage_power () { + value : 274.483; + when : "!A1*A2*!B1"; + } + leakage_power () { + value : 488.072; + when : "!A1*A2*B1"; + } + leakage_power () { + value : 259.48; + when : "A1*!A2*!B1"; + } + leakage_power () { + value : 488.072; + when : "A1*!A2*B1"; + } + leakage_power () { + value : 1020.77; + when : "A1*A2*!B1"; + } + leakage_power () { + value : 310.657; + when : "A1*A2*B1"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0694524, 0.275347, 0.416745, 0.650414, 1.04162, 1.69377, 2.78021", \ + "0.10214, 0.312048, 0.453909, 0.688476, 1.0797, 1.73236, 2.81857", \ + "0.125215, 0.345242, 0.487536, 0.721935, 1.1138, 1.76649, 2.85463", \ + "0.159044, 0.406644, 0.551733, 0.786511, 1.17841, 1.83125, 2.91844", \ + "0.198973, 0.508518, 0.667218, 0.911253, 1.30576, 1.95883, 3.04759", \ + "0.235659, 0.655453, 0.849675, 1.12537, 1.54451, 2.20665, 3.29472", \ + "0.273612, 0.860092, 1.11429, 1.46274, 1.95173, 2.67317, 3.79318" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0433887, 0.323097, 0.517805, 0.839808, 1.378, 2.27632, 3.77408", \ + "0.0487066, 0.324937, 0.517806, 0.8399, 1.37852, 2.27734, 3.77409", \ + "0.0581275, 0.32539, 0.518344, 0.84, 1.37853, 2.27792, 3.77446", \ + "0.0776292, 0.340114, 0.525633, 0.8414, 1.37854, 2.27793, 3.77447", \ + "0.116798, 0.38635, 0.563773, 0.863905, 1.38665, 2.27794, 3.7761", \ + "0.188723, 0.485516, 0.664082, 0.95222, 1.44589, 2.30337, 3.79666", \ + "0.314128, 0.6647, 0.860548, 1.16097, 1.64061, 2.44957, 3.85909" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0594953, 0.216107, 0.322498, 0.497443, 0.790214, 1.2775, 2.08985", \ + "0.0940609, 0.25787, 0.364263, 0.539426, 0.832467, 1.31961, 2.13195", \ + "0.119639, 0.299847, 0.406989, 0.582023, 0.8746, 1.36201, 2.17415", \ + "0.157153, 0.374935, 0.490038, 0.667724, 0.959883, 1.44714, 2.2598", \ + "0.20723, 0.492018, 0.629003, 0.828063, 1.13201, 1.6199, 2.43023", \ + "0.274356, 0.661231, 0.839239, 1.08334, 1.43387, 1.95447, 2.77148", \ + "0.362393, 0.900496, 1.14263, 1.46576, 1.91084, 2.5299, 3.42861" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0439471, 0.245809, 0.386412, 0.617934, 1.00557, 1.65011, 2.72757", \ + "0.0553353, 0.247428, 0.386706, 0.617935, 1.00558, 1.65012, 2.72758", \ + "0.0701962, 0.256828, 0.390755, 0.622063, 1.00559, 1.65099, 2.72759", \ + "0.0973846, 0.289879, 0.414522, 0.630896, 1.0076, 1.651, 2.72856", \ + "0.142234, 0.362204, 0.48719, 0.691003, 1.04369, 1.6632, 2.72857", \ + "0.209095, 0.493697, 0.628398, 0.838556, 1.1778, 1.7523, 2.76304", \ + "0.312392, 0.715838, 0.882106, 1.11569, 1.47558, 2.03815, 2.98603" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0808858, 0.286955, 0.4288, 0.663145, 1.05521, 1.70843, 2.79716", \ + "0.115722, 0.324323, 0.466289, 0.700905, 1.09301, 1.74701, 2.8361", \ + "0.141666, 0.357871, 0.500105, 0.734808, 1.1273, 1.78099, 2.86944", \ + "0.18007, 0.420461, 0.56498, 0.799794, 1.19252, 1.8459, 2.93779", \ + "0.230292, 0.524635, 0.682056, 0.925398, 1.31989, 1.9736, 3.06433", \ + "0.282403, 0.676754, 0.868298, 1.1413, 1.55943, 2.22209, 3.31149", \ + "0.341543, 0.888691, 1.13839, 1.4824, 1.97066, 2.68941, 3.80905" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.054254, 0.335437, 0.530875, 0.853621, 1.39442, 2.29338, 3.7939", \ + "0.058363, 0.335852, 0.530876, 0.853622, 1.39443, 2.29538, 3.79422", \ + "0.0671385, 0.337787, 0.53124, 0.853987, 1.39444, 2.29539, 3.7956", \ + "0.0858034, 0.351217, 0.538451, 0.855451, 1.39445, 2.2954, 3.79633", \ + "0.124124, 0.396959, 0.574758, 0.877056, 1.40167, 2.29702, 3.79634", \ + "0.194422, 0.494188, 0.674435, 0.963434, 1.4595, 2.32013, 3.80391", \ + "0.312997, 0.672849, 0.869573, 1.16988, 1.65448, 2.46471, 3.87842" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0661181, 0.222604, 0.328794, 0.503785, 0.796469, 1.28385, 2.09578", \ + "0.101521, 0.262726, 0.369078, 0.544278, 0.837025, 1.3245, 2.13702", \ + "0.128419, 0.301002, 0.408204, 0.583524, 0.876312, 1.36441, 2.17591", \ + "0.169285, 0.370197, 0.483412, 0.661264, 0.954386, 1.44207, 2.25528", \ + "0.224862, 0.482857, 0.612428, 0.80621, 1.10897, 1.59847, 2.4105", \ + "0.297619, 0.654158, 0.817422, 1.04613, 1.38416, 1.89972, 2.71968", \ + "0.395734, 0.905761, 1.1288, 1.42465, 1.8381, 2.42815, 3.31038" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0439205, 0.24597, 0.386034, 0.617939, 1.00488, 1.65011, 2.72772", \ + "0.0503899, 0.246821, 0.386995, 0.61794, 1.00505, 1.65126, 2.72773", \ + "0.0602911, 0.252772, 0.389105, 0.619356, 1.0054, 1.65127, 2.72774", \ + "0.0816464, 0.275043, 0.404832, 0.625911, 1.00644, 1.65128, 2.72879", \ + "0.121783, 0.326896, 0.455196, 0.667195, 1.03148, 1.65966, 2.7288", \ + "0.187694, 0.432037, 0.561352, 0.773578, 1.12365, 1.72064, 2.75407", \ + "0.289004, 0.620679, 0.767528, 0.988537, 1.34122, 1.92442, 2.90368" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0655853, 0.274588, 0.41649, 0.651069, 1.04287, 1.69613, 2.78487", \ + "0.096781, 0.308977, 0.451624, 0.686991, 1.07892, 1.73385, 2.82265", \ + "0.121038, 0.346816, 0.489434, 0.724641, 1.11738, 1.77158, 2.86078", \ + "0.156523, 0.419281, 0.564789, 0.799386, 1.19196, 1.84553, 2.93755", \ + "0.202838, 0.53784, 0.702304, 0.948451, 1.34196, 1.99389, 3.08449", \ + "0.258056, 0.706948, 0.915258, 1.2045, 1.63048, 2.29227, 3.37719", \ + "0.329229, 0.931104, 1.21305, 1.59414, 2.11236, 2.8554, 3.97898" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0543354, 0.335592, 0.530862, 0.853642, 1.39328, 2.29423, 3.79388", \ + "0.0641708, 0.336002, 0.53105, 0.853737, 1.39387, 2.29427, 3.79422", \ + "0.0799924, 0.339725, 0.531417, 0.854058, 1.39388, 2.29586, 3.79429", \ + "0.107275, 0.363034, 0.543718, 0.856003, 1.39389, 2.29587, 3.79635", \ + "0.152479, 0.432044, 0.60129, 0.892011, 1.40557, 2.29588, 3.79636", \ + "0.219443, 0.565328, 0.743429, 1.02047, 1.49633, 2.33474, 3.81899", \ + "0.328306, 0.785497, 1.00345, 1.30645, 1.77326, 2.54943, 3.92323" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0307495, 0.115841, 0.173618, 0.269026, 0.428775, 0.695073, 1.13889", \ + "0.0601437, 0.165384, 0.223955, 0.319445, 0.479302, 0.746351, 1.18926", \ + "0.0781853, 0.208994, 0.272313, 0.369886, 0.529672, 0.795738, 1.24062", \ + "0.10344, 0.279408, 0.355775, 0.465044, 0.629816, 0.895899, 1.33909", \ + "0.134555, 0.383648, 0.485499, 0.621388, 0.813036, 1.09617, 1.54194", \ + "0.173825, 0.533385, 0.674133, 0.858905, 1.10368, 1.44152, 1.9302", \ + "0.222619, 0.735322, 0.945243, 1.20593, 1.54588, 1.98901, 2.58958" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0206928, 0.135243, 0.21258, 0.340584, 0.555157, 0.913035, 1.50933", \ + "0.0420514, 0.142126, 0.215378, 0.341022, 0.555158, 0.913715, 1.50934", \ + "0.0622996, 0.16012, 0.228357, 0.347749, 0.556736, 0.913716, 1.51033", \ + "0.0946053, 0.201557, 0.268287, 0.378194, 0.573047, 0.916225, 1.51034", \ + "0.145368, 0.278987, 0.34991, 0.461668, 0.644674, 0.962373, 1.52549", \ + "0.223128, 0.409777, 0.494968, 0.61728, 0.809034, 1.11607, 1.63358", \ + "0.347261, 0.629985, 0.741838, 0.89501, 1.11018, 1.44297, 1.95716" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0516408, 0.259623, 0.401096, 0.63503, 1.02592, 1.67792, 2.76465", \ + "0.0801848, 0.29404, 0.436152, 0.67103, 1.06213, 1.71559, 2.80233", \ + "0.100102, 0.331791, 0.47407, 0.708526, 1.10002, 1.75342, 2.84058", \ + "0.127947, 0.40333, 0.549299, 0.783368, 1.17501, 1.82733, 2.91449", \ + "0.163433, 0.518982, 0.685259, 0.9321, 1.32508, 1.97562, 3.06355", \ + "0.20464, 0.681952, 0.894618, 1.18625, 1.6127, 2.27415, 3.35736", \ + "0.257223, 0.897684, 1.1844, 1.57011, 2.09171, 2.83566, 3.95857" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0434605, 0.32297, 0.517782, 0.839617, 1.37863, 2.27693, 3.77408", \ + "0.0564632, 0.323503, 0.518238, 0.840057, 1.37873, 2.277, 3.77409", \ + "0.0732186, 0.328104, 0.518708, 0.840058, 1.37874, 2.27778, 3.7741", \ + "0.100618, 0.353333, 0.531741, 0.843007, 1.37875, 2.27779, 3.77436", \ + "0.144129, 0.424205, 0.591732, 0.879476, 1.3911, 2.27794, 3.77437", \ + "0.208376, 0.558378, 0.734104, 1.00999, 1.48286, 2.31767, 3.79813", \ + "0.31419, 0.775217, 0.989043, 1.29841, 1.76326, 2.53637, 3.90527" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0305138, 0.115453, 0.173178, 0.268475, 0.428006, 0.693949, 1.13737", \ + "0.0596556, 0.164991, 0.223583, 0.3189, 0.478527, 0.744584, 1.18794", \ + "0.0774822, 0.208585, 0.271782, 0.369235, 0.528831, 0.794722, 1.23784", \ + "0.102197, 0.278804, 0.355184, 0.464415, 0.629102, 0.894839, 1.33763", \ + "0.132091, 0.382681, 0.484522, 0.620435, 0.812118, 1.09506, 1.54049", \ + "0.169918, 0.531449, 0.672492, 0.857446, 1.10228, 1.43985, 1.92876", \ + "0.214435, 0.731207, 0.942208, 1.2033, 1.54346, 1.98673, 2.58731" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0169895, 0.127099, 0.204748, 0.332791, 0.547387, 0.905085, 1.50232", \ + "0.0331396, 0.13415, 0.207555, 0.333209, 0.547388, 0.905086, 1.50233", \ + "0.0478566, 0.152053, 0.220417, 0.339946, 0.549249, 0.906157, 1.50234", \ + "0.0722576, 0.192255, 0.260031, 0.37045, 0.565506, 0.908991, 1.50235", \ + "0.111377, 0.267999, 0.340393, 0.453132, 0.636803, 0.954738, 1.51814", \ + "0.172586, 0.393519, 0.482445, 0.607276, 0.800774, 1.10835, 1.62657", \ + "0.273353, 0.607905, 0.724565, 0.88112, 1.10109, 1.43394, 1.94716" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0413065, 0.194122, 0.298101, 0.469772, 0.757433, 1.2368, 2.03547", \ + "0.0707956, 0.2331, 0.337448, 0.509638, 0.797495, 1.27667, 2.076", \ + "0.0896277, 0.274027, 0.379129, 0.551327, 0.839179, 1.31857, 2.11741", \ + "0.115743, 0.346912, 0.459699, 0.633598, 0.92089, 1.40024, 2.19938", \ + "0.148384, 0.459265, 0.595338, 0.789105, 1.08485, 1.56318, 2.3609", \ + "0.184836, 0.611668, 0.795374, 1.0379, 1.37878, 1.88451, 2.68375", \ + "0.228405, 0.814583, 1.06604, 1.40182, 1.84325, 2.44654, 3.31711" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0315166, 0.239674, 0.384997, 0.624812, 1.02744, 1.69782, 2.8164", \ + "0.0468483, 0.240378, 0.384998, 0.624821, 1.02745, 1.69783, 2.81641", \ + "0.0637834, 0.2496, 0.388255, 0.625236, 1.02746, 1.69784, 2.81642", \ + "0.0915915, 0.282064, 0.40976, 0.634579, 1.02805, 1.69785, 2.81643", \ + "0.133636, 0.356816, 0.480872, 0.687354, 1.05502, 1.70268, 2.81644", \ + "0.19703, 0.492315, 0.62894, 0.835834, 1.17762, 1.77559, 2.83757", \ + "0.301277, 0.699847, 0.882629, 1.12399, 1.48129, 2.04759, 3.02741" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0302574, 0.115282, 0.172981, 0.268246, 0.42783, 0.693756, 1.13728", \ + "0.0591547, 0.164596, 0.223185, 0.318544, 0.4781, 0.744181, 1.18735", \ + "0.0770229, 0.208071, 0.271388, 0.368782, 0.528513, 0.794335, 1.2387", \ + "0.101926, 0.278265, 0.354601, 0.463955, 0.628704, 0.894445, 1.33724", \ + "0.132412, 0.38216, 0.484205, 0.619795, 0.811698, 1.09443, 1.53996", \ + "0.171642, 0.531323, 0.672343, 0.85728, 1.1019, 1.43927, 1.92841", \ + "0.220296, 0.732913, 0.942899, 1.20371, 1.54317, 1.98637, 2.58704" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170551, 0.127086, 0.204746, 0.332824, 0.547382, 0.905082, 1.50116", \ + "0.0335149, 0.134254, 0.207436, 0.333223, 0.54754, 0.905083, 1.50191", \ + "0.0482011, 0.152084, 0.220557, 0.340293, 0.549064, 0.906154, 1.50305", \ + "0.0725674, 0.192569, 0.260332, 0.370549, 0.565638, 0.909011, 1.50306", \ + "0.11102, 0.268116, 0.340756, 0.45364, 0.6377, 0.954769, 1.51879", \ + "0.171506, 0.393677, 0.484012, 0.607445, 0.801054, 1.10853, 1.62682", \ + "0.270179, 0.606506, 0.723819, 0.881583, 1.10137, 1.4361, 1.94741" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0655853, 0.274588, 0.41649, 0.651069, 1.04287, 1.69613, 2.78487", \ + "0.096781, 0.308977, 0.451624, 0.686991, 1.07892, 1.73385, 2.82265", \ + "0.121038, 0.346816, 0.489434, 0.724641, 1.11738, 1.77158, 2.86078", \ + "0.156523, 0.419281, 0.564789, 0.799386, 1.19196, 1.84553, 2.93755", \ + "0.202838, 0.53784, 0.702304, 0.948451, 1.34196, 1.99389, 3.08449", \ + "0.258056, 0.706948, 0.915258, 1.2045, 1.63048, 2.29227, 3.37719", \ + "0.329229, 0.931104, 1.21305, 1.59414, 2.11236, 2.8554, 3.97898" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0543354, 0.335592, 0.530862, 0.853642, 1.39328, 2.29423, 3.79388", \ + "0.0641708, 0.336002, 0.53105, 0.853737, 1.39387, 2.29427, 3.79422", \ + "0.0799924, 0.339725, 0.531417, 0.854058, 1.39388, 2.29586, 3.79429", \ + "0.107275, 0.363034, 0.543718, 0.856003, 1.39389, 2.29587, 3.79635", \ + "0.152479, 0.432044, 0.60129, 0.892011, 1.40557, 2.29588, 3.79636", \ + "0.219443, 0.565328, 0.743429, 1.02047, 1.49633, 2.33474, 3.81899", \ + "0.328306, 0.785497, 1.00345, 1.30645, 1.77326, 2.54943, 3.92323" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0307495, 0.115841, 0.173618, 0.269026, 0.428775, 0.695073, 1.13889", \ + "0.0601437, 0.165384, 0.223955, 0.319445, 0.479302, 0.746351, 1.18926", \ + "0.0781853, 0.208994, 0.272313, 0.369886, 0.529672, 0.795738, 1.24062", \ + "0.10344, 0.279408, 0.355775, 0.465044, 0.629816, 0.895899, 1.33909", \ + "0.134555, 0.383648, 0.485499, 0.621388, 0.813036, 1.09617, 1.54194", \ + "0.173825, 0.533385, 0.674133, 0.858905, 1.10368, 1.44152, 1.9302", \ + "0.222619, 0.735322, 0.945243, 1.20593, 1.54588, 1.98901, 2.58958" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0206928, 0.135243, 0.21258, 0.340584, 0.555157, 0.913035, 1.50933", \ + "0.0420514, 0.142126, 0.215378, 0.341022, 0.555158, 0.913715, 1.50934", \ + "0.0622996, 0.16012, 0.228357, 0.347749, 0.556736, 0.913716, 1.51033", \ + "0.0946053, 0.201557, 0.268287, 0.378194, 0.573047, 0.916225, 1.51034", \ + "0.145368, 0.278987, 0.34991, 0.461668, 0.644674, 0.962373, 1.52549", \ + "0.223128, 0.409777, 0.494968, 0.61728, 0.809034, 1.11607, 1.63358", \ + "0.347261, 0.629985, 0.741838, 0.89501, 1.11018, 1.44297, 1.95716" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0029789, 0.00310939, 0.0030842, 0.00302409, 0.00291342, 0.00275146, 0.00258275", \ + "0.00273612, 0.00303486, 0.00299937, 0.00298432, 0.00289311, 0.00276489, 0.0025957", \ + "0.00268802, 0.00292373, 0.00295714, 0.00295939, 0.00288086, 0.00276516, 0.00264001", \ + "0.00266175, 0.0028523, 0.00287568, 0.00289805, 0.00282986, 0.00272239, 0.00258053", \ + "0.00271133, 0.0027769, 0.0028135, 0.0029281, 0.00283611, 0.00265849, 0.00260437", \ + "0.0030873, 0.00286767, 0.00287225, 0.00279612, 0.00291005, 0.0026426, 0.00290479", \ + "0.00443885, 0.00345683, 0.00331047, 0.0031978, 0.00300316, 0.00280876, 0.00295443" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00308561, 0.00306255, 0.00304501, 0.00298996, 0.00290935, 0.00274512, 0.00252062", \ + "0.00281764, 0.00294434, 0.00295658, 0.00290842, 0.00283357, 0.00267542, 0.00241404", \ + "0.00276095, 0.00292516, 0.00291328, 0.00298948, 0.00280914, 0.00267202, 0.00244772", \ + "0.00280156, 0.00285179, 0.00288461, 0.00283578, 0.00281258, 0.00267795, 0.00250409", \ + "0.00305756, 0.00294224, 0.00288231, 0.00283555, 0.00294441, 0.00285782, 0.00243398", \ + "0.00371512, 0.00326336, 0.00315497, 0.00309223, 0.002848, 0.00283716, 0.00270682", \ + "0.00534673, 0.00430019, 0.00396627, 0.00372423, 0.00349795, 0.00294321, 0.00270582" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00337731, 0.00336706, 0.0033308, 0.00327846, 0.0031834, 0.0031907, 0.00309765", \ + "0.00330378, 0.00338012, 0.00335799, 0.0033169, 0.0032341, 0.00309901, 0.00313544", \ + "0.00328379, 0.00337588, 0.00335172, 0.00332276, 0.00324259, 0.00308719, 0.00318824", \ + "0.00327177, 0.00335509, 0.00333419, 0.00347526, 0.00325006, 0.00310068, 0.00324099", \ + "0.00331985, 0.0033514, 0.00333152, 0.00338205, 0.00332398, 0.0031481, 0.0032707", \ + "0.0036249, 0.00347178, 0.00346508, 0.00334441, 0.00341529, 0.00311961, 0.00331437", \ + "0.0047977, 0.00405797, 0.00390999, 0.00375232, 0.00362161, 0.0033169, 0.00354009" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0040278, 0.00399536, 0.00396342, 0.0039121, 0.0038079, 0.0036641, 0.00344813", \ + "0.00384246, 0.0038993, 0.00390994, 0.00384833, 0.00376756, 0.00362208, 0.00340806", \ + "0.003777, 0.00389821, 0.00387361, 0.00385806, 0.0037598, 0.00362374, 0.00332521", \ + "0.0037406, 0.00383852, 0.00385355, 0.00378793, 0.00378601, 0.00358322, 0.0034229", \ + "0.00380321, 0.00384826, 0.00384921, 0.0037751, 0.00387387, 0.0036938, 0.00335485", \ + "0.00419222, 0.00400742, 0.00394213, 0.00393817, 0.00376251, 0.0037811, 0.00344248", \ + "0.00540857, 0.00467939, 0.00451687, 0.00436721, 0.00419479, 0.00385067, 0.00360875" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00252095, 0.0027049, 0.00267306, 0.00262574, 0.00251459, 0.00254378, 0.00242817", \ + "0.00230518, 0.0025347, 0.00254945, 0.00254564, 0.00247299, 0.00233894, 0.00243337", \ + "0.00230605, 0.00247816, 0.00249948, 0.00248977, 0.00244162, 0.0023415, 0.0023982", \ + "0.00239934, 0.0024178, 0.00242054, 0.00258304, 0.00237414, 0.00227426, 0.00242834", \ + "0.00273924, 0.00250994, 0.00246992, 0.00252003, 0.00241297, 0.00225009, 0.00237895", \ + "0.00343219, 0.00288801, 0.00276842, 0.00255961, 0.00253462, 0.00224174, 0.00274315", \ + "0.005107, 0.00391732, 0.00372511, 0.00340048, 0.00300381, 0.00262046, 0.00263758" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00243262, 0.00265675, 0.00263934, 0.00257918, 0.00250242, 0.00236766, 0.0021293", \ + "0.00217998, 0.00258376, 0.00258916, 0.00257289, 0.00252672, 0.00246277, 0.00216292", \ + "0.00215157, 0.00248676, 0.0025481, 0.00254403, 0.00249645, 0.00239356, 0.0022463", \ + "0.00224696, 0.0024487, 0.00246753, 0.0024846, 0.00252774, 0.00250335, 0.0020984", \ + "0.00257416, 0.00244847, 0.00249268, 0.00250752, 0.00237495, 0.00247494, 0.00211842", \ + "0.00337252, 0.00276072, 0.00268053, 0.00260184, 0.00259932, 0.00229857, 0.00222339", \ + "0.00519332, 0.00385016, 0.00355043, 0.00322035, 0.00306826, 0.00285454, 0.00241263" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00223598, 0.00249307, 0.00247571, 0.00241929, 0.00232898, 0.00216002, 0.00196571", \ + "0.00205052, 0.00232148, 0.00235555, 0.00234854, 0.00227649, 0.0021242, 0.00194257", \ + "0.00207289, 0.00224281, 0.00236039, 0.00228005, 0.00223532, 0.00213418, 0.00193342", \ + "0.00220486, 0.00220433, 0.00222219, 0.00227333, 0.00218115, 0.0020503, 0.00192202", \ + "0.00259662, 0.00231091, 0.00227028, 0.0022977, 0.0021882, 0.00203863, 0.00188453", \ + "0.00336956, 0.00271714, 0.0025551, 0.0023772, 0.00237651, 0.00204042, 0.00231609", \ + "0.00515408, 0.00376714, 0.0034983, 0.00322379, 0.00281632, 0.00245445, 0.00248697" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00121345, 0.0014384, 0.00142121, 0.00137112, 0.00129315, 0.00115149, 0.000935343", \ + "0.000956139, 0.00136816, 0.00139397, 0.00148692, 0.00130473, 0.00117789, 0.000920529", \ + "0.000930974, 0.00127901, 0.00133456, 0.00135825, 0.00131892, 0.00121688, 0.000921494", \ + "0.00102919, 0.00123538, 0.00126138, 0.00127368, 0.00146315, 0.00129, 0.000897047", \ + "0.00136265, 0.00124621, 0.00126943, 0.00129589, 0.0011543, 0.00125928, 0.000926585", \ + "0.00218098, 0.00154918, 0.00146372, 0.00139228, 0.00140708, 0.00109976, 0.000985504", \ + "0.00403708, 0.00268063, 0.00237072, 0.00200716, 0.00192517, 0.0016178, 0.00119962" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00223159, 0.00249658, 0.00247503, 0.00241799, 0.00232654, 0.00234262, 0.00224031", \ + "0.00206227, 0.00231248, 0.0023376, 0.00233336, 0.00226565, 0.00227171, 0.0021917", \ + "0.00208486, 0.00225977, 0.00228847, 0.00227362, 0.0022209, 0.00223626, 0.00217904", \ + "0.00222933, 0.00218698, 0.00226145, 0.00224282, 0.00218099, 0.00220544, 0.00225557", \ + "0.00263766, 0.00235602, 0.0022608, 0.00227437, 0.0021561, 0.00236102, 0.00211804", \ + "0.00345103, 0.00276758, 0.00261149, 0.00242373, 0.00227387, 0.00237117, 0.00217483", \ + "0.00533757, 0.00387919, 0.00364065, 0.0032753, 0.00289664, 0.00240405, 0.0023423" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00117087, 0.00140654, 0.00138842, 0.00133531, 0.00126337, 0.0011213, 0.000872743", \ + "0.000912859, 0.00129485, 0.0013265, 0.00134198, 0.00125244, 0.00111049, 0.000881169", \ + "0.000899194, 0.00119732, 0.00126496, 0.00129556, 0.00124192, 0.00116904, 0.000976824", \ + "0.00101925, 0.00116877, 0.00119689, 0.00119781, 0.00124771, 0.00122056, 0.000828077", \ + "0.00137298, 0.00118703, 0.00121706, 0.00120948, 0.00110957, 0.00119776, 0.000853765", \ + "0.00221922, 0.00153803, 0.00145935, 0.00135186, 0.00134516, 0.00102425, 0.000922344", \ + "0.00414506, 0.00274081, 0.00240175, 0.00204284, 0.00190044, 0.0016443, 0.00111549" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00223159, 0.00249658, 0.00247503, 0.00241799, 0.00232654, 0.00234262, 0.00224031", \ + "0.00206227, 0.00231248, 0.0023376, 0.00233336, 0.00226565, 0.00227171, 0.0021917", \ + "0.00208486, 0.00225977, 0.00228847, 0.00227362, 0.0022209, 0.00223626, 0.00217904", \ + "0.00222933, 0.00218698, 0.00226145, 0.00224282, 0.00218099, 0.00220544, 0.00225557", \ + "0.00263766, 0.00235602, 0.0022608, 0.00227437, 0.0021561, 0.00236102, 0.00211804", \ + "0.00345103, 0.00276758, 0.00261149, 0.00242373, 0.00227387, 0.00237117, 0.00217483", \ + "0.00533757, 0.00387919, 0.00364065, 0.0032753, 0.00289664, 0.00240405, 0.0023423" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00121345, 0.0014384, 0.00142121, 0.00137112, 0.00129315, 0.00115149, 0.000935343", \ + "0.000956139, 0.00136816, 0.00139397, 0.00148692, 0.00130473, 0.00117789, 0.000920529", \ + "0.000930974, 0.00127901, 0.00133456, 0.00135825, 0.00131892, 0.00121688, 0.000921494", \ + "0.00102919, 0.00123538, 0.00126138, 0.00127368, 0.00146315, 0.00129, 0.000897047", \ + "0.00136265, 0.00124621, 0.00126943, 0.00129589, 0.0011543, 0.00125928, 0.000926585", \ + "0.00218098, 0.00154918, 0.00146372, 0.00139228, 0.00140708, 0.00109976, 0.000985504", \ + "0.00403708, 0.00268063, 0.00237072, 0.00200716, 0.00192517, 0.0016178, 0.00119962" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00270181; + rise_capacitance : 0.00279206; + rise_capacitance_range (0.00279206, 0.00279206); + fall_capacitance : 0.00261156; + fall_capacitance_range (0.00261156, 0.00261156); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-2.99643e-05, -3.07629e-05, -2.8921e-05, -2.59509e-05, -2.87991e-05, -2.88023e-05, -2.99633e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "2.99643e-05, 3.07629e-05, 2.8921e-05, 2.59509e-05, 2.87991e-05, 2.88023e-05, 2.99633e-05" \ + ); + } + } + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000319217, -0.00031801, -0.000317698, -0.000316565, -0.000314937, -0.000312765, -0.000314684" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000555896, 0.000569907, 0.000569926, 0.00056826, 0.000569612, 0.000572146, 0.000572839" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000319217, -0.00031801, -0.000317698, -0.000316565, -0.000314937, -0.000312765, -0.000314684" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000555896, 0.000569907, 0.000569926, 0.00056826, 0.000569612, 0.000572146, 0.000572839" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0027798; + rise_capacitance : 0.00281424; + rise_capacitance_range (0.00281424, 0.00281424); + fall_capacitance : 0.00274536; + fall_capacitance_range (0.00274536, 0.00274536); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.96331e-05, -6.89898e-05, -6.85177e-05, -6.92127e-05, -6.94152e-05, -7.05852e-05, -7.09968e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.96331e-05, 6.89898e-05, 6.85177e-05, 6.92127e-05, 6.94152e-05, 7.05852e-05, 7.09968e-05" \ + ); + } + } + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000109341, -0.000111601, -0.00011135, -0.000111507, -0.000112369, -0.000112567, -0.000112254" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000376558, 0.000308891, 0.000291213, 0.000274105, 0.000260933, 0.000250468, 0.000237863" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000109341, -0.000111601, -0.00011135, -0.000111507, -0.000112369, -0.000112567, -0.000112254" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000376558, 0.000308891, 0.000291213, 0.000274105, 0.000260933, 0.000250468, 0.000237863" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00259844; + rise_capacitance : 0.00260977; + rise_capacitance_range (0.00260977, 0.00260977); + fall_capacitance : 0.00258711; + fall_capacitance_range (0.00258711, 0.00258711); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000349447, 0.000347721, 0.000348326, 0.000348723, 0.000352015, 0.000353426, 0.000352838" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000349447, -0.000347721, -0.000348326, -0.000348723, -0.000352015, -0.000353426, -0.000352838" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000349447, 0.000347721, 0.000348326, 0.000348723, 0.000352015, 0.000353426, 0.000352838" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000349447, -0.000347721, -0.000348326, -0.000348723, -0.000352015, -0.000353426, -0.000352838" \ + ); + } + } + } + } + cell (sg13g2_a21oi_2) { + area : 14.5152; + cell_footprint : "a21oi"; + cell_leakage_power : 878.055; + leakage_power () { + value : 361.202; + when : "!A1*!A2*!B1"; + } + leakage_power () { + value : 980.235; + when : "!A1*!A2*B1"; + } + leakage_power () { + value : 548.966; + when : "!A1*A2*!B1"; + } + leakage_power () { + value : 976.128; + when : "!A1*A2*B1"; + } + leakage_power () { + value : 518.96; + when : "A1*!A2*!B1"; + } + leakage_power () { + value : 976.128; + when : "A1*!A2*B1"; + } + leakage_power () { + value : 2041.52; + when : "A1*A2*!B1"; + } + leakage_power () { + value : 621.297; + when : "A1*A2*B1"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0625029, 0.274418, 0.416356, 0.650788, 1.04329, 1.69741, 2.78781", \ + "0.094656, 0.311055, 0.45341, 0.688752, 1.08103, 1.73627, 2.8261", \ + "0.116347, 0.344093, 0.486858, 0.721857, 1.11519, 1.76973, 2.86037", \ + "0.147237, 0.405374, 0.550962, 0.786632, 1.18015, 1.8348, 2.92573", \ + "0.181756, 0.506873, 0.666233, 0.911032, 1.30679, 1.96196, 3.05617", \ + "0.210147, 0.653126, 0.847984, 1.12439, 1.54498, 2.20932, 3.30107", \ + "0.238045, 0.857083, 1.11166, 1.46141, 1.95235, 2.67481, 3.79841" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0347049, 0.321634, 0.517218, 0.840484, 1.3807, 2.28316, 3.7861", \ + "0.0403508, 0.323065, 0.517219, 0.840567, 1.38071, 2.28317, 3.78611", \ + "0.0495982, 0.324102, 0.517783, 0.840568, 1.3812, 2.28318, 3.78701", \ + "0.0683015, 0.338719, 0.525074, 0.842028, 1.38121, 2.28324, 3.78702", \ + "0.106101, 0.385181, 0.562847, 0.864495, 1.38931, 2.28331, 3.78774", \ + "0.175364, 0.485216, 0.663027, 0.952013, 1.44833, 2.31013, 3.81034", \ + "0.297726, 0.662098, 0.860521, 1.1606, 1.64321, 2.45422, 3.87047" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0537779, 0.21473, 0.321263, 0.496414, 0.789552, 1.27741, 2.09035", \ + "0.0869386, 0.25656, 0.362956, 0.538344, 0.831755, 1.31949, 2.13272", \ + "0.110962, 0.298416, 0.40567, 0.580979, 0.87408, 1.36272, 2.17499", \ + "0.145676, 0.373347, 0.48869, 0.666606, 0.95916, 1.44697, 2.26063", \ + "0.192041, 0.490054, 0.627375, 0.826735, 1.13131, 1.61972, 2.43098", \ + "0.253309, 0.658595, 0.837214, 1.08173, 1.43288, 1.95418, 2.77234", \ + "0.334893, 0.896892, 1.13989, 1.46387, 1.90958, 2.52957, 3.42922" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0376283, 0.244353, 0.384847, 0.61701, 1.00526, 1.65069, 2.72997", \ + "0.0492285, 0.24603, 0.385099, 0.617011, 1.00564, 1.6518, 2.72998", \ + "0.0632586, 0.2554, 0.389163, 0.621064, 1.00565, 1.6523, 2.72999", \ + "0.0888115, 0.288631, 0.413314, 0.629496, 1.0072, 1.65231, 2.73073", \ + "0.129778, 0.36114, 0.487338, 0.690172, 1.04347, 1.6639, 2.73074", \ + "0.19224, 0.492379, 0.62733, 0.837524, 1.17672, 1.75387, 2.76577", \ + "0.287971, 0.712022, 0.880545, 1.11505, 1.47531, 2.04114, 2.98787" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0744824, 0.285632, 0.427458, 0.661666, 1.05361, 1.7065, 2.79466", \ + "0.108893, 0.322961, 0.464904, 0.69943, 1.09125, 1.74505, 2.83369", \ + "0.133674, 0.356688, 0.498852, 0.733116, 1.12563, 1.7793, 2.86858", \ + "0.170424, 0.419046, 0.563609, 0.798382, 1.19092, 1.84408, 2.9354", \ + "0.21679, 0.523122, 0.68053, 0.923932, 1.31832, 1.97172, 3.06191", \ + "0.262838, 0.674912, 0.866716, 1.1398, 1.55801, 2.22022, 3.30896", \ + "0.31398, 0.886196, 1.13591, 1.4814, 1.96905, 2.68775, 3.80692" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0456743, 0.333624, 0.528725, 0.85105, 1.39212, 2.29095, 3.79122", \ + "0.050027, 0.333871, 0.528726, 0.851121, 1.39213, 2.29242, 3.79181", \ + "0.0586976, 0.33569, 0.528955, 0.851895, 1.39214, 2.29243, 3.79215", \ + "0.076568, 0.349205, 0.536042, 0.852839, 1.39215, 2.29244, 3.7938", \ + "0.11374, 0.394957, 0.572534, 0.875121, 1.3993, 2.29409, 3.79381", \ + "0.181178, 0.492114, 0.672483, 0.961722, 1.45752, 2.31863, 3.81202", \ + "0.294752, 0.670544, 0.867637, 1.16763, 1.65249, 2.46258, 3.87711" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0609307, 0.221728, 0.32805, 0.503254, 0.796269, 1.28423, 2.09719", \ + "0.0956969, 0.261976, 0.368467, 0.543892, 0.836922, 1.32501, 2.13851", \ + "0.121602, 0.300217, 0.407536, 0.583075, 0.876291, 1.3644, 2.17739", \ + "0.160285, 0.369337, 0.482744, 0.66084, 0.954339, 1.4424, 2.25685", \ + "0.21201, 0.481838, 0.611688, 0.805838, 1.1088, 1.59883, 2.41192", \ + "0.278866, 0.652858, 0.816352, 1.0455, 1.38399, 1.89999, 2.72114", \ + "0.3691, 0.902924, 1.1266, 1.42333, 1.83869, 2.42801, 3.31221" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0375569, 0.244607, 0.384781, 0.617013, 1.00526, 1.65068, 2.72994", \ + "0.0439719, 0.245344, 0.385962, 0.617014, 1.00527, 1.65185, 2.72995", \ + "0.0535151, 0.25129, 0.387891, 0.618541, 1.00528, 1.65186, 2.72996", \ + "0.0739793, 0.273816, 0.403565, 0.625606, 1.00612, 1.65197, 2.7306", \ + "0.111918, 0.325163, 0.454445, 0.666552, 1.03099, 1.66014, 2.73061", \ + "0.174391, 0.428711, 0.559492, 0.772503, 1.12446, 1.72102, 2.75612", \ + "0.270735, 0.618592, 0.765202, 0.988088, 1.34087, 1.92433, 2.9052" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.058962, 0.273299, 0.415204, 0.649669, 1.04131, 1.69426, 2.7825", \ + "0.0893134, 0.307809, 0.450438, 0.68562, 1.07801, 1.73107, 2.81911", \ + "0.11177, 0.3456, 0.488227, 0.723324, 1.11573, 1.76972, 2.85784", \ + "0.144579, 0.418003, 0.563514, 0.797934, 1.19004, 1.84356, 2.93406", \ + "0.186682, 0.536252, 0.700946, 0.947049, 1.34032, 1.99187, 3.08086", \ + "0.236387, 0.704863, 0.913374, 1.2031, 1.62865, 2.2904, 3.37512", \ + "0.300528, 0.928388, 1.21041, 1.59167, 2.11047, 2.85282, 3.97675" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0457381, 0.333635, 0.528711, 0.851452, 1.39117, 2.29098, 3.79122", \ + "0.056019, 0.333904, 0.528712, 0.851575, 1.39156, 2.29269, 3.79123", \ + "0.0712528, 0.337638, 0.52965, 0.851833, 1.39157, 2.29341, 3.79325", \ + "0.0967356, 0.361216, 0.54141, 0.854207, 1.39158, 2.29342, 3.79377", \ + "0.138867, 0.430204, 0.599344, 0.889532, 1.40333, 2.29343, 3.79378", \ + "0.200362, 0.563196, 0.741315, 1.01874, 1.494, 2.33182, 3.80557", \ + "0.301856, 0.780778, 0.996888, 1.30357, 1.7711, 2.54735, 3.92023" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0274257, 0.114964, 0.17268, 0.267935, 0.427452, 0.693388, 1.13661", \ + "0.0539461, 0.164447, 0.223017, 0.318366, 0.477874, 0.744658, 1.18701", \ + "0.0701275, 0.207964, 0.271262, 0.368689, 0.528343, 0.79404, 1.23837", \ + "0.0919999, 0.27817, 0.354651, 0.463911, 0.628555, 0.894451, 1.3368", \ + "0.117863, 0.38198, 0.483956, 0.619976, 0.811664, 1.09441, 1.53976", \ + "0.151967, 0.530858, 0.672109, 0.857106, 1.102, 1.43963, 1.92791", \ + "0.190849, 0.73204, 0.94254, 1.20394, 1.54368, 1.98662, 2.58711" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0169906, 0.134503, 0.211841, 0.339669, 0.554034, 0.911501, 1.50741", \ + "0.0391518, 0.141538, 0.214644, 0.340099, 0.554035, 0.912165, 1.5075", \ + "0.0599621, 0.15965, 0.227731, 0.346908, 0.555553, 0.912166, 1.50751", \ + "0.0917924, 0.201139, 0.267776, 0.377485, 0.571972, 0.915367, 1.50752", \ + "0.141209, 0.278666, 0.349414, 0.461009, 0.643839, 0.960962, 1.52351", \ + "0.217002, 0.409439, 0.494799, 0.616557, 0.808233, 1.11478, 1.63201", \ + "0.338704, 0.62928, 0.74081, 0.894288, 1.10927, 1.44147, 1.95194" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0446459, 0.258817, 0.400775, 0.635378, 1.02772, 1.68195, 2.77227", \ + "0.0714771, 0.293223, 0.435846, 0.671496, 1.0639, 1.71967, 2.80936", \ + "0.08864, 0.331005, 0.473687, 0.709099, 1.10208, 1.75739, 2.84741", \ + "0.112462, 0.402406, 0.548952, 0.783822, 1.17652, 1.83134, 2.92226", \ + "0.142451, 0.517876, 0.68483, 0.932478, 1.32679, 1.9798, 3.07197", \ + "0.176966, 0.680085, 0.893658, 1.18647, 1.6145, 2.27798, 3.36535", \ + "0.220747, 0.895287, 1.18331, 1.57081, 2.09336, 2.83934, 3.96629" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0347169, 0.321654, 0.51722, 0.8404, 1.3814, 2.28317, 3.7861", \ + "0.0485984, 0.322205, 0.517668, 0.840844, 1.38144, 2.28325, 3.78611", \ + "0.0642197, 0.326817, 0.518175, 0.840845, 1.38145, 2.28395, 3.78636", \ + "0.0901883, 0.35227, 0.531253, 0.84369, 1.38146, 2.28396, 3.78639", \ + "0.128998, 0.422552, 0.591013, 0.88003, 1.39376, 2.28397, 3.78773", \ + "0.188064, 0.556169, 0.734429, 1.01083, 1.48595, 2.32429, 3.81397", \ + "0.287063, 0.773205, 0.993217, 1.29782, 1.76649, 2.54247, 3.91686" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0271672, 0.114588, 0.172161, 0.267378, 0.426688, 0.692281, 1.13516", \ + "0.0533823, 0.164134, 0.222631, 0.317807, 0.477201, 0.743257, 1.18549", \ + "0.0692658, 0.207564, 0.270814, 0.36817, 0.527583, 0.792991, 1.23681", \ + "0.0904668, 0.277552, 0.354034, 0.463274, 0.627798, 0.893366, 1.33534", \ + "0.115529, 0.381023, 0.483085, 0.619076, 0.810772, 1.0933, 1.5382", \ + "0.146453, 0.528951, 0.670489, 0.855638, 1.10061, 1.43799, 1.92621", \ + "0.181826, 0.728126, 0.939228, 1.2013, 1.54125, 1.98459, 2.58478" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0137478, 0.125992, 0.203478, 0.331436, 0.545828, 0.903138, 1.49857", \ + "0.0295942, 0.133122, 0.206319, 0.331877, 0.545829, 0.903555, 1.49954", \ + "0.0431445, 0.150842, 0.219401, 0.338959, 0.54739, 0.903556, 1.49966", \ + "0.0656933, 0.191016, 0.258988, 0.369353, 0.56424, 0.90716, 1.49967", \ + "0.101525, 0.266999, 0.338979, 0.451979, 0.635388, 0.952708, 1.51621", \ + "0.158328, 0.392301, 0.481937, 0.605815, 0.799477, 1.10646, 1.62341", \ + "0.253372, 0.605911, 0.723032, 0.88148, 1.09973, 1.43223, 1.94505" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0361127, 0.193241, 0.297535, 0.469598, 0.757577, 1.23767, 2.03783", \ + "0.0631748, 0.232211, 0.33672, 0.509108, 0.797724, 1.27771, 2.07853", \ + "0.0793981, 0.273144, 0.378509, 0.55104, 0.83927, 1.31941, 2.11981", \ + "0.10165, 0.345789, 0.45884, 0.633215, 0.920986, 1.40102, 2.20178", \ + "0.129016, 0.457949, 0.594375, 0.78865, 1.085, 1.56423, 2.36348", \ + "0.158945, 0.609453, 0.793969, 1.03717, 1.37876, 1.88542, 2.68626", \ + "0.193677, 0.811865, 1.0641, 1.40061, 1.84212, 2.44723, 3.32122" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0250477, 0.238373, 0.383961, 0.624637, 1.0283, 1.69959, 2.8207", \ + "0.0407198, 0.239049, 0.383962, 0.624638, 1.02831, 1.6996, 2.82071", \ + "0.0567187, 0.248377, 0.387414, 0.626689, 1.02832, 1.69961, 2.82072", \ + "0.0821085, 0.28094, 0.408829, 0.634107, 1.02833, 1.69962, 2.82073", \ + "0.120379, 0.355299, 0.479868, 0.68693, 1.0557, 1.70491, 2.82074", \ + "0.178529, 0.490433, 0.627783, 0.835304, 1.17803, 1.77815, 2.84195", \ + "0.276429, 0.696574, 0.88002, 1.12346, 1.48166, 2.04924, 3.03109" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0269029, 0.114436, 0.172083, 0.267194, 0.426487, 0.692078, 1.13496", \ + "0.0528789, 0.163701, 0.22221, 0.317436, 0.476776, 0.742522, 1.18522", \ + "0.0688367, 0.207081, 0.270353, 0.36758, 0.527031, 0.792639, 1.23642", \ + "0.0902725, 0.276989, 0.35348, 0.462868, 0.627432, 0.892693, 1.33494", \ + "0.116003, 0.380445, 0.48271, 0.618302, 0.810018, 1.09294, 1.53774", \ + "0.148773, 0.529015, 0.670319, 0.855433, 1.10007, 1.43752, 1.92579", \ + "0.188585, 0.729407, 0.939998, 1.2015, 1.54074, 1.98409, 2.58335" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0138099, 0.125992, 0.20359, 0.331504, 0.545839, 0.903158, 1.4986", \ + "0.0299966, 0.13311, 0.20637, 0.331954, 0.545938, 0.903159, 1.49863", \ + "0.0436106, 0.151264, 0.219536, 0.338735, 0.547645, 0.904234, 1.49967", \ + "0.0659312, 0.191541, 0.25967, 0.369535, 0.564319, 0.907413, 1.49968", \ + "0.101181, 0.267467, 0.339373, 0.452511, 0.635937, 0.953179, 1.51555", \ + "0.15704, 0.392336, 0.482051, 0.605557, 0.799779, 1.10706, 1.62389", \ + "0.250292, 0.604634, 0.722354, 0.878887, 1.09808, 1.43257, 1.94572" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.058962, 0.273299, 0.415204, 0.649669, 1.04131, 1.69426, 2.7825", \ + "0.0893134, 0.307809, 0.450438, 0.68562, 1.07801, 1.73107, 2.81911", \ + "0.11177, 0.3456, 0.488227, 0.723324, 1.11573, 1.76972, 2.85784", \ + "0.144579, 0.418003, 0.563514, 0.797934, 1.19004, 1.84356, 2.93406", \ + "0.186682, 0.536252, 0.700946, 0.947049, 1.34032, 1.99187, 3.08086", \ + "0.236387, 0.704863, 0.913374, 1.2031, 1.62865, 2.2904, 3.37512", \ + "0.300528, 0.928388, 1.21041, 1.59167, 2.11047, 2.85282, 3.97675" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0457381, 0.333635, 0.528711, 0.851452, 1.39117, 2.29098, 3.79122", \ + "0.056019, 0.333904, 0.528712, 0.851575, 1.39156, 2.29269, 3.79123", \ + "0.0712528, 0.337638, 0.52965, 0.851833, 1.39157, 2.29341, 3.79325", \ + "0.0967356, 0.361216, 0.54141, 0.854207, 1.39158, 2.29342, 3.79377", \ + "0.138867, 0.430204, 0.599344, 0.889532, 1.40333, 2.29343, 3.79378", \ + "0.200362, 0.563196, 0.741315, 1.01874, 1.494, 2.33182, 3.80557", \ + "0.301856, 0.780778, 0.996888, 1.30357, 1.7711, 2.54735, 3.92023" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0274257, 0.114964, 0.17268, 0.267935, 0.427452, 0.693388, 1.13661", \ + "0.0539461, 0.164447, 0.223017, 0.318366, 0.477874, 0.744658, 1.18701", \ + "0.0701275, 0.207964, 0.271262, 0.368689, 0.528343, 0.79404, 1.23837", \ + "0.0919999, 0.27817, 0.354651, 0.463911, 0.628555, 0.894451, 1.3368", \ + "0.117863, 0.38198, 0.483956, 0.619976, 0.811664, 1.09441, 1.53976", \ + "0.151967, 0.530858, 0.672109, 0.857106, 1.102, 1.43963, 1.92791", \ + "0.190849, 0.73204, 0.94254, 1.20394, 1.54368, 1.98662, 2.58711" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0169906, 0.134503, 0.211841, 0.339669, 0.554034, 0.911501, 1.50741", \ + "0.0391518, 0.141538, 0.214644, 0.340099, 0.554035, 0.912165, 1.5075", \ + "0.0599621, 0.15965, 0.227731, 0.346908, 0.555553, 0.912166, 1.50751", \ + "0.0917924, 0.201139, 0.267776, 0.377485, 0.571972, 0.915367, 1.50752", \ + "0.141209, 0.278666, 0.349414, 0.461009, 0.643839, 0.960962, 1.52351", \ + "0.217002, 0.409439, 0.494799, 0.616557, 0.808233, 1.11478, 1.63201", \ + "0.338704, 0.62928, 0.74081, 0.894288, 1.10927, 1.44147, 1.95194" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00584192, 0.00616804, 0.00611135, 0.00602077, 0.00579737, 0.00549186, 0.00513008", \ + "0.00539495, 0.00600863, 0.00596226, 0.00592634, 0.00574196, 0.00550457, 0.00507662", \ + "0.00530591, 0.00580663, 0.00589944, 0.00584981, 0.00570747, 0.00541221, 0.00514495", \ + "0.00526764, 0.00565979, 0.00572638, 0.00589098, 0.00565307, 0.0054048, 0.00512866", \ + "0.00539038, 0.00553853, 0.00556838, 0.005787, 0.00568669, 0.00527282, 0.00527754", \ + "0.0062266, 0.00575405, 0.00571414, 0.00558636, 0.00577305, 0.00523488, 0.0058898", \ + "0.00905771, 0.00688087, 0.00663222, 0.00637512, 0.00595632, 0.00555927, 0.0058807" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00557573, 0.00555281, 0.00550258, 0.00540736, 0.00524676, 0.00490626, 0.00444548", \ + "0.00503864, 0.0053279, 0.00531353, 0.00525327, 0.00515318, 0.00484926, 0.00426102", \ + "0.00492881, 0.0053016, 0.0052184, 0.00540235, 0.00505826, 0.00480918, 0.00422797", \ + "0.00502888, 0.00515503, 0.00520193, 0.00508421, 0.0050343, 0.00481986, 0.00440609", \ + "0.00558488, 0.00529967, 0.00528316, 0.00509517, 0.00531213, 0.00490426, 0.00422893", \ + "0.00694923, 0.00595886, 0.0057107, 0.00559172, 0.00516104, 0.00511714, 0.00489895", \ + "0.0102757, 0.00800273, 0.00737088, 0.00688464, 0.00640407, 0.00546632, 0.00495106" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00678787, 0.0067885, 0.00671424, 0.00659572, 0.00643503, 0.00603081, 0.00565583", \ + "0.00663754, 0.0068208, 0.00676771, 0.00668156, 0.00652629, 0.00621835, 0.00578621", \ + "0.00660433, 0.0068319, 0.00680163, 0.00669879, 0.00653953, 0.00624931, 0.00597135", \ + "0.00658508, 0.00675699, 0.00671583, 0.00665802, 0.00648101, 0.00624759, 0.00601225", \ + "0.00669248, 0.00676924, 0.00670471, 0.00682619, 0.00649563, 0.00632424, 0.00600771", \ + "0.00734538, 0.00700074, 0.00696509, 0.00677277, 0.00686017, 0.00638069, 0.00666395", \ + "0.00975933, 0.00820245, 0.00788764, 0.00761542, 0.00723791, 0.00675581, 0.00733849" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00762024, 0.00756741, 0.00749343, 0.00739508, 0.0072346, 0.00686968, 0.00645649", \ + "0.00722064, 0.00736803, 0.00739006, 0.00726879, 0.00709922, 0.00680255, 0.00641222", \ + "0.00708728, 0.00736695, 0.0073183, 0.00728021, 0.00708359, 0.00674477, 0.00629208", \ + "0.00702522, 0.00721667, 0.00727871, 0.00716318, 0.0070521, 0.00688734, 0.00644439", \ + "0.00716719, 0.00725472, 0.00724246, 0.00714044, 0.00735596, 0.00706697, 0.00635442", \ + "0.00796068, 0.00752239, 0.00743403, 0.00743822, 0.00709355, 0.00711909, 0.00641686", \ + "0.0104415, 0.00888852, 0.00851799, 0.00830552, 0.00803303, 0.00724145, 0.00673506" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00506257, 0.00547661, 0.0054122, 0.00532041, 0.00511334, 0.00473299, 0.00433493", \ + "0.00464866, 0.00513961, 0.0051622, 0.00514754, 0.00503594, 0.00472969, 0.00431406", \ + "0.00466391, 0.00500735, 0.00503856, 0.00504623, 0.00494353, 0.0047499, 0.00437025", \ + "0.00486959, 0.00491232, 0.00491304, 0.00523274, 0.00481934, 0.00461324, 0.00444418", \ + "0.00558487, 0.00509865, 0.00502404, 0.00512462, 0.00488822, 0.00450134, 0.00423537", \ + "0.00703567, 0.00584283, 0.00559686, 0.00521236, 0.00521669, 0.00461266, 0.00462602", \ + "0.0104663, 0.00788042, 0.00743304, 0.00683745, 0.00604556, 0.00523975, 0.00563958" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00426801, 0.00487076, 0.00484646, 0.00472514, 0.00458353, 0.00429564, 0.00384776", \ + "0.00383823, 0.00474708, 0.00476119, 0.00470209, 0.00464165, 0.00448244, 0.00391202", \ + "0.00382827, 0.00454711, 0.0047017, 0.00469967, 0.00456853, 0.00434414, 0.0039649", \ + "0.00408256, 0.00446202, 0.00451693, 0.00455394, 0.00492293, 0.00458737, 0.00377945", \ + "0.00479402, 0.00447727, 0.00454952, 0.00458553, 0.00432345, 0.00450747, 0.00382863", \ + "0.00647036, 0.00510137, 0.00494124, 0.00470007, 0.00473829, 0.00416317, 0.00400197", \ + "0.0101902, 0.00728743, 0.00668175, 0.00602422, 0.00571614, 0.00528583, 0.00416244" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00429345, 0.00492526, 0.00489049, 0.00480162, 0.00459804, 0.00423995, 0.0038713", \ + "0.00400189, 0.00457618, 0.00465228, 0.00463765, 0.00448654, 0.0042046, 0.00383648", \ + "0.00409076, 0.0044195, 0.0045779, 0.00450425, 0.00437435, 0.00418387, 0.00383489", \ + "0.00438836, 0.00435611, 0.0044163, 0.00463553, 0.0043005, 0.00408345, 0.00379304", \ + "0.00520479, 0.00455725, 0.00447902, 0.00447038, 0.0043076, 0.00396248, 0.00387896", \ + "0.00683677, 0.00535844, 0.00506557, 0.00467028, 0.00471747, 0.00401265, 0.00472099", \ + "0.0105246, 0.00747668, 0.00705154, 0.00637043, 0.00541878, 0.00479431, 0.0053222" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00183603, 0.0024505, 0.00240505, 0.00231241, 0.00215977, 0.00188103, 0.00138377", \ + "0.00140151, 0.0022996, 0.00238041, 0.00239591, 0.00219693, 0.00202536, 0.00151685", \ + "0.00139445, 0.00211167, 0.00226335, 0.00232826, 0.00236801, 0.00193509, 0.00163278", \ + "0.00164344, 0.00203362, 0.00206833, 0.0021476, 0.00245418, 0.00216653, 0.00136546", \ + "0.00238457, 0.00207382, 0.00208713, 0.00221568, 0.00190506, 0.00214285, 0.0016374", \ + "0.00409201, 0.00269157, 0.00251791, 0.00235234, 0.00239165, 0.00173098, 0.00165957", \ + "0.0079031, 0.00494155, 0.00429922, 0.00368374, 0.00342846, 0.00291068, 0.00192621" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0042942, 0.00493116, 0.00489509, 0.00478397, 0.00461573, 0.00459962, 0.00465683", \ + "0.0040295, 0.00459447, 0.00461774, 0.00458308, 0.00446938, 0.00448028, 0.00431096", \ + "0.0041187, 0.00451673, 0.00452728, 0.00460235, 0.00439827, 0.00435759, 0.00452374", \ + "0.00442481, 0.00433007, 0.00450202, 0.00443681, 0.00426746, 0.00435327, 0.00426537", \ + "0.00530148, 0.00463078, 0.00446276, 0.00451615, 0.00425314, 0.00434101, 0.00421628", \ + "0.00701167, 0.00548047, 0.00516242, 0.00474548, 0.00450291, 0.00453197, 0.00446313", \ + "0.0108997, 0.00769578, 0.00719544, 0.00652664, 0.00568822, 0.00479945, 0.00484461" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00175148, 0.00239195, 0.00235889, 0.00224232, 0.00209414, 0.00181546, 0.00132178", \ + "0.00132761, 0.0021498, 0.00221102, 0.00226353, 0.0020445, 0.00179783, 0.00133727", \ + "0.00134991, 0.0019723, 0.00210234, 0.0021552, 0.00199987, 0.0019114, 0.00146085", \ + "0.00163265, 0.00189059, 0.00196324, 0.00200093, 0.00222913, 0.00197719, 0.00122259", \ + "0.00241649, 0.00196738, 0.00198597, 0.00200279, 0.00174955, 0.00196704, 0.00142457", \ + "0.00418141, 0.00268146, 0.00243729, 0.00223411, 0.00225689, 0.00165808, 0.00148095", \ + "0.00812452, 0.00505444, 0.00434272, 0.00364799, 0.0032255, 0.00281571, 0.00169522" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0042942, 0.00493116, 0.00489509, 0.00478397, 0.00461573, 0.00459962, 0.00465683", \ + "0.0040295, 0.00459447, 0.00461774, 0.00458308, 0.00446938, 0.00448028, 0.00431096", \ + "0.0041187, 0.00451673, 0.00452728, 0.00460235, 0.00439827, 0.00435759, 0.00452374", \ + "0.00442481, 0.00433007, 0.00450202, 0.00443681, 0.00426746, 0.00435327, 0.00426537", \ + "0.00530148, 0.00463078, 0.00446276, 0.00451615, 0.00425314, 0.00434101, 0.00421628", \ + "0.00701167, 0.00548047, 0.00516242, 0.00474548, 0.00450291, 0.00453197, 0.00446313", \ + "0.0108997, 0.00769578, 0.00719544, 0.00652664, 0.00568822, 0.00479945, 0.00484461" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00183603, 0.0024505, 0.00240505, 0.00231241, 0.00215977, 0.00188103, 0.00138377", \ + "0.00140151, 0.0022996, 0.00238041, 0.00239591, 0.00219693, 0.00202536, 0.00151685", \ + "0.00139445, 0.00211167, 0.00226335, 0.00232826, 0.00236801, 0.00193509, 0.00163278", \ + "0.00164344, 0.00203362, 0.00206833, 0.0021476, 0.00245418, 0.00216653, 0.00136546", \ + "0.00238457, 0.00207382, 0.00208713, 0.00221568, 0.00190506, 0.00214285, 0.0016374", \ + "0.00409201, 0.00269157, 0.00251791, 0.00235234, 0.00239165, 0.00173098, 0.00165957", \ + "0.0079031, 0.00494155, 0.00429922, 0.00368374, 0.00342846, 0.00291068, 0.00192621" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00516984; + rise_capacitance : 0.00534999; + rise_capacitance_range (0.00534999, 0.00534999); + fall_capacitance : 0.00498969; + fall_capacitance_range (0.00498969, 0.00498969); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000202023, -0.000201217, -0.000198943, -0.000192612, -0.000197459, -0.00019731, -0.000200049" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000202023, 0.000201217, 0.000198943, 0.000192612, 0.000197459, 0.00019731, 0.000200049" \ + ); + } + } + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000641851, -0.000649244, -0.000642761, -0.000634801, -0.000632166, -0.000633774, -0.000631117" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0012279, 0.00125328, 0.00125191, 0.00124909, 0.00125564, 0.00125904, 0.00125889" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000641851, -0.000649244, -0.000642761, -0.000634801, -0.000632166, -0.000633774, -0.000631117" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0012279, 0.00125328, 0.00125191, 0.00124909, 0.00125564, 0.00125904, 0.00125889" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00557764; + rise_capacitance : 0.00564775; + rise_capacitance_range (0.00564775, 0.00564775); + fall_capacitance : 0.00550753; + fall_capacitance_range (0.00550753, 0.00550753); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000130575, -0.000128172, -0.000127602, -0.000128869, -0.000129338, -0.000128838, -0.000132794" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000130575, 0.000128172, 0.000127602, 0.000128869, 0.000129338, 0.000128838, 0.000132794" \ + ); + } + } + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000211742, -0.000212403, -0.000212425, -0.000212766, -0.000216576, -0.000214294, -0.000208721" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000760303, 0.000622743, 0.000583614, 0.000549364, 0.00052027, 0.000499173, 0.000475073" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000211742, -0.000212403, -0.000212425, -0.000212766, -0.000216576, -0.000214294, -0.000208721" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000760303, 0.000622743, 0.000583614, 0.000549364, 0.00052027, 0.000499173, 0.000475073" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00508748; + rise_capacitance : 0.00510996; + rise_capacitance_range (0.00510996, 0.00510996); + fall_capacitance : 0.005065; + fall_capacitance_range (0.005065, 0.005065); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000630285, 0.000623431, 0.000625386, 0.000629619, 0.00063331, 0.000637035, 0.000635004" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000630285, -0.000623431, -0.000625386, -0.000629619, -0.00063331, -0.000637035, -0.000635004" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000630285, 0.000623431, 0.000625386, 0.000629619, 0.00063331, 0.000637035, 0.000635004" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000630285, -0.000623431, -0.000625386, -0.000629619, -0.00063331, -0.000637035, -0.000635004" \ + ); + } + } + } + } + cell (sg13g2_a221oi_1) { + area : 14.5152; + cell_footprint : "a221oi"; + cell_leakage_power : 553.235; + leakage_power () { + value : 226.425; + when : "!A1*!A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 502.507; + when : "!A1*!A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 320.303; + when : "!A1*!A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 500.449; + when : "!A1*!A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 305.305; + when : "!A1*!A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 500.453; + when : "!A1*!A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1033.18; + when : "!A1*!A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 323.036; + when : "!A1*!A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 320.311; + when : "!A1*A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 500.457; + when : "!A1*A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 414.189; + when : "!A1*A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 498.399; + when : "!A1*A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 399.191; + when : "!A1*A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 498.404; + when : "!A1*A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1031.13; + when : "!A1*A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 320.99; + when : "!A1*A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 305.305; + when : "A1*!A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 500.453; + when : "A1*!A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 399.183; + when : "A1*!A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 498.395; + when : "A1*!A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 384.184; + when : "A1*!A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 498.4; + when : "A1*!A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1031.12; + when : "A1*!A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 320.986; + when : "A1*!A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 1387.73; + when : "A1*A2*!B1*!B2*!C1*!Y"; + } + leakage_power () { + value : 324.99; + when : "A1*A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1383.37; + when : "A1*A2*!B1*B2*!C1*!Y"; + } + leakage_power () { + value : 326.129; + when : "A1*A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 1383.38; + when : "A1*A2*B1*!B2*!C1*!Y"; + } + leakage_power () { + value : 326.134; + when : "A1*A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 668.065; + when : "A1*A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 270.983; + when : "A1*A2*B1*B2*C1*!Y"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+(B1*B2)+C1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b1 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.162049, 0.799531, 1.23063, 1.94328, 3.13642, 5.12485, 8.44116", \ + "0.195056, 0.836604, 1.26799, 1.98091, 3.17441, 5.16284, 8.47698", \ + "0.22299, 0.866638, 1.2984, 2.01164, 3.20631, 5.19523, 8.50788", \ + "0.267306, 0.91956, 1.35189, 2.06681, 3.25919, 5.25117, 8.56255", \ + "0.327627, 1.01664, 1.44965, 2.16388, 3.35776, 5.34735, 8.66508", \ + "0.400282, 1.18277, 1.63017, 2.34786, 3.54274, 5.53216, 8.84926", \ + "0.474036, 1.44908, 1.94371, 2.69948, 3.91254, 5.90639, 9.22148" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108311, 0.970233, 1.55572, 2.52367, 4.14405, 6.84434, 11.3507", \ + "0.10884, 0.971373, 1.55576, 2.52368, 4.14406, 6.8468, 11.3508", \ + "0.112871, 0.971374, 1.55577, 2.52492, 4.14644, 6.84811, 11.3509", \ + "0.125057, 0.971375, 1.55825, 2.52627, 4.14645, 6.84839, 11.351", \ + "0.151848, 0.982618, 1.55953, 2.52692, 4.14646, 6.8484, 11.3511", \ + "0.210453, 1.04038, 1.59592, 2.53957, 4.1564, 6.84841, 11.3512", \ + "0.339642, 1.1928, 1.73732, 2.64053, 4.19888, 6.86287, 11.3513" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.076773, 0.403231, 0.617437, 0.969483, 1.55743, 2.53593, 4.16445", \ + "0.116345, 0.444755, 0.658655, 1.01134, 1.59885, 2.57737, 4.20624", \ + "0.148404, 0.487318, 0.701181, 1.05323, 1.64138, 2.62055, 4.24843", \ + "0.197307, 0.572548, 0.786675, 1.13831, 1.72618, 2.70418, 4.3331", \ + "0.266281, 0.724234, 0.954211, 1.3111, 1.89828, 2.87557, 4.50462", \ + "0.359543, 0.958767, 1.23282, 1.62861, 2.23787, 3.2157, 4.84074", \ + "0.48252, 1.3019, 1.65994, 2.14849, 2.85004, 3.89286, 5.5373" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0864251, 0.498034, 0.779105, 1.24249, 2.01902, 3.3129, 5.46793", \ + "0.0944974, 0.498035, 0.779106, 1.2439, 2.01903, 3.31301, 5.46817", \ + "0.11074, 0.500151, 0.779198, 1.24391, 2.01904, 3.31504, 5.46818", \ + "0.143842, 0.517774, 0.785568, 1.24392, 2.01905, 3.31505, 5.46819", \ + "0.202164, 0.585681, 0.835468, 1.2679, 2.02345, 3.31506, 5.4682", \ + "0.295695, 0.731472, 0.9804, 1.38692, 2.09339, 3.33365, 5.47502", \ + "0.43818, 0.999702, 1.26731, 1.68303, 2.35888, 3.51771, 5.55337" \ + ); + } + } + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.140831, 0.77792, 1.20947, 1.92311, 3.11812, 5.10976, 8.42917", \ + "0.173622, 0.814611, 1.2468, 1.96126, 3.15622, 5.14793, 8.46737", \ + "0.200831, 0.844665, 1.27713, 1.99148, 3.18801, 5.17864, 8.49815", \ + "0.242904, 0.897815, 1.33095, 2.04595, 3.24106, 5.23599, 8.555", \ + "0.298185, 0.994467, 1.4282, 2.14312, 3.3391, 5.33206, 8.654", \ + "0.35997, 1.15896, 1.60791, 2.32701, 3.52321, 5.51669, 8.83916", \ + "0.413001, 1.42169, 1.91935, 2.67745, 3.89256, 5.88974, 9.21374" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0917148, 0.953392, 1.54017, 2.50835, 4.13126, 6.83602, 11.3439", \ + "0.0926108, 0.954166, 1.54018, 2.50967, 4.13127, 6.83603, 11.344", \ + "0.0975341, 0.954167, 1.54019, 2.50968, 4.13353, 6.83604, 11.3502", \ + "0.110274, 0.954168, 1.5402, 2.50969, 4.1336, 6.84003, 11.3503", \ + "0.137686, 0.966139, 1.54469, 2.51081, 4.13361, 6.84004, 11.3504", \ + "0.1983, 1.02524, 1.58052, 2.52694, 4.13559, 6.84005, 11.3505", \ + "0.33043, 1.17859, 1.72318, 2.62629, 4.18836, 6.85792, 11.3506" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0760493, 0.401907, 0.615648, 0.966975, 1.55399, 2.53133, 4.15914", \ + "0.115583, 0.443367, 0.656943, 1.00842, 1.59549, 2.5728, 4.20076", \ + "0.147426, 0.485995, 0.699443, 1.05252, 1.63792, 2.61557, 4.24297", \ + "0.196009, 0.571172, 0.784923, 1.13567, 1.7226, 2.69998, 4.32767", \ + "0.263864, 0.722423, 0.952236, 1.30862, 1.89484, 2.87099, 4.49902", \ + "0.354722, 0.956197, 1.23024, 1.62574, 2.23426, 3.21093, 4.83496", \ + "0.474372, 1.29763, 1.65572, 2.14453, 2.8456, 3.88718, 5.53168" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0687164, 0.483912, 0.765555, 1.23015, 2.00684, 3.30041, 5.4557", \ + "0.076579, 0.483913, 0.765556, 1.23016, 2.00685, 3.30042, 5.45595", \ + "0.0913263, 0.486095, 0.765557, 1.23098, 2.00686, 3.30043, 5.45601", \ + "0.12035, 0.503815, 0.77202, 1.23099, 2.00687, 3.30265, 5.45971", \ + "0.172993, 0.571027, 0.821402, 1.25442, 2.01058, 3.30266, 5.45986", \ + "0.254823, 0.715264, 0.965517, 1.37255, 2.07911, 3.31983, 5.46149", \ + "0.37876, 0.98245, 1.24946, 1.66939, 2.34708, 3.50526, 5.5468" \ + ); + } + } + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.124978, 0.650905, 1.00707, 1.59608, 2.58219, 4.22582, 6.96732", \ + "0.160202, 0.68942, 1.04638, 1.63582, 2.62187, 4.26665, 7.00514", \ + "0.189542, 0.722601, 1.07967, 1.6697, 2.65618, 4.29918, 7.03907", \ + "0.234143, 0.782125, 1.1395, 1.72914, 2.71588, 4.3609, 7.09934", \ + "0.293027, 0.891409, 1.25102, 1.84076, 2.82887, 4.47371, 7.21243", \ + "0.357155, 1.0729, 1.4532, 2.05256, 3.04014, 4.68674, 7.43183", \ + "0.411479, 1.35498, 1.79416, 2.44361, 3.4631, 5.11666, 7.85636" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0761434, 0.792202, 1.2793, 2.0848, 3.43349, 5.68129, 9.42708", \ + "0.0776786, 0.792203, 1.27931, 2.0849, 3.43364, 5.6813, 9.42761", \ + "0.0836819, 0.792204, 1.27932, 2.08559, 3.43365, 5.68411, 9.42762", \ + "0.0983912, 0.793602, 1.2849, 2.0856, 3.43366, 5.68412, 9.42826", \ + "0.129886, 0.811384, 1.28662, 2.08579, 3.43367, 5.68413, 9.42827", \ + "0.196379, 0.88568, 1.33765, 2.11322, 3.43777, 5.68414, 9.43863", \ + "0.333901, 1.05978, 1.50732, 2.24433, 3.51775, 5.7096, 9.43864" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0793344, 0.406399, 0.620249, 0.971931, 1.55904, 2.53645, 4.16438", \ + "0.119215, 0.447924, 0.661723, 1.01345, 1.60066, 2.5781, 4.20617", \ + "0.151823, 0.490606, 0.704285, 1.05591, 1.64312, 2.62088, 4.24848", \ + "0.20184, 0.575629, 0.789738, 1.14072, 1.72762, 2.70534, 4.3333", \ + "0.272763, 0.72766, 0.957189, 1.31361, 1.90004, 2.87625, 4.50442", \ + "0.368509, 0.962506, 1.23576, 1.63174, 2.23949, 3.2162, 4.84078", \ + "0.494327, 1.30732, 1.66395, 2.15111, 2.85138, 3.89301, 5.53663" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0717945, 0.487865, 0.769298, 1.23295, 2.0111, 3.30461, 5.46106", \ + "0.0790981, 0.487866, 0.769299, 1.23381, 2.01111, 3.30462, 5.46107", \ + "0.0935013, 0.489942, 0.769509, 1.23382, 2.01138, 3.30463, 5.46108", \ + "0.122685, 0.507175, 0.774998, 1.23383, 2.01139, 3.30637, 5.46109", \ + "0.175053, 0.574955, 0.824639, 1.25774, 2.01548, 3.30638, 5.46291", \ + "0.257094, 0.717759, 0.967753, 1.37493, 2.08416, 3.32354, 5.46783", \ + "0.382077, 0.98366, 1.2518, 1.67159, 2.34859, 3.50858, 5.54883" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.162049, 0.799531, 1.23063, 1.94328, 3.13642, 5.12485, 8.44116", \ + "0.195056, 0.836604, 1.26799, 1.98091, 3.17441, 5.16284, 8.47698", \ + "0.22299, 0.866638, 1.2984, 2.01164, 3.20631, 5.19523, 8.50788", \ + "0.267306, 0.91956, 1.35189, 2.06681, 3.25919, 5.25117, 8.56255", \ + "0.327627, 1.01664, 1.44965, 2.16388, 3.35776, 5.34735, 8.66508", \ + "0.400282, 1.18277, 1.63017, 2.34786, 3.54274, 5.53216, 8.84926", \ + "0.474036, 1.44908, 1.94371, 2.69948, 3.91254, 5.90639, 9.22148" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108311, 0.970233, 1.55572, 2.52367, 4.14405, 6.84434, 11.3507", \ + "0.10884, 0.971373, 1.55576, 2.52368, 4.14406, 6.8468, 11.3508", \ + "0.112871, 0.971374, 1.55577, 2.52492, 4.14644, 6.84811, 11.3509", \ + "0.125057, 0.971375, 1.55825, 2.52627, 4.14645, 6.84839, 11.351", \ + "0.151848, 0.982618, 1.55953, 2.52692, 4.14646, 6.8484, 11.3511", \ + "0.210453, 1.04038, 1.59592, 2.53957, 4.1564, 6.84841, 11.3512", \ + "0.339642, 1.1928, 1.73732, 2.64053, 4.19888, 6.86287, 11.3513" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.076773, 0.403231, 0.617437, 0.969483, 1.55743, 2.53593, 4.16445", \ + "0.116345, 0.444755, 0.658655, 1.01134, 1.59885, 2.57737, 4.20624", \ + "0.148404, 0.487318, 0.701181, 1.05323, 1.64138, 2.62055, 4.24843", \ + "0.197307, 0.572548, 0.786675, 1.13831, 1.72618, 2.70418, 4.3331", \ + "0.266281, 0.724234, 0.954211, 1.3111, 1.89828, 2.87557, 4.50462", \ + "0.359543, 0.958767, 1.23282, 1.62861, 2.23787, 3.2157, 4.84074", \ + "0.48252, 1.3019, 1.65994, 2.14849, 2.85004, 3.89286, 5.5373" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0864251, 0.498034, 0.779105, 1.24249, 2.01902, 3.3129, 5.46793", \ + "0.0944974, 0.498035, 0.779106, 1.2439, 2.01903, 3.31301, 5.46817", \ + "0.11074, 0.500151, 0.779198, 1.24391, 2.01904, 3.31504, 5.46818", \ + "0.143842, 0.517774, 0.785568, 1.24392, 2.01905, 3.31505, 5.46819", \ + "0.202164, 0.585681, 0.835468, 1.2679, 2.02345, 3.31506, 5.4682", \ + "0.295695, 0.731472, 0.9804, 1.38692, 2.09339, 3.33365, 5.47502", \ + "0.43818, 0.999702, 1.26731, 1.68303, 2.35888, 3.51771, 5.55337" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b1 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.179288, 0.816532, 1.24734, 1.95953, 3.15145, 5.13825, 8.44906", \ + "0.214569, 0.853497, 1.28452, 1.99682, 3.18933, 5.17578, 8.48666", \ + "0.243648, 0.88417, 1.31515, 2.02768, 3.22112, 5.20812, 8.51764", \ + "0.290159, 0.938053, 1.36948, 2.08335, 3.27529, 5.26422, 8.57491", \ + "0.355968, 1.03626, 1.46825, 2.18076, 3.37363, 5.36072, 8.67255", \ + "0.437046, 1.20519, 1.64969, 2.36617, 3.55888, 5.546, 8.85957", \ + "0.527847, 1.47541, 1.96655, 2.71998, 3.93017, 5.9202, 9.23496" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.124897, 0.98872, 1.57589, 2.54113, 4.16048, 6.85878, 11.3552", \ + "0.125309, 0.989575, 1.5759, 2.54124, 4.16055, 6.85879, 11.3553", \ + "0.128647, 0.989576, 1.57591, 2.54231, 4.16309, 6.86263, 11.3554", \ + "0.139935, 0.99126, 1.57592, 2.54394, 4.1631, 6.86298, 11.3616", \ + "0.166602, 0.999836, 1.5792, 2.54395, 4.16311, 6.86299, 11.3617", \ + "0.223324, 1.05724, 1.61329, 2.55596, 4.16414, 6.863, 11.3618", \ + "0.348509, 1.20698, 1.75238, 2.65671, 4.21679, 6.87684, 11.3619" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0830405, 0.409598, 0.623726, 0.975807, 1.56391, 2.54226, 4.17097", \ + "0.121456, 0.449622, 0.663702, 1.01609, 1.60379, 2.5823, 4.21111", \ + "0.152909, 0.488654, 0.702776, 1.05502, 1.64313, 2.62154, 4.25028", \ + "0.203104, 0.565735, 0.780594, 1.13283, 1.72127, 2.69953, 4.32829", \ + "0.276641, 0.704106, 0.931332, 1.28872, 1.8775, 2.85597, 4.48565", \ + "0.377053, 0.928519, 1.18862, 1.57645, 2.18336, 3.16518, 4.79375", \ + "0.511235, 1.27325, 1.60364, 2.06278, 2.74041, 3.77176, 5.41958" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0862038, 0.498041, 0.779028, 1.24347, 2.01886, 3.31291, 5.46815", \ + "0.0908088, 0.498062, 0.779029, 1.24348, 2.01903, 3.31292, 5.46816", \ + "0.101157, 0.499491, 0.77903, 1.24349, 2.01904, 3.31322, 5.46817", \ + "0.124761, 0.511277, 0.783565, 1.2435, 2.01905, 3.31323, 5.46818", \ + "0.17165, 0.557525, 0.816916, 1.26013, 2.02324, 3.31376, 5.46819", \ + "0.255627, 0.663298, 0.918656, 1.34057, 2.07085, 3.32842, 5.47542", \ + "0.389947, 0.876399, 1.13403, 1.5571, 2.2564, 3.45404, 5.52684" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.158418, 0.794915, 1.22625, 1.93939, 3.13333, 5.12319, 8.43948", \ + "0.193325, 0.8323, 1.26348, 1.97676, 3.17106, 5.16074, 8.47706", \ + "0.2218, 0.862417, 1.29423, 2.0076, 3.20288, 5.19164, 8.508", \ + "0.266482, 0.916526, 1.34821, 2.06332, 3.25611, 5.24909, 8.56263", \ + "0.327797, 1.01432, 1.44672, 2.16061, 3.35507, 5.34549, 8.66529", \ + "0.399868, 1.18182, 1.62744, 2.34559, 3.5402, 5.53038, 8.84957", \ + "0.472701, 1.44834, 1.94165, 2.69786, 3.90997, 5.90459, 9.22144" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108603, 0.971033, 1.55709, 2.52592, 4.15011, 6.85051, 11.3547", \ + "0.109009, 0.971924, 1.5571, 2.52593, 4.15012, 6.85052, 11.3548", \ + "0.113033, 0.971925, 1.55765, 2.52875, 4.15014, 6.85435, 11.3549", \ + "0.124894, 0.972877, 1.55983, 2.52876, 4.15015, 6.85455, 11.355", \ + "0.151234, 0.983693, 1.56063, 2.53009, 4.15245, 6.85456, 11.3613", \ + "0.209968, 1.04162, 1.59761, 2.54196, 4.15402, 6.85457, 11.3614", \ + "0.336804, 1.19436, 1.73812, 2.64179, 4.20485, 6.86718, 11.3624" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0823215, 0.408262, 0.621914, 0.97331, 1.56053, 2.53771, 4.16544", \ + "0.120802, 0.448224, 0.661806, 1.0133, 1.60043, 2.57768, 4.20577", \ + "0.152037, 0.487324, 0.700896, 1.05252, 1.64015, 2.61692, 4.24479", \ + "0.201985, 0.564355, 0.778818, 1.13034, 1.71765, 2.69544, 4.32346", \ + "0.274733, 0.702479, 0.929423, 1.28621, 1.87412, 2.85181, 4.48001", \ + "0.373778, 0.9265, 1.18635, 1.57382, 2.17991, 3.16078, 4.78822", \ + "0.50447, 1.26999, 1.59965, 2.05993, 2.73665, 3.76696, 5.41405" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.068373, 0.483902, 0.765511, 1.23005, 2.0074, 3.30041, 5.45568", \ + "0.0729416, 0.483903, 0.765512, 1.23006, 2.00741, 3.30042, 5.46016", \ + "0.0824839, 0.4857, 0.765513, 1.23007, 2.00757, 3.30043, 5.46017", \ + "0.103835, 0.497119, 0.770085, 1.23008, 2.00758, 3.30227, 5.46049", \ + "0.147211, 0.54302, 0.803655, 1.24607, 2.00953, 3.30228, 5.4605", \ + "0.222099, 0.648372, 0.904847, 1.32778, 2.05661, 3.31759, 5.46642", \ + "0.341633, 0.861246, 1.11808, 1.54364, 2.24333, 3.44378, 5.51854" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.139184, 0.664667, 1.0209, 1.60905, 2.59421, 4.23734, 6.97244", \ + "0.176254, 0.703504, 1.05996, 1.64868, 2.63344, 4.27526, 7.01178", \ + "0.20694, 0.737116, 1.09339, 1.6825, 2.66719, 4.3091, 7.04479", \ + "0.25488, 0.797187, 1.15375, 1.74574, 2.72787, 4.37027, 7.10639", \ + "0.320345, 0.907617, 1.26602, 1.85463, 2.84072, 4.48389, 7.21926", \ + "0.395287, 1.0916, 1.46986, 2.06716, 3.05352, 4.69606, 7.43925", \ + "0.469152, 1.37825, 1.8138, 2.46096, 3.47682, 5.12841, 7.86479" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.089965, 0.807057, 1.29374, 2.09865, 3.44633, 5.69172, 9.43454", \ + "0.090813, 0.807058, 1.29375, 2.09871, 3.44683, 5.69173, 9.43455", \ + "0.0959296, 0.807118, 1.29376, 2.09935, 3.44684, 5.69247, 9.43456", \ + "0.109903, 0.808169, 1.2955, 2.10053, 3.44685, 5.69248, 9.43457", \ + "0.140068, 0.825306, 1.3002, 2.10054, 3.44686, 5.69249, 9.43462", \ + "0.204847, 0.897388, 1.35123, 2.12405, 3.45241, 5.70092, 9.44021", \ + "0.338353, 1.07037, 1.51941, 2.25802, 3.52925, 5.72019, 9.44078" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0856164, 0.412766, 0.626634, 0.978239, 1.56558, 2.54288, 4.17145", \ + "0.12428, 0.452778, 0.666588, 1.01832, 1.60553, 2.58289, 4.21115", \ + "0.156071, 0.491868, 0.705778, 1.05746, 1.64482, 2.62279, 4.25018", \ + "0.207038, 0.568936, 0.783654, 1.13537, 1.7233, 2.70075, 4.32908", \ + "0.282141, 0.707535, 0.934318, 1.29126, 1.87933, 2.85702, 4.48551", \ + "0.385386, 0.932502, 1.19213, 1.57917, 2.1851, 3.16581, 4.79372", \ + "0.523267, 1.27862, 1.60749, 2.06525, 2.74307, 3.77241, 5.41963" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0717625, 0.487425, 0.769286, 1.23386, 2.01059, 3.3047, 5.46436", \ + "0.0757001, 0.487552, 0.769287, 1.23387, 2.0106, 3.30471, 5.46437", \ + "0.0850865, 0.489256, 0.769288, 1.23388, 2.0114, 3.30602, 5.46438", \ + "0.106095, 0.500432, 0.773662, 1.23435, 2.01155, 3.30645, 5.46439", \ + "0.148539, 0.545895, 0.806525, 1.25015, 2.01359, 3.30646, 5.4644", \ + "0.224559, 0.650837, 0.907039, 1.33044, 2.06024, 3.3215, 5.46441", \ + "0.343759, 0.863224, 1.12054, 1.54612, 2.24795, 3.44425, 5.5223" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.179288, 0.816532, 1.24734, 1.95953, 3.15145, 5.13825, 8.44906", \ + "0.214569, 0.853497, 1.28452, 1.99682, 3.18933, 5.17578, 8.48666", \ + "0.243648, 0.88417, 1.31515, 2.02768, 3.22112, 5.20812, 8.51764", \ + "0.290159, 0.938053, 1.36948, 2.08335, 3.27529, 5.26422, 8.57491", \ + "0.355968, 1.03626, 1.46825, 2.18076, 3.37363, 5.36072, 8.67255", \ + "0.437046, 1.20519, 1.64969, 2.36617, 3.55888, 5.546, 8.85957", \ + "0.527847, 1.47541, 1.96655, 2.71998, 3.93017, 5.9202, 9.23496" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.124897, 0.98872, 1.57589, 2.54113, 4.16048, 6.85878, 11.3552", \ + "0.125309, 0.989575, 1.5759, 2.54124, 4.16055, 6.85879, 11.3553", \ + "0.128647, 0.989576, 1.57591, 2.54231, 4.16309, 6.86263, 11.3554", \ + "0.139935, 0.99126, 1.57592, 2.54394, 4.1631, 6.86298, 11.3616", \ + "0.166602, 0.999836, 1.5792, 2.54395, 4.16311, 6.86299, 11.3617", \ + "0.223324, 1.05724, 1.61329, 2.55596, 4.16414, 6.863, 11.3618", \ + "0.348509, 1.20698, 1.75238, 2.65671, 4.21679, 6.87684, 11.3619" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0856164, 0.412766, 0.626634, 0.978239, 1.56558, 2.54288, 4.17145", \ + "0.12428, 0.452778, 0.666588, 1.01832, 1.60553, 2.58289, 4.21115", \ + "0.156071, 0.491868, 0.705778, 1.05746, 1.64482, 2.62279, 4.25018", \ + "0.207038, 0.568936, 0.783654, 1.13537, 1.7233, 2.70075, 4.32908", \ + "0.282141, 0.707535, 0.934318, 1.29126, 1.87933, 2.85702, 4.48551", \ + "0.385386, 0.932502, 1.19213, 1.57917, 2.1851, 3.16581, 4.79372", \ + "0.523267, 1.27862, 1.60749, 2.06525, 2.74307, 3.77241, 5.41963" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0717625, 0.487425, 0.769286, 1.23386, 2.01059, 3.3047, 5.46436", \ + "0.0757001, 0.487552, 0.769287, 1.23387, 2.0106, 3.30471, 5.46437", \ + "0.0850865, 0.489256, 0.769288, 1.23388, 2.0114, 3.30602, 5.46438", \ + "0.106095, 0.500432, 0.773662, 1.23435, 2.01155, 3.30645, 5.46439", \ + "0.148539, 0.545895, 0.806525, 1.25015, 2.01359, 3.30646, 5.4644", \ + "0.224559, 0.650837, 0.907039, 1.33044, 2.06024, 3.3215, 5.46441", \ + "0.343759, 0.863224, 1.12054, 1.54612, 2.24795, 3.44425, 5.5223" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.145893, 0.783802, 1.2152, 1.92833, 3.1223, 5.11207, 8.42849", \ + "0.175428, 0.817172, 1.24916, 1.96315, 3.15705, 5.14676, 8.46322", \ + "0.20242, 0.847895, 1.28093, 1.99365, 3.18872, 5.17855, 8.49484", \ + "0.245887, 0.907559, 1.34053, 2.05504, 3.24918, 5.24222, 8.5562", \ + "0.305982, 1.02403, 1.45776, 2.17295, 3.36749, 5.35847, 8.67995", \ + "0.377096, 1.23025, 1.68207, 2.40309, 3.59821, 5.58954, 8.90908", \ + "0.465444, 1.56132, 2.07554, 2.84645, 4.0642, 6.06012, 9.37756" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108477, 0.971077, 1.55709, 2.52749, 4.14777, 6.85434, 11.3592", \ + "0.109917, 0.971078, 1.5571, 2.5275, 4.15033, 6.85435, 11.3593", \ + "0.117514, 0.971079, 1.55838, 2.52751, 4.15034, 6.85436, 11.3594", \ + "0.137322, 0.972439, 1.55839, 2.52752, 4.15049, 6.8546, 11.3595", \ + "0.178378, 0.989416, 1.56213, 2.52753, 4.1505, 6.85461, 11.3608", \ + "0.256161, 1.06875, 1.61198, 2.54737, 4.15215, 6.85462, 11.3609", \ + "0.392885, 1.27148, 1.795, 2.675, 4.21916, 6.86858, 11.361" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0694161, 0.385695, 0.598497, 0.949044, 1.53616, 2.5139, 4.14189", \ + "0.106029, 0.428307, 0.640863, 0.991849, 1.5789, 2.55661, 4.18475", \ + "0.133793, 0.471072, 0.683461, 1.0349, 1.62155, 2.59918, 4.22727", \ + "0.17525, 0.555717, 0.769061, 1.11939, 1.70627, 2.68365, 4.31181", \ + "0.231214, 0.704551, 0.935282, 1.29202, 1.87866, 2.85483, 4.48345", \ + "0.304997, 0.932999, 1.20984, 1.60826, 2.21798, 3.1952, 4.81971", \ + "0.40038, 1.26539, 1.62902, 2.12237, 2.82699, 3.87097, 5.51559" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0697123, 0.481077, 0.761742, 1.22473, 2.00069, 3.29395, 5.44853", \ + "0.0808819, 0.481078, 0.761743, 1.22476, 2.0007, 3.29427, 5.44855", \ + "0.0983508, 0.483616, 0.761956, 1.22528, 2.00071, 3.29428, 5.44856", \ + "0.131778, 0.502668, 0.768988, 1.22529, 2.00098, 3.29429, 5.44857", \ + "0.190149, 0.572325, 0.820613, 1.25194, 2.00575, 3.2943, 5.44858", \ + "0.279809, 0.719436, 0.96727, 1.37109, 2.07708, 3.31504, 5.4557", \ + "0.418518, 0.988517, 1.25443, 1.66989, 2.34439, 3.50178, 5.53442" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.12462, 0.761704, 1.19351, 1.90695, 3.10189, 5.0934, 8.41259", \ + "0.153748, 0.795003, 1.22738, 1.94119, 3.13634, 5.12821, 8.44772", \ + "0.178872, 0.825812, 1.25825, 1.97301, 3.16915, 5.15984, 8.47938", \ + "0.217974, 0.885227, 1.3185, 2.03351, 3.22949, 5.22264, 8.54053", \ + "0.270158, 1.00149, 1.43563, 2.15085, 3.34693, 5.33982, 8.66301", \ + "0.325745, 1.20555, 1.65947, 2.38089, 3.57785, 5.57126, 8.89357", \ + "0.394258, 1.53183, 2.05012, 2.82245, 4.04316, 6.04175, 9.36488" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0916982, 0.953395, 1.53997, 2.50835, 4.13126, 6.83581, 11.3468", \ + "0.0942887, 0.953591, 1.53998, 2.51005, 4.13127, 6.83602, 11.3469", \ + "0.103116, 0.953592, 1.53999, 2.51006, 4.13338, 6.84028, 11.347", \ + "0.12346, 0.954508, 1.54, 2.51007, 4.13343, 6.84029, 11.3514", \ + "0.165523, 0.972799, 1.54518, 2.51055, 4.13344, 6.8403, 11.3515", \ + "0.245196, 1.05284, 1.59555, 2.52988, 4.13631, 6.84031, 11.3516", \ + "0.381702, 1.25857, 1.78153, 2.65964, 4.20139, 6.85601, 11.3529" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.068838, 0.384509, 0.596704, 0.946745, 1.53278, 2.50931, 4.13644", \ + "0.105391, 0.427034, 0.639051, 0.989481, 1.57553, 2.55197, 4.17939", \ + "0.132962, 0.469789, 0.681725, 1.03194, 1.61811, 2.59502, 4.22181", \ + "0.173903, 0.554403, 0.767333, 1.117, 1.70295, 2.67924, 4.30701", \ + "0.228684, 0.702835, 0.933445, 1.28972, 1.87524, 2.85076, 4.47808", \ + "0.300688, 0.930519, 1.20755, 1.60539, 2.21445, 3.19053, 4.81436", \ + "0.392409, 1.26161, 1.62501, 2.11829, 2.82273, 3.86618, 5.50984" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0541614, 0.467187, 0.748229, 1.21139, 1.98795, 3.28201, 5.43741", \ + "0.0645038, 0.467257, 0.74823, 1.2114, 1.98796, 3.28202, 5.43742", \ + "0.0801529, 0.469359, 0.749955, 1.21141, 1.98891, 3.28332, 5.43743", \ + "0.10899, 0.488841, 0.755421, 1.21165, 1.98892, 3.28333, 5.44009", \ + "0.15956, 0.558242, 0.807017, 1.23804, 1.99275, 3.28334, 5.4401", \ + "0.236023, 0.702829, 0.951977, 1.35791, 2.0635, 3.30219, 5.44574", \ + "0.354694, 0.970944, 1.23702, 1.65619, 2.33106, 3.48717, 5.52786" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.103095, 0.630045, 0.986856, 1.5772, 2.56561, 4.21302, 6.9587", \ + "0.134685, 0.665736, 1.0228, 1.61442, 2.60208, 4.24965, 6.99539", \ + "0.160109, 0.697553, 1.0554, 1.6469, 2.63489, 4.28269, 7.02837", \ + "0.19828, 0.759628, 1.11756, 1.70888, 2.69855, 4.34565, 7.0916", \ + "0.248458, 0.878597, 1.2396, 1.83107, 2.82045, 4.46834, 7.21541", \ + "0.30069, 1.07974, 1.46584, 2.069, 3.05974, 4.70978, 7.45798", \ + "0.361842, 1.3932, 1.84671, 2.5097, 3.53755, 5.1953, 7.94189" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0738591, 0.790712, 1.27879, 2.08581, 3.43707, 5.68915, 9.44262", \ + "0.0772715, 0.790798, 1.2788, 2.08582, 3.43708, 5.68916, 9.44263", \ + "0.0868314, 0.790799, 1.27881, 2.08773, 3.43729, 5.68917, 9.44264", \ + "0.10739, 0.793258, 1.28051, 2.08774, 3.4373, 5.68918, 9.44265", \ + "0.148728, 0.817004, 1.28883, 2.08775, 3.43731, 5.68918, 9.44266", \ + "0.226533, 0.907235, 1.35118, 2.11996, 3.44396, 5.69239, 9.44267", \ + "0.359928, 1.11779, 1.55092, 2.27361, 3.53538, 5.72299, 9.44948" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0684974, 0.384163, 0.596365, 0.946394, 1.53245, 2.50887, 4.13609", \ + "0.104659, 0.426184, 0.63949, 0.988705, 1.57481, 2.55135, 4.1786", \ + "0.132162, 0.468955, 0.680956, 1.03116, 1.61732, 2.59478, 4.22108", \ + "0.173129, 0.553663, 0.766555, 1.11619, 1.70252, 2.67886, 4.30597", \ + "0.22839, 0.702, 0.932679, 1.28888, 1.8743, 2.85008, 4.47711", \ + "0.301664, 0.929781, 1.20693, 1.60474, 2.21354, 3.18982, 4.81334", \ + "0.396726, 1.26164, 1.6244, 2.11801, 2.82221, 3.86558, 5.50937" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0542932, 0.466998, 0.74823, 1.21131, 1.9879, 3.28201, 5.43742", \ + "0.0648319, 0.467192, 0.749111, 1.2114, 1.98791, 3.28202, 5.43743", \ + "0.0804683, 0.469914, 0.749112, 1.21141, 1.98891, 3.28355, 5.43744", \ + "0.109487, 0.488719, 0.754863, 1.21163, 1.98906, 3.2836, 5.44079", \ + "0.159748, 0.558601, 0.807103, 1.23807, 1.99266, 3.28361, 5.4408", \ + "0.235326, 0.704345, 0.952541, 1.35778, 2.06288, 3.30217, 5.44399", \ + "0.351822, 0.970927, 1.23726, 1.65581, 2.33125, 3.48798, 5.52758" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.145893, 0.783802, 1.2152, 1.92833, 3.1223, 5.11207, 8.42849", \ + "0.175428, 0.817172, 1.24916, 1.96315, 3.15705, 5.14676, 8.46322", \ + "0.20242, 0.847895, 1.28093, 1.99365, 3.18872, 5.17855, 8.49484", \ + "0.245887, 0.907559, 1.34053, 2.05504, 3.24918, 5.24222, 8.5562", \ + "0.305982, 1.02403, 1.45776, 2.17295, 3.36749, 5.35847, 8.67995", \ + "0.377096, 1.23025, 1.68207, 2.40309, 3.59821, 5.58954, 8.90908", \ + "0.465444, 1.56132, 2.07554, 2.84645, 4.0642, 6.06012, 9.37756" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108477, 0.971077, 1.55709, 2.52749, 4.14777, 6.85434, 11.3592", \ + "0.109917, 0.971078, 1.5571, 2.5275, 4.15033, 6.85435, 11.3593", \ + "0.117514, 0.971079, 1.55838, 2.52751, 4.15034, 6.85436, 11.3594", \ + "0.137322, 0.972439, 1.55839, 2.52752, 4.15049, 6.8546, 11.3595", \ + "0.178378, 0.989416, 1.56213, 2.52753, 4.1505, 6.85461, 11.3608", \ + "0.256161, 1.06875, 1.61198, 2.54737, 4.15215, 6.85462, 11.3609", \ + "0.392885, 1.27148, 1.795, 2.675, 4.21916, 6.86858, 11.361" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0694161, 0.385695, 0.598497, 0.949044, 1.53616, 2.5139, 4.14189", \ + "0.106029, 0.428307, 0.640863, 0.991849, 1.5789, 2.55661, 4.18475", \ + "0.133793, 0.471072, 0.683461, 1.0349, 1.62155, 2.59918, 4.22727", \ + "0.17525, 0.555717, 0.769061, 1.11939, 1.70627, 2.68365, 4.31181", \ + "0.231214, 0.704551, 0.935282, 1.29202, 1.87866, 2.85483, 4.48345", \ + "0.304997, 0.932999, 1.20984, 1.60826, 2.21798, 3.1952, 4.81971", \ + "0.40038, 1.26539, 1.62902, 2.12237, 2.82699, 3.87097, 5.51559" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0697123, 0.481077, 0.761742, 1.22473, 2.00069, 3.29395, 5.44853", \ + "0.0808819, 0.481078, 0.761743, 1.22476, 2.0007, 3.29427, 5.44855", \ + "0.0983508, 0.483616, 0.761956, 1.22528, 2.00071, 3.29428, 5.44856", \ + "0.131778, 0.502668, 0.768988, 1.22529, 2.00098, 3.29429, 5.44857", \ + "0.190149, 0.572325, 0.820613, 1.25194, 2.00575, 3.2943, 5.44858", \ + "0.279809, 0.719436, 0.96727, 1.37109, 2.07708, 3.31504, 5.4557", \ + "0.418518, 0.988517, 1.25443, 1.66989, 2.34439, 3.50178, 5.53442" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.163071, 0.800341, 1.2312, 1.94339, 3.13526, 5.12357, 8.43281", \ + "0.19506, 0.833943, 1.2649, 1.97757, 3.16979, 5.15623, 8.46716", \ + "0.223871, 0.865206, 1.29642, 2.009, 3.20172, 5.18946, 8.49895", \ + "0.271108, 0.925927, 1.35718, 2.07117, 3.26234, 5.25193, 8.56013", \ + "0.338547, 1.04373, 1.47594, 2.18899, 3.38144, 5.36878, 8.68097", \ + "0.422167, 1.25234, 1.70201, 2.42068, 3.61321, 5.60116, 8.91441", \ + "0.528539, 1.5886, 2.09907, 2.86652, 4.08109, 6.07329, 9.38414" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.125049, 0.98816, 1.57356, 2.54113, 4.1626, 6.86255, 11.3621", \ + "0.126175, 0.989561, 1.57408, 2.54114, 4.1631, 6.86256, 11.3622", \ + "0.132529, 0.989562, 1.57409, 2.54395, 4.16311, 6.86264, 11.3623", \ + "0.151456, 0.991431, 1.57526, 2.54396, 4.16312, 6.86306, 11.3624", \ + "0.191789, 1.00528, 1.57991, 2.54397, 4.16313, 6.86307, 11.3625", \ + "0.26862, 1.08215, 1.62677, 2.56174, 4.16501, 6.86308, 11.3626", \ + "0.403565, 1.28409, 1.8083, 2.68829, 4.23145, 6.87842, 11.3627" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0760199, 0.392138, 0.604744, 0.955593, 1.54249, 2.52031, 4.14832", \ + "0.112622, 0.433243, 0.645848, 0.996798, 1.58385, 2.56153, 4.18979", \ + "0.140889, 0.47236, 0.684999, 1.03605, 1.6233, 2.60097, 4.22914", \ + "0.184998, 0.548981, 0.76289, 1.11423, 1.70135, 2.67912, 4.30729", \ + "0.246383, 0.685087, 0.912874, 1.26978, 1.85773, 2.83552, 4.46461", \ + "0.327627, 0.90455, 1.16698, 1.55628, 2.16379, 3.14495, 4.77254", \ + "0.433906, 1.24081, 1.57528, 2.03836, 2.71871, 3.7504, 5.39814" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0696618, 0.481061, 0.761377, 1.22551, 2.0006, 3.29394, 5.44853", \ + "0.0760303, 0.481062, 0.761648, 1.22552, 2.00061, 3.29409, 5.45069", \ + "0.0873911, 0.482622, 0.761649, 1.22553, 2.00062, 3.29424, 5.4507", \ + "0.112035, 0.495445, 0.766843, 1.22554, 2.00125, 3.29425, 5.45071", \ + "0.160543, 0.543163, 0.801362, 1.24368, 2.00583, 3.29426, 5.45072", \ + "0.243033, 0.650455, 0.904264, 1.32526, 2.05413, 3.30988, 5.45073", \ + "0.373475, 0.865488, 1.12143, 1.54293, 2.24091, 3.43909, 5.50791" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.142115, 0.778162, 1.20927, 1.92203, 3.1151, 5.10506, 8.41982", \ + "0.173693, 0.811975, 1.24341, 1.95664, 3.1494, 5.13769, 8.45176", \ + "0.201178, 0.843233, 1.27481, 1.98739, 3.18215, 5.1695, 8.48361", \ + "0.244977, 0.903585, 1.33514, 2.04903, 3.24164, 5.23247, 8.5447", \ + "0.305255, 1.02133, 1.45386, 2.1674, 3.36091, 5.3502, 8.66555", \ + "0.376024, 1.22845, 1.67965, 2.39876, 3.59265, 5.58245, 8.89891", \ + "0.464953, 1.56162, 2.07439, 2.84419, 4.06035, 6.05466, 9.3693" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108468, 0.970772, 1.5567, 2.52367, 4.14405, 6.84808, 11.3507", \ + "0.110105, 0.970773, 1.55671, 2.52519, 4.1464, 6.84809, 11.3508", \ + "0.11768, 0.970774, 1.55699, 2.5252, 4.14641, 6.84832, 11.351", \ + "0.137213, 0.972213, 1.557, 2.52521, 4.14642, 6.84833, 11.3511", \ + "0.178211, 0.989002, 1.56175, 2.52522, 4.14643, 6.84834, 11.3512", \ + "0.255641, 1.06797, 1.61097, 2.54535, 4.14877, 6.84835, 11.3513", \ + "0.388624, 1.27241, 1.79553, 2.67329, 4.2149, 6.86453, 11.3514" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0754535, 0.390871, 0.603009, 0.953149, 1.53903, 2.51572, 4.14351", \ + "0.112066, 0.431945, 0.644031, 0.994378, 1.58045, 2.55688, 4.18432", \ + "0.140174, 0.471071, 0.683278, 1.03448, 1.62014, 2.59639, 4.22365", \ + "0.183784, 0.54767, 0.761228, 1.1117, 1.69802, 2.67498, 4.30218", \ + "0.244611, 0.683567, 0.911047, 1.26743, 1.85438, 2.83146, 4.4592", \ + "0.324514, 0.902766, 1.16473, 1.55366, 2.16025, 3.14022, 4.7677", \ + "0.427668, 1.2372, 1.57194, 2.03594, 2.71528, 3.74577, 5.39243" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0542275, 0.467399, 0.747482, 1.21145, 1.98899, 3.28201, 5.44057", \ + "0.0600274, 0.4674, 0.747544, 1.21146, 1.989, 3.28202, 5.44058", \ + "0.0703662, 0.469091, 0.748186, 1.21198, 1.98915, 3.28203, 5.44059", \ + "0.0920325, 0.481635, 0.752571, 1.21463, 1.98916, 3.28364, 5.4406", \ + "0.135657, 0.529097, 0.787529, 1.22961, 1.99304, 3.28365, 5.44061", \ + "0.207285, 0.634748, 0.889844, 1.31315, 2.03927, 3.29943, 5.44408", \ + "0.320863, 0.846426, 1.10663, 1.52974, 2.22734, 3.42331, 5.50041" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.117215, 0.643111, 0.999595, 1.58869, 2.57504, 4.21989, 6.95849", \ + "0.15105, 0.679324, 1.03548, 1.62576, 2.61137, 4.25509, 6.99486", \ + "0.178602, 0.711664, 1.06826, 1.65771, 2.64474, 4.28807, 7.02742", \ + "0.221469, 0.774355, 1.13122, 1.72073, 2.70745, 4.35233, 7.09112", \ + "0.279988, 0.894823, 1.2542, 1.84389, 2.8306, 4.47448, 7.21757", \ + "0.346706, 1.09914, 1.48252, 2.08365, 3.07109, 4.71678, 7.46118", \ + "0.427653, 1.41703, 1.8679, 2.52683, 3.55104, 5.20394, 7.9443" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0878551, 0.80432, 1.29216, 2.09706, 3.44557, 5.69245, 9.43773", \ + "0.0899805, 0.804786, 1.29217, 2.09723, 3.44607, 5.69279, 9.43774", \ + "0.0983868, 0.804787, 1.29218, 2.09724, 3.44608, 5.6928, 9.43775", \ + "0.11803, 0.806886, 1.29237, 2.09725, 3.44609, 5.69281, 9.43776", \ + "0.158571, 0.829839, 1.30111, 2.0982, 3.4461, 5.69282, 9.43777", \ + "0.234577, 0.918869, 1.36306, 2.12864, 3.45259, 5.69588, 9.4427", \ + "0.363749, 1.12287, 1.56167, 2.28318, 3.5437, 5.72311, 9.44449" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0750738, 0.390525, 0.602639, 0.952951, 1.5388, 2.51539, 4.14252", \ + "0.11142, 0.43119, 0.64332, 0.993666, 1.57974, 2.55662, 4.18428", \ + "0.139521, 0.470315, 0.682559, 1.03295, 1.61908, 2.59557, 4.22284", \ + "0.183213, 0.546918, 0.760402, 1.11103, 1.69807, 2.67415, 4.30164", \ + "0.244127, 0.682802, 0.910112, 1.26654, 1.85358, 2.83068, 4.45835", \ + "0.32454, 0.902098, 1.16395, 1.55278, 2.15958, 3.13937, 4.76736", \ + "0.430138, 1.23746, 1.57155, 2.03486, 2.71422, 3.74501, 5.39176" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0542215, 0.467399, 0.748178, 1.21217, 1.98788, 3.28201, 5.43742", \ + "0.0601911, 0.467504, 0.748179, 1.21218, 1.98789, 3.28379, 5.44056", \ + "0.0706022, 0.469144, 0.748267, 1.21219, 1.98894, 3.2838, 5.44057", \ + "0.0923356, 0.481763, 0.752566, 1.21282, 1.98895, 3.28381, 5.44058", \ + "0.135959, 0.529307, 0.787558, 1.22963, 1.99316, 3.28382, 5.44059", \ + "0.207798, 0.635616, 0.890172, 1.31338, 2.03942, 3.29939, 5.44417", \ + "0.319386, 0.846654, 1.1059, 1.5304, 2.22767, 3.4264, 5.49952" \ + ); + } + } + timing () { + related_pin : "B2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.163071, 0.800341, 1.2312, 1.94339, 3.13526, 5.12357, 8.43281", \ + "0.19506, 0.833943, 1.2649, 1.97757, 3.16979, 5.15623, 8.46716", \ + "0.223871, 0.865206, 1.29642, 2.009, 3.20172, 5.18946, 8.49895", \ + "0.271108, 0.925927, 1.35718, 2.07117, 3.26234, 5.25193, 8.56013", \ + "0.338547, 1.04373, 1.47594, 2.18899, 3.38144, 5.36878, 8.68097", \ + "0.422167, 1.25234, 1.70201, 2.42068, 3.61321, 5.60116, 8.91441", \ + "0.528539, 1.5886, 2.09907, 2.86652, 4.08109, 6.07329, 9.38414" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.125049, 0.98816, 1.57356, 2.54113, 4.1626, 6.86255, 11.3621", \ + "0.126175, 0.989561, 1.57408, 2.54114, 4.1631, 6.86256, 11.3622", \ + "0.132529, 0.989562, 1.57409, 2.54395, 4.16311, 6.86264, 11.3623", \ + "0.151456, 0.991431, 1.57526, 2.54396, 4.16312, 6.86306, 11.3624", \ + "0.191789, 1.00528, 1.57991, 2.54397, 4.16313, 6.86307, 11.3625", \ + "0.26862, 1.08215, 1.62677, 2.56174, 4.16501, 6.86308, 11.3626", \ + "0.403565, 1.28409, 1.8083, 2.68829, 4.23145, 6.87842, 11.3627" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0760199, 0.392138, 0.604744, 0.955593, 1.54249, 2.52031, 4.14832", \ + "0.112622, 0.433243, 0.645848, 0.996798, 1.58385, 2.56153, 4.18979", \ + "0.140889, 0.47236, 0.684999, 1.03605, 1.6233, 2.60097, 4.22914", \ + "0.184998, 0.548981, 0.76289, 1.11423, 1.70135, 2.67912, 4.30729", \ + "0.246383, 0.685087, 0.912874, 1.26978, 1.85773, 2.83552, 4.46461", \ + "0.327627, 0.90455, 1.16698, 1.55628, 2.16379, 3.14495, 4.77254", \ + "0.433906, 1.24081, 1.57528, 2.03836, 2.71871, 3.7504, 5.39814" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0696618, 0.481061, 0.761377, 1.22551, 2.0006, 3.29394, 5.44853", \ + "0.0760303, 0.481062, 0.761648, 1.22552, 2.00061, 3.29409, 5.45069", \ + "0.0873911, 0.482622, 0.761649, 1.22553, 2.00062, 3.29424, 5.4507", \ + "0.112035, 0.495445, 0.766843, 1.22554, 2.00125, 3.29425, 5.45071", \ + "0.160543, 0.543163, 0.801362, 1.24368, 2.00583, 3.29426, 5.45072", \ + "0.243033, 0.650455, 0.904264, 1.32526, 2.05413, 3.30988, 5.45073", \ + "0.373475, 0.865488, 1.12143, 1.54293, 2.24091, 3.43909, 5.50791" \ + ); + } + } + timing () { + related_pin : "C1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.107498, 0.747359, 1.17852, 1.89109, 3.08418, 5.07259, 8.38898", \ + "0.134972, 0.777466, 1.20915, 1.92313, 3.11608, 5.10465, 8.41747", \ + "0.163031, 0.810027, 1.24222, 1.95556, 3.15015, 5.13839, 8.45461", \ + "0.206723, 0.875699, 1.3079, 2.02189, 3.2156, 5.20696, 8.5186", \ + "0.266681, 1.00963, 1.4407, 2.15322, 3.34664, 5.33592, 8.65154", \ + "0.341691, 1.24563, 1.70033, 2.41659, 3.60592, 5.59256, 8.90839", \ + "0.442849, 1.61066, 2.14721, 2.92903, 4.1446, 6.13129, 9.44092" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108177, 0.970211, 1.55572, 2.52367, 4.14405, 6.84434, 11.3507", \ + "0.111301, 0.970837, 1.55573, 2.52511, 4.1464, 6.84435, 11.353", \ + "0.124444, 0.970838, 1.55677, 2.52512, 4.14641, 6.84613, 11.3531", \ + "0.152144, 0.971795, 1.55678, 2.52513, 4.14652, 6.84834, 11.3532", \ + "0.200674, 0.998603, 1.56328, 2.52514, 4.14653, 6.84835, 11.3533", \ + "0.276043, 1.11337, 1.63871, 2.55381, 4.14835, 6.84836, 11.3534", \ + "0.397264, 1.37341, 1.88878, 2.74165, 4.24926, 6.87418, 11.3535" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0353316, 0.206354, 0.321752, 0.512852, 0.832155, 1.36456, 2.25158", \ + "0.0687967, 0.257146, 0.372523, 0.563473, 0.883008, 1.41542, 2.30246", \ + "0.0898294, 0.306675, 0.423122, 0.613799, 0.933439, 1.4657, 2.35267", \ + "0.118759, 0.395896, 0.521123, 0.714308, 1.0332, 1.56532, 2.45356", \ + "0.154888, 0.535971, 0.689099, 0.905962, 1.23564, 1.76773, 2.65339", \ + "0.200642, 0.74327, 0.946951, 1.21756, 1.59853, 2.16309, 3.05281", \ + "0.254342, 1.04355, 1.32697, 1.6978, 2.18652, 2.86045, 3.8342" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.026727, 0.25674, 0.411668, 0.667908, 1.09752, 1.81204, 3.00458", \ + "0.0469712, 0.258387, 0.411701, 0.667917, 1.09753, 1.8131, 3.00459", \ + "0.0666219, 0.268791, 0.416165, 0.668465, 1.09754, 1.81311, 3.006", \ + "0.100624, 0.305672, 0.441443, 0.679313, 1.098, 1.81312, 3.00601", \ + "0.155517, 0.388793, 0.52216, 0.742752, 1.13227, 1.82069, 3.00633", \ + "0.240217, 0.537974, 0.682256, 0.906307, 1.27242, 1.90684, 3.03569", \ + "0.375248, 0.79772, 0.971136, 1.21751, 1.60208, 2.21098, 3.25734" \ + ); + } + } + timing () { + related_pin : "C1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.107498, 0.747359, 1.17852, 1.89109, 3.08418, 5.07259, 8.38898", \ + "0.134972, 0.777466, 1.20915, 1.92313, 3.11608, 5.10465, 8.41747", \ + "0.163031, 0.810027, 1.24222, 1.95556, 3.15015, 5.13839, 8.45461", \ + "0.206723, 0.875699, 1.3079, 2.02189, 3.2156, 5.20696, 8.5186", \ + "0.266681, 1.00963, 1.4407, 2.15322, 3.34664, 5.33592, 8.65154", \ + "0.341691, 1.24563, 1.70033, 2.41659, 3.60592, 5.59256, 8.90839", \ + "0.442849, 1.61066, 2.14721, 2.92903, 4.1446, 6.13129, 9.44092" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108177, 0.970211, 1.55572, 2.52367, 4.14405, 6.84434, 11.3507", \ + "0.111301, 0.970837, 1.55573, 2.52511, 4.1464, 6.84435, 11.353", \ + "0.124444, 0.970838, 1.55677, 2.52512, 4.14641, 6.84613, 11.3531", \ + "0.152144, 0.971795, 1.55678, 2.52513, 4.14652, 6.84834, 11.3532", \ + "0.200674, 0.998603, 1.56328, 2.52514, 4.14653, 6.84835, 11.3533", \ + "0.276043, 1.11337, 1.63871, 2.55381, 4.14835, 6.84836, 11.3534", \ + "0.397264, 1.37341, 1.88878, 2.74165, 4.24926, 6.87418, 11.3535" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0353316, 0.206354, 0.321752, 0.512852, 0.832155, 1.36456, 2.25158", \ + "0.0687967, 0.257146, 0.372523, 0.563473, 0.883008, 1.41542, 2.30246", \ + "0.0898294, 0.306675, 0.423122, 0.613799, 0.933439, 1.4657, 2.35267", \ + "0.118759, 0.395896, 0.521123, 0.714308, 1.0332, 1.56532, 2.45356", \ + "0.154888, 0.535971, 0.689099, 0.905962, 1.23564, 1.76773, 2.65339", \ + "0.200642, 0.74327, 0.946951, 1.21756, 1.59853, 2.16309, 3.05281", \ + "0.254342, 1.04355, 1.32697, 1.6978, 2.18652, 2.86045, 3.8342" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.026727, 0.25674, 0.411668, 0.667908, 1.09752, 1.81204, 3.00458", \ + "0.0469712, 0.258387, 0.411701, 0.667917, 1.09753, 1.8131, 3.00459", \ + "0.0666219, 0.268791, 0.416165, 0.668465, 1.09754, 1.81311, 3.006", \ + "0.100624, 0.305672, 0.441443, 0.679313, 1.098, 1.81312, 3.00601", \ + "0.155517, 0.388793, 0.52216, 0.742752, 1.13227, 1.82069, 3.00633", \ + "0.240217, 0.537974, 0.682256, 0.906307, 1.27242, 1.90684, 3.03569", \ + "0.375248, 0.79772, 0.971136, 1.21751, 1.60208, 2.21098, 3.25734" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00643227, 0.00641415, 0.00635166, 0.0062311, 0.00621503, 0.00615203, 0.00604841", \ + "0.00622329, 0.00638891, 0.00632857, 0.00623495, 0.00602766, 0.00618555, 0.00608188", \ + "0.00613844, 0.00634528, 0.00630278, 0.00623163, 0.00607331, 0.00614424, 0.00607605", \ + "0.0060794, 0.00625601, 0.00629019, 0.00621141, 0.00599725, 0.00614271, 0.00606237", \ + "0.0060434, 0.00618533, 0.00629911, 0.00617212, 0.00597582, 0.00606886, 0.00613698", \ + "0.00612252, 0.00610923, 0.00619805, 0.006087, 0.00605969, 0.0060661, 0.00599807", \ + "0.00676259, 0.00634985, 0.00622901, 0.00620976, 0.00619832, 0.00684511, 0.00605364" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00559902, 0.00551224, 0.00546303, 0.00533653, 0.00515208, 0.00483689, 0.00438565", \ + "0.0054066, 0.00538129, 0.00533534, 0.00525906, 0.00503639, 0.00473692, 0.00431062", \ + "0.00532531, 0.00534934, 0.00530388, 0.00520014, 0.00501766, 0.00472926, 0.00428212", \ + "0.00531071, 0.00535761, 0.00531324, 0.00517494, 0.00499227, 0.00471246, 0.00426485", \ + "0.00545501, 0.00532722, 0.00529637, 0.00524985, 0.00552166, 0.004659, 0.00430865", \ + "0.00598877, 0.0055917, 0.0054438, 0.00522459, 0.00543715, 0.0049333, 0.0044594", \ + "0.00740927, 0.00624612, 0.00602331, 0.00578401, 0.00526157, 0.00534228, 0.00445697" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(!B1 * B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00616633, 0.00619515, 0.00613557, 0.00598809, 0.00601379, 0.0059345, 0.00586254", \ + "0.00595419, 0.00614834, 0.00610606, 0.00601828, 0.00582768, 0.00595342, 0.00587605", \ + "0.00586977, 0.00610353, 0.00607633, 0.00597981, 0.00581531, 0.00588686, 0.00558075", \ + "0.00582643, 0.00602585, 0.00602041, 0.00595195, 0.00581425, 0.00591639, 0.00587949", \ + "0.00579563, 0.00596778, 0.00602889, 0.0059181, 0.0057619, 0.00583008, 0.00584652", \ + "0.00590206, 0.0058905, 0.00600906, 0.00592008, 0.00570565, 0.0058967, 0.00576924", \ + "0.00661998, 0.00611355, 0.00601365, 0.0059484, 0.00593281, 0.00594236, 0.00590501" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00438017, 0.00429843, 0.00425809, 0.0041586, 0.00398253, 0.00365037, 0.00320281", \ + "0.00417628, 0.00416996, 0.00411677, 0.00404095, 0.00386087, 0.0035258, 0.00305175", \ + "0.00410766, 0.00416872, 0.00410127, 0.00407699, 0.00382953, 0.00349942, 0.00311659", \ + "0.00410057, 0.00419125, 0.00414184, 0.00396001, 0.00382055, 0.00353031, 0.00316918", \ + "0.00424765, 0.00410497, 0.00406908, 0.00402888, 0.00379365, 0.00350255, 0.00314927", \ + "0.00476543, 0.00438635, 0.00421567, 0.00400497, 0.00423872, 0.00373004, 0.00333666", \ + "0.00619561, 0.005059, 0.00480858, 0.00458187, 0.00404921, 0.00411161, 0.00336993" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(!B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00752442, 0.00755495, 0.00748023, 0.00745956, 0.00738095, 0.00734861, 0.00734158", \ + "0.00730822, 0.00751068, 0.00746944, 0.00738154, 0.00741438, 0.00727094, 0.00712039", \ + "0.00722267, 0.0074618, 0.00743, 0.0073747, 0.00737789, 0.00738736, 0.00711482", \ + "0.00718231, 0.00742891, 0.00749328, 0.00731385, 0.00734331, 0.00724493, 0.00712206", \ + "0.00714728, 0.00741287, 0.00737525, 0.007257, 0.00732242, 0.00722295, 0.00721581", \ + "0.00725997, 0.00723879, 0.00732471, 0.00722842, 0.00740376, 0.00711332, 0.0074636", \ + "0.00798669, 0.00748869, 0.00743176, 0.007249, 0.00767596, 0.00729828, 0.00706822" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00366835, 0.00358704, 0.00354312, 0.00341539, 0.00326888, 0.00295308, 0.00248802", \ + "0.00347223, 0.00346391, 0.00340709, 0.00333413, 0.00314364, 0.00282196, 0.00240903", \ + "0.00339353, 0.00346555, 0.00339743, 0.00326848, 0.00313793, 0.00279755, 0.00233749", \ + "0.00336129, 0.00347859, 0.00343334, 0.00325638, 0.00311147, 0.00283109, 0.00230853", \ + "0.00350465, 0.00340676, 0.00336695, 0.00334847, 0.00338238, 0.00276371, 0.00237249", \ + "0.00403824, 0.0036554, 0.00350398, 0.00328856, 0.00356956, 0.00289033, 0.00268689", \ + "0.00546907, 0.00436111, 0.00410127, 0.00387487, 0.00332215, 0.00340368, 0.00260294" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00643227, 0.00641415, 0.00635166, 0.0062311, 0.00621503, 0.00615203, 0.00604841", \ + "0.00622329, 0.00638891, 0.00632857, 0.00623495, 0.00602766, 0.00618555, 0.00608188", \ + "0.00613844, 0.00634528, 0.00630278, 0.00623163, 0.00607331, 0.00614424, 0.00607605", \ + "0.0060794, 0.00625601, 0.00629019, 0.00621141, 0.00599725, 0.00614271, 0.00606237", \ + "0.0060434, 0.00618533, 0.00629911, 0.00617212, 0.00597582, 0.00606886, 0.00613698", \ + "0.00612252, 0.00610923, 0.00619805, 0.006087, 0.00605969, 0.0060661, 0.00599807", \ + "0.00676259, 0.00634985, 0.00622901, 0.00620976, 0.00619832, 0.00684511, 0.00605364" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00438017, 0.00429843, 0.00425809, 0.0041586, 0.00398253, 0.00365037, 0.00320281", \ + "0.00417628, 0.00416996, 0.00411677, 0.00404095, 0.00386087, 0.0035258, 0.00305175", \ + "0.00410766, 0.00416872, 0.00410127, 0.00407699, 0.00382953, 0.00349942, 0.00311659", \ + "0.00410057, 0.00419125, 0.00414184, 0.00396001, 0.00382055, 0.00353031, 0.00316918", \ + "0.00424765, 0.00410497, 0.00406908, 0.00402888, 0.00379365, 0.00350255, 0.00314927", \ + "0.00476543, 0.00438635, 0.00421567, 0.00400497, 0.00423872, 0.00373004, 0.00333666", \ + "0.00619561, 0.005059, 0.00480858, 0.00458187, 0.00404921, 0.00411161, 0.00336993" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00655954, 0.00648843, 0.00643502, 0.00629115, 0.00631977, 0.0061306, 0.00602836", \ + "0.00645536, 0.0064602, 0.00639416, 0.00627475, 0.00631415, 0.00612672, 0.00601753", \ + "0.00639722, 0.00643111, 0.00637932, 0.00627263, 0.0063156, 0.0061695, 0.00600905", \ + "0.00635464, 0.00642339, 0.00638354, 0.00627848, 0.00629244, 0.00620861, 0.00587769", \ + "0.00633633, 0.006358, 0.00650009, 0.00626561, 0.00608319, 0.00611149, 0.0061054", \ + "0.00639578, 0.00634927, 0.00646454, 0.00621956, 0.0060492, 0.0061105, 0.0061605", \ + "0.00693765, 0.00659237, 0.00645001, 0.00639609, 0.00643439, 0.00732151, 0.00614104" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00676422, 0.00668161, 0.0066299, 0.00652489, 0.00634089, 0.00600693, 0.0055902", \ + "0.00663128, 0.00656748, 0.00651725, 0.00641384, 0.00621086, 0.00590542, 0.00543624", \ + "0.00658405, 0.00654921, 0.00647915, 0.00637522, 0.00621268, 0.00588235, 0.00547451", \ + "0.00652147, 0.00661021, 0.00650605, 0.00636628, 0.00618456, 0.00587539, 0.00547653", \ + "0.00654811, 0.00650371, 0.00649411, 0.00644486, 0.00686113, 0.00588463, 0.00541051", \ + "0.00683374, 0.00666992, 0.00655989, 0.00639333, 0.00655548, 0.00606088, 0.00567446", \ + "0.00783619, 0.00708423, 0.00696075, 0.00683959, 0.00639199, 0.0063567, 0.00561188" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(!B1 * B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00632293, 0.00624985, 0.00618144, 0.00606447, 0.00610587, 0.00609728, 0.00590123", \ + "0.00621166, 0.00622573, 0.00616157, 0.00604501, 0.00608689, 0.00590121, 0.00589057", \ + "0.00614541, 0.00619303, 0.00614726, 0.00606113, 0.00588145, 0.00594189, 0.00588111", \ + "0.00611382, 0.0061673, 0.0061557, 0.00605575, 0.0060558, 0.00595658, 0.00586683", \ + "0.00608751, 0.00612446, 0.00618265, 0.00605898, 0.00585822, 0.00588284, 0.00598039", \ + "0.00616607, 0.00612205, 0.0061953, 0.00599788, 0.0060652, 0.00590058, 0.00584674", \ + "0.00676052, 0.00635465, 0.00626406, 0.00616203, 0.00608967, 0.0063932, 0.00595997" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00554472, 0.00547105, 0.00542552, 0.00533124, 0.0051465, 0.0048172, 0.00437641", \ + "0.00540838, 0.00535177, 0.00529339, 0.00521625, 0.00501239, 0.00468957, 0.00433781", \ + "0.00536525, 0.00537521, 0.00529592, 0.00518287, 0.00505354, 0.00468916, 0.00425687", \ + "0.0053114, 0.00539452, 0.00526793, 0.0051509, 0.00498478, 0.0046852, 0.00434633", \ + "0.00533032, 0.00528699, 0.00529391, 0.00528492, 0.00539964, 0.00468177, 0.00435763", \ + "0.00562146, 0.00546452, 0.00537822, 0.00521537, 0.00542615, 0.0049593, 0.00466165", \ + "0.00662161, 0.00591789, 0.00571905, 0.00565598, 0.00518295, 0.0053034, 0.00462794" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(!B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00767649, 0.00760884, 0.00753972, 0.00741636, 0.0074563, 0.00731325, 0.00731272", \ + "0.00756312, 0.00757263, 0.00752372, 0.00743541, 0.00745637, 0.00726097, 0.00729605", \ + "0.00749918, 0.00755927, 0.00750099, 0.0074117, 0.00741867, 0.00730132, 0.0072715", \ + "0.00746479, 0.00758252, 0.00751208, 0.00744589, 0.00738547, 0.00725815, 0.00712357", \ + "0.00745295, 0.00757353, 0.00741728, 0.00737519, 0.00739698, 0.00728064, 0.00713071", \ + "0.00751747, 0.00745097, 0.00750792, 0.00734546, 0.00747599, 0.00751193, 0.00740518", \ + "0.00812951, 0.00772989, 0.00762445, 0.00749144, 0.00762078, 0.00730757, 0.00718656" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00483832, 0.00475147, 0.00471131, 0.00461628, 0.00442727, 0.00411679, 0.00378729", \ + "0.00469722, 0.0046473, 0.00458887, 0.00450964, 0.00431351, 0.00398432, 0.00355955", \ + "0.00463213, 0.00464382, 0.00456402, 0.00447389, 0.00432501, 0.00405913, 0.00356454", \ + "0.00457338, 0.00465815, 0.00463298, 0.00446467, 0.00433612, 0.00402325, 0.00368093", \ + "0.00459334, 0.00456464, 0.00456699, 0.00451109, 0.00433729, 0.00397817, 0.00360629", \ + "0.004878, 0.00474079, 0.00468246, 0.0044813, 0.00471772, 0.00421363, 0.00358583", \ + "0.00587479, 0.00520686, 0.00500823, 0.00491638, 0.00449224, 0.00448966, 0.00392176" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00655954, 0.00648843, 0.00643502, 0.00629115, 0.00631977, 0.0061306, 0.00602836", \ + "0.00645536, 0.0064602, 0.00639416, 0.00627475, 0.00631415, 0.00612672, 0.00601753", \ + "0.00639722, 0.00643111, 0.00637932, 0.00627263, 0.0063156, 0.0061695, 0.00600905", \ + "0.00635464, 0.00642339, 0.00638354, 0.00627848, 0.00629244, 0.00620861, 0.00587769", \ + "0.00633633, 0.006358, 0.00650009, 0.00626561, 0.00608319, 0.00611149, 0.0061054", \ + "0.00639578, 0.00634927, 0.00646454, 0.00621956, 0.0060492, 0.0061105, 0.0061605", \ + "0.00693765, 0.00659237, 0.00645001, 0.00639609, 0.00643439, 0.00732151, 0.00614104" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00554472, 0.00547105, 0.00542552, 0.00533124, 0.0051465, 0.0048172, 0.00437641", \ + "0.00540838, 0.00535177, 0.00529339, 0.00521625, 0.00501239, 0.00468957, 0.00433781", \ + "0.00536525, 0.00537521, 0.00529592, 0.00518287, 0.00505354, 0.00468916, 0.00425687", \ + "0.0053114, 0.00539452, 0.00526793, 0.0051509, 0.00498478, 0.0046852, 0.00434633", \ + "0.00533032, 0.00528699, 0.00529391, 0.00528492, 0.00539964, 0.00468177, 0.00435763", \ + "0.00562146, 0.00546452, 0.00537822, 0.00521537, 0.00542615, 0.0049593, 0.00466165", \ + "0.00662161, 0.00591789, 0.00571905, 0.00565598, 0.00518295, 0.0053034, 0.00462794" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00633963, 0.00631959, 0.00625768, 0.00616029, 0.00616768, 0.00602904, 0.0060933", \ + "0.00613795, 0.00627974, 0.00624189, 0.00613327, 0.00599008, 0.00617893, 0.00598765", \ + "0.00604188, 0.00623435, 0.00622271, 0.00612536, 0.00618931, 0.00604388, 0.00597941", \ + "0.00598669, 0.00617259, 0.00616247, 0.00610344, 0.00617438, 0.00605986, 0.0060415", \ + "0.00599467, 0.00612039, 0.00616895, 0.00606431, 0.00611746, 0.00598211, 0.00597526", \ + "0.00621541, 0.0060791, 0.00622156, 0.00614864, 0.00584105, 0.00602207, 0.00594824", \ + "0.00721401, 0.00644778, 0.00624893, 0.0061858, 0.00591145, 0.00694368, 0.00584271" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00287763, 0.00280017, 0.00275022, 0.00261097, 0.00243743, 0.00212903, 0.00169304", \ + "0.00264656, 0.00276011, 0.00271856, 0.0026039, 0.00244095, 0.00212043, 0.00165213", \ + "0.00256743, 0.00275719, 0.00269982, 0.00262331, 0.00241492, 0.00208979, 0.00164984", \ + "0.00255211, 0.00275713, 0.00273137, 0.00255842, 0.00242908, 0.00206076, 0.00173215", \ + "0.00269942, 0.0026476, 0.00265952, 0.00263049, 0.00241106, 0.00209864, 0.00172146", \ + "0.00327343, 0.00289389, 0.0027705, 0.00257956, 0.00273918, 0.00250399, 0.00184168", \ + "0.00471048, 0.0035164, 0.00331367, 0.00310171, 0.00261886, 0.00272685, 0.00178295" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0060711, 0.00609904, 0.00603669, 0.0058956, 0.00591867, 0.00578522, 0.00551482", \ + "0.00585674, 0.00605696, 0.00601989, 0.00594799, 0.00592256, 0.00585806, 0.00578142", \ + "0.00577112, 0.00600702, 0.00598606, 0.00590091, 0.00596567, 0.00584421, 0.00577367", \ + "0.00573455, 0.00593861, 0.00592927, 0.00586607, 0.00592924, 0.00584136, 0.00585086", \ + "0.00575434, 0.00589528, 0.00596451, 0.00583, 0.00587998, 0.00577983, 0.0058017", \ + "0.00602252, 0.00583531, 0.00597273, 0.00572382, 0.00584858, 0.00575019, 0.00568458", \ + "0.00712524, 0.00621559, 0.00602198, 0.00594483, 0.00591856, 0.00595069, 0.00542757" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00166477, 0.00159047, 0.00154409, 0.00142256, 0.00125394, 0.00097641, 0.00046243", \ + "0.00143066, 0.00156162, 0.00149015, 0.001408, 0.00124572, 0.00092178, 0.00048467", \ + "0.00134786, 0.00151471, 0.0015572, 0.00137278, 0.00125991, 0.00099411, 0.000476", \ + "0.00133461, 0.00152702, 0.00145328, 0.00136538, 0.00121295, 0.0009177, 0.00062254", \ + "0.00149082, 0.00146438, 0.0014359, 0.00156743, 0.00176976, 0.00090796, 0.00061066", \ + "0.00205572, 0.00166769, 0.00155724, 0.00137688, 0.00141925, 0.00094099, 0.00066517", \ + "0.00350278, 0.00235211, 0.00210934, 0.00191695, 0.00141765, 0.00143827, 0.00089666" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0061005, 0.00610644, 0.00603535, 0.00591244, 0.00594124, 0.00579498, 0.00591144", \ + "0.0058507, 0.00606445, 0.0060174, 0.00594438, 0.00593912, 0.00581476, 0.00591868", \ + "0.00578096, 0.00601821, 0.00599013, 0.00594517, 0.00574623, 0.00583316, 0.00592756", \ + "0.00574334, 0.00596391, 0.00597539, 0.00585919, 0.00590637, 0.0057882, 0.005913", \ + "0.00576953, 0.00592785, 0.00591501, 0.00581475, 0.00568547, 0.00586837, 0.00589614", \ + "0.00606167, 0.0058599, 0.0058968, 0.00581059, 0.00565995, 0.00585998, 0.00572334", \ + "0.0072464, 0.0063477, 0.00611203, 0.00594451, 0.00622771, 0.00608209, 0.00572774" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00163126, 0.00155661, 0.00151326, 0.00138822, 0.00120794, 0.00094192, 0.00043207", \ + "0.00137388, 0.00148536, 0.00149255, 0.00133953, 0.00116307, 0.00087544, 0.00050023", \ + "0.00130188, 0.00144901, 0.00142752, 0.0013262, 0.00118854, 0.0009334, 0.00040748", \ + "0.00130064, 0.00148524, 0.00141327, 0.00128982, 0.00120111, 0.00088809, 0.00056743", \ + "0.00148367, 0.0013945, 0.00137077, 0.00137817, 0.0011194, 0.00082885, 0.00053004", \ + "0.00208691, 0.00164583, 0.00148303, 0.00130839, 0.00153868, 0.00097714, 0.00058946", \ + "0.00358988, 0.0023613, 0.00208078, 0.0018476, 0.00135912, 0.00138297, 0.00084131" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0061005, 0.00610644, 0.00603535, 0.00591244, 0.00594124, 0.00579498, 0.00591144", \ + "0.0058507, 0.00606445, 0.0060174, 0.00594438, 0.00593912, 0.00581476, 0.00591868", \ + "0.00578096, 0.00601821, 0.00599013, 0.00594517, 0.00574623, 0.00583316, 0.00592756", \ + "0.00574334, 0.00596391, 0.00597539, 0.00585919, 0.00590637, 0.0057882, 0.005913", \ + "0.00576953, 0.00592785, 0.00591501, 0.00581475, 0.00568547, 0.00586837, 0.00589614", \ + "0.00606167, 0.0058599, 0.0058968, 0.00581059, 0.00565995, 0.00585998, 0.00572334", \ + "0.0072464, 0.0063477, 0.00611203, 0.00594451, 0.00622771, 0.00608209, 0.00572774" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00166477, 0.00159047, 0.00154409, 0.00142256, 0.00125394, 0.00097641, 0.00046243", \ + "0.00143066, 0.00156162, 0.00149015, 0.001408, 0.00124572, 0.00092178, 0.00048467", \ + "0.00134786, 0.00151471, 0.0015572, 0.00137278, 0.00125991, 0.00099411, 0.000476", \ + "0.00133461, 0.00152702, 0.00145328, 0.00136538, 0.00121295, 0.0009177, 0.00062254", \ + "0.00149082, 0.00146438, 0.0014359, 0.00156743, 0.00176976, 0.00090796, 0.00061066", \ + "0.00205572, 0.00166769, 0.00155724, 0.00137688, 0.00141925, 0.00094099, 0.00066517", \ + "0.00350278, 0.00235211, 0.00210934, 0.00191695, 0.00141765, 0.00143827, 0.00089666" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00646765, 0.0063916, 0.00632046, 0.00620146, 0.0062376, 0.00611579, 0.00614964", \ + "0.00636557, 0.00636446, 0.00629659, 0.00619168, 0.00625596, 0.00602787, 0.00592229", \ + "0.00630296, 0.00633083, 0.00627888, 0.00619665, 0.00621641, 0.00609465, 0.00591405", \ + "0.00627019, 0.00632594, 0.00629164, 0.00619329, 0.0059817, 0.00610842, 0.00590487", \ + "0.00627237, 0.00627651, 0.006411, 0.0061332, 0.00596687, 0.00602706, 0.00604006", \ + "0.0064617, 0.0062937, 0.00636272, 0.00631148, 0.00618397, 0.00605206, 0.00608909", \ + "0.00735611, 0.00669351, 0.00646467, 0.00639122, 0.00627792, 0.00662854, 0.0059338" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00406562, 0.00397709, 0.00391553, 0.00381477, 0.00361089, 0.00330558, 0.00283366", \ + "0.00389818, 0.00395398, 0.00390563, 0.00378917, 0.00362547, 0.00330242, 0.00296315", \ + "0.00381279, 0.00395329, 0.00387437, 0.00380171, 0.00360931, 0.00332655, 0.00284395", \ + "0.0037212, 0.00396517, 0.00385054, 0.00376932, 0.00362129, 0.00328542, 0.00276639", \ + "0.00375123, 0.00383906, 0.00384567, 0.00388635, 0.0037158, 0.00326256, 0.00287623", \ + "0.00406572, 0.00394827, 0.00389007, 0.00373522, 0.00405577, 0.00329638, 0.00283407", \ + "0.00515358, 0.00436178, 0.00423386, 0.00415812, 0.00372853, 0.00387927, 0.00308726" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00623109, 0.00615995, 0.00610346, 0.00597077, 0.00595068, 0.00590037, 0.00581573", \ + "0.00611591, 0.00611895, 0.00606417, 0.00596683, 0.00579416, 0.00588107, 0.00573791", \ + "0.00604738, 0.00609447, 0.00606452, 0.00595645, 0.0057954, 0.00583707, 0.00571844", \ + "0.00601732, 0.00607666, 0.00601512, 0.00594368, 0.0057279, 0.00584759, 0.00579979", \ + "0.006031, 0.0060407, 0.00600337, 0.00590378, 0.00574484, 0.00580966, 0.00571981", \ + "0.00624759, 0.0060643, 0.0061745, 0.00605422, 0.00573136, 0.0058042, 0.00583549", \ + "0.00723178, 0.00646038, 0.00628597, 0.00615625, 0.00613537, 0.00669286, 0.00572774" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00285138, 0.00277055, 0.00270632, 0.00258832, 0.00241855, 0.00215175, 0.00173199", \ + "0.00268307, 0.00274082, 0.00269069, 0.00258435, 0.00240431, 0.00209595, 0.0017449", \ + "0.00259405, 0.00273065, 0.00268547, 0.0026155, 0.0024501, 0.00210321, 0.00167207", \ + "0.00250743, 0.00276025, 0.00265825, 0.00266756, 0.00241714, 0.00214638, 0.00166279", \ + "0.00253172, 0.0026553, 0.00264446, 0.00267749, 0.00297007, 0.00211419, 0.00179295", \ + "0.00284433, 0.00274384, 0.00271814, 0.00258106, 0.00280365, 0.00252004, 0.00182522", \ + "0.00394218, 0.00313243, 0.00304607, 0.00298491, 0.00252672, 0.00260562, 0.0021154" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00623857, 0.00616136, 0.00609991, 0.00597981, 0.0060062, 0.00586343, 0.00571528", \ + "0.00610761, 0.00612751, 0.00607551, 0.0059899, 0.00600709, 0.00583976, 0.00571769", \ + "0.00605144, 0.00610063, 0.00604291, 0.00594774, 0.00598007, 0.00583243, 0.00589732", \ + "0.00602403, 0.00611034, 0.0060428, 0.00594936, 0.00593474, 0.00581451, 0.00570219", \ + "0.00603422, 0.00614215, 0.00600486, 0.00591017, 0.00596009, 0.00576907, 0.00568743", \ + "0.00627764, 0.00609287, 0.00609478, 0.00591555, 0.0060306, 0.00593847, 0.00583", \ + "0.00732147, 0.00650686, 0.00635164, 0.00611715, 0.00639008, 0.00602684, 0.00575818" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00281891, 0.00273842, 0.00268329, 0.00257224, 0.00240275, 0.00212174, 0.00161695", \ + "0.00262969, 0.00268863, 0.00261991, 0.00252803, 0.00234818, 0.00209909, 0.00174941", \ + "0.00254871, 0.00266204, 0.00262592, 0.00253214, 0.00237973, 0.0020453, 0.00160073", \ + "0.00247667, 0.002687, 0.00259396, 0.00250353, 0.0023759, 0.00207335, 0.00168283", \ + "0.00251601, 0.00258545, 0.00258048, 0.0025408, 0.0028972, 0.00202966, 0.00171659", \ + "0.0028528, 0.00269639, 0.00266218, 0.00248751, 0.00273573, 0.00244254, 0.00185244", \ + "0.00398286, 0.00312852, 0.0030011, 0.00293215, 0.00245788, 0.00260724, 0.00201467" \ + ); + } + } + internal_power () { + related_pin : "B2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00623857, 0.00616136, 0.00609991, 0.00597981, 0.0060062, 0.00586343, 0.00571528", \ + "0.00610761, 0.00612751, 0.00607551, 0.0059899, 0.00600709, 0.00583976, 0.00571769", \ + "0.00605144, 0.00610063, 0.00604291, 0.00594774, 0.00598007, 0.00583243, 0.00589732", \ + "0.00602403, 0.00611034, 0.0060428, 0.00594936, 0.00593474, 0.00581451, 0.00570219", \ + "0.00603422, 0.00614215, 0.00600486, 0.00591017, 0.00596009, 0.00576907, 0.00568743", \ + "0.00627764, 0.00609287, 0.00609478, 0.00591555, 0.0060306, 0.00593847, 0.00583", \ + "0.00732147, 0.00650686, 0.00635164, 0.00611715, 0.00639008, 0.00602684, 0.00575818" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00285138, 0.00277055, 0.00270632, 0.00258832, 0.00241855, 0.00215175, 0.00173199", \ + "0.00268307, 0.00274082, 0.00269069, 0.00258435, 0.00240431, 0.00209595, 0.0017449", \ + "0.00259405, 0.00273065, 0.00268547, 0.0026155, 0.0024501, 0.00210321, 0.00167207", \ + "0.00250743, 0.00276025, 0.00265825, 0.00266756, 0.00241714, 0.00214638, 0.00166279", \ + "0.00253172, 0.0026553, 0.00264446, 0.00267749, 0.00297007, 0.00211419, 0.00179295", \ + "0.00284433, 0.00274384, 0.00271814, 0.00258106, 0.00280365, 0.00252004, 0.00182522", \ + "0.00394218, 0.00313243, 0.00304607, 0.00298491, 0.00252672, 0.00260562, 0.0021154" \ + ); + } + } + internal_power () { + related_pin : "C1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0029664, 0.00305274, 0.0029904, 0.00286734, 0.00284606, 0.00278474, 0.00276658", \ + "0.00273288, 0.00294873, 0.00290986, 0.0028256, 0.00266967, 0.00276354, 0.00277646", \ + "0.00268493, 0.00287191, 0.00286818, 0.00279616, 0.00263172, 0.00272003, 0.00259217", \ + "0.00276542, 0.00281535, 0.00282617, 0.00275047, 0.00262165, 0.00272369, 0.00262376", \ + "0.00300337, 0.00281947, 0.0028734, 0.00269624, 0.00275834, 0.0026282, 0.00275974", \ + "0.00363529, 0.00299937, 0.00301312, 0.00273365, 0.00252025, 0.00258648, 0.00261338", \ + "0.00508939, 0.00375329, 0.00340807, 0.00316098, 0.0027653, 0.00368634, 0.00262509" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00252164, 0.0026271, 0.00256754, 0.00248712, 0.00232259, 0.00194922, 0.00144557", \ + "0.00230973, 0.00268472, 0.00262144, 0.00254487, 0.00241052, 0.00209177, 0.00155517", \ + "0.00226102, 0.00269673, 0.00260735, 0.00253907, 0.00242906, 0.00211303, 0.00165751", \ + "0.0022975, 0.0025673, 0.00264187, 0.00251977, 0.00237547, 0.00208047, 0.00170409", \ + "0.00255771, 0.00262065, 0.00254797, 0.00248956, 0.00279328, 0.00206919, 0.0017242", \ + "0.00323424, 0.00274424, 0.0027363, 0.00263813, 0.00230776, 0.0024746, 0.00171164", \ + "0.00486585, 0.00343978, 0.00319599, 0.00299946, 0.0028715, 0.00211156, 0.00203974" \ + ); + } + } + internal_power () { + related_pin : "C1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0029664, 0.00305274, 0.0029904, 0.00286734, 0.00284606, 0.00278474, 0.00276658", \ + "0.00273288, 0.00294873, 0.00290986, 0.0028256, 0.00266967, 0.00276354, 0.00277646", \ + "0.00268493, 0.00287191, 0.00286818, 0.00279616, 0.00263172, 0.00272003, 0.00259217", \ + "0.00276542, 0.00281535, 0.00282617, 0.00275047, 0.00262165, 0.00272369, 0.00262376", \ + "0.00300337, 0.00281947, 0.0028734, 0.00269624, 0.00275834, 0.0026282, 0.00275974", \ + "0.00363529, 0.00299937, 0.00301312, 0.00273365, 0.00252025, 0.00258648, 0.00261338", \ + "0.00508939, 0.00375329, 0.00340807, 0.00316098, 0.0027653, 0.00368634, 0.00262509" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00252164, 0.0026271, 0.00256754, 0.00248712, 0.00232259, 0.00194922, 0.00144557", \ + "0.00230973, 0.00268472, 0.00262144, 0.00254487, 0.00241052, 0.00209177, 0.00155517", \ + "0.00226102, 0.00269673, 0.00260735, 0.00253907, 0.00242906, 0.00211303, 0.00165751", \ + "0.0022975, 0.0025673, 0.00264187, 0.00251977, 0.00237547, 0.00208047, 0.00170409", \ + "0.00255771, 0.00262065, 0.00254797, 0.00248956, 0.00279328, 0.00206919, 0.0017242", \ + "0.00323424, 0.00274424, 0.0027363, 0.00263813, 0.00230776, 0.0024746, 0.00171164", \ + "0.00486585, 0.00343978, 0.00319599, 0.00299946, 0.0028715, 0.00211156, 0.00203974" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00276273; + rise_capacitance : 0.00278196; + rise_capacitance_range (0.00278196, 0.00278196); + fall_capacitance : 0.00274351; + fall_capacitance_range (0.00274351, 0.00274351); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-7.26538e-05, -7.16061e-05, -7.02681e-05, -7.01977e-05, -6.91383e-05, -7.02155e-05, -7.04821e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "7.26538e-05, 7.16061e-05, 7.02681e-05, 7.01977e-05, 6.91383e-05, 7.02155e-05, 7.04821e-05" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00277108; + rise_capacitance : 0.00281694; + rise_capacitance_range (0.00281694, 0.00281694); + fall_capacitance : 0.00272523; + fall_capacitance_range (0.00272523, 0.00272523); + internal_power () { + when : "(B1 * B2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-8.35959e-05, -8.26013e-05, -8.41448e-05, -8.31262e-05, -8.24831e-05, -8.55341e-05, -8.60592e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.35959e-05, 8.26013e-05, 8.41448e-05, 8.31262e-05, 8.24831e-05, 8.55341e-05, 8.60592e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-8.35959e-05, -8.26013e-05, -8.41448e-05, -8.31262e-05, -8.24831e-05, -8.55341e-05, -8.60592e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.35959e-05, 8.26013e-05, 8.41448e-05, 8.31262e-05, 8.24831e-05, 8.55341e-05, 8.60592e-05" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00260242; + rise_capacitance : 0.00258852; + rise_capacitance_range (0.00258852, 0.00258852); + fall_capacitance : 0.00261633; + fall_capacitance_range (0.00261633, 0.00261633); + internal_power () { + when : "C1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.76677e-05, -6.80806e-05, -6.8386e-05, -6.6733e-05, -6.57151e-05, -6.79643e-05, -6.98573e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.76677e-05, 6.80806e-05, 6.8386e-05, 6.6733e-05, 6.57151e-05, 6.79643e-05, 6.98573e-05" \ + ); + } + } + internal_power () { + when : "(A1 * A2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00120394, 0.00121153, 0.00121121, 0.00121008, 0.00122451, 0.00123054, 0.0012275" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0011575, -0.00116571, -0.00116758, -0.0011667, -0.00116806, -0.00116885, -0.0011682" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00120394, 0.00121153, 0.00121121, 0.00121008, 0.00122451, 0.00123054, 0.0012275" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0011575, -0.00116571, -0.00116758, -0.0011667, -0.00116806, -0.00116885, -0.0011682" \ + ); + } + } + } + pin (B2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00265555; + rise_capacitance : 0.00266246; + rise_capacitance_range (0.00266246, 0.00266246); + fall_capacitance : 0.00264863; + fall_capacitance_range (0.00264863, 0.00264863); + internal_power () { + when : "C1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-4.07061e-05, -5.24352e-05, -5.15809e-05, -5.09014e-05, -5.17532e-05, -5.41829e-05, -5.3522e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "4.07061e-05, 5.24352e-05, 5.15809e-05, 5.09014e-05, 5.17532e-05, 5.41829e-05, 5.3522e-05" \ + ); + } + } + internal_power () { + when : "(A1 * A2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00122409, 0.00122641, 0.00122695, 0.00123444, 0.00123437, 0.00124787, 0.00124372" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00118075, -0.00118236, -0.00118288, -0.00118247, -0.00118295, -0.0011832, -0.00118307" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00122409, 0.00122641, 0.00122695, 0.00123444, 0.00123437, 0.00124787, 0.00124372" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00118075, -0.00118236, -0.00118288, -0.00118247, -0.00118295, -0.0011832, -0.00118307" \ + ); + } + } + } + pin (C1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00243135; + rise_capacitance : 0.00232809; + rise_capacitance_range (0.00232809, 0.00232809); + fall_capacitance : 0.00253461; + fall_capacitance_range (0.00253461, 0.00253461); + internal_power () { + when : "(B1 * B2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000340635, 0.000341402, 0.000340938, 0.000341318, 0.000343252, 0.000344372, 0.000344345" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000569347, 0.000573548, 0.000581485, 0.000579794, 0.000580688, 0.00058706, 0.000591258" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000340635, 0.000341402, 0.000340938, 0.000341318, 0.000343252, 0.000344372, 0.000344345" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000569347, 0.000573548, 0.000581485, 0.000579794, 0.000580688, 0.00058706, 0.000591258" \ + ); + } + } + } + } + cell (sg13g2_a22oi_1) { + area : 10.8486; + cell_footprint : "a22oi"; + cell_leakage_power : 562.878; + leakage_power () { + value : 90.9643; + when : "!A1*!A2*!B1*!B2"; + } + leakage_power () { + value : 169.843; + when : "!A1*!A2*!B1*B2"; + } + leakage_power () { + value : 184.847; + when : "!A1*!A2*B1*!B2"; + } + leakage_power () { + value : 967.801; + when : "!A1*!A2*B1*B2"; + } + leakage_power () { + value : 184.911; + when : "!A1*A2*!B1*!B2"; + } + leakage_power () { + value : 263.79; + when : "!A1*A2*!B1*B2"; + } + leakage_power () { + value : 278.793; + when : "!A1*A2*B1*!B2"; + } + leakage_power () { + value : 965.795; + when : "!A1*A2*B1*B2"; + } + leakage_power () { + value : 169.809; + when : "A1*!A2*!B1*!B2"; + } + leakage_power () { + value : 248.696; + when : "A1*!A2*!B1*B2"; + } + leakage_power () { + value : 263.699; + when : "A1*!A2*B1*!B2"; + } + leakage_power () { + value : 965.731; + when : "A1*!A2*B1*B2"; + } + leakage_power () { + value : 1261.3; + when : "A1*A2*!B1*!B2"; + } + leakage_power () { + value : 1244.11; + when : "A1*A2*!B1*B2"; + } + leakage_power () { + value : 1244.11; + when : "A1*A2*B1*!B2"; + } + leakage_power () { + value : 501.849; + when : "A1*A2*B1*B2"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+(B1*B2))"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0710217, 0.229851, 0.33908, 0.519722, 0.821648, 1.32527, 2.16464", \ + "0.1071, 0.26982, 0.379347, 0.56098, 0.862538, 1.36647, 2.2064", \ + "0.134114, 0.308053, 0.41798, 0.59904, 0.901797, 1.40566, 2.24545", \ + "0.172745, 0.37716, 0.491907, 0.674027, 0.976643, 1.48074, 2.32083", \ + "0.220277, 0.489094, 0.620862, 0.816791, 1.12501, 1.62925, 2.46978", \ + "0.267323, 0.645518, 0.817137, 1.05119, 1.39427, 1.91807, 2.76019", \ + "0.313166, 0.85601, 1.09236, 1.40909, 1.83508, 2.43625, 3.33235" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0455801, 0.258352, 0.406228, 0.650852, 1.05979, 1.74196, 2.87888", \ + "0.0513876, 0.258353, 0.406262, 0.651592, 1.06097, 1.74249, 2.88045", \ + "0.0628486, 0.262589, 0.407465, 0.651593, 1.06098, 1.74284, 2.88048", \ + "0.0859653, 0.282389, 0.419588, 0.655339, 1.06409, 1.74285, 2.88049", \ + "0.130988, 0.338161, 0.4691, 0.689653, 1.07684, 1.74551, 2.8805", \ + "0.211248, 0.452162, 0.587395, 0.80198, 1.16343, 1.79286, 2.89576", \ + "0.353266, 0.645643, 0.807819, 1.04352, 1.4031, 1.99516, 3.02667" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0755417, 0.231873, 0.338134, 0.513231, 0.805954, 1.29337, 2.10533", \ + "0.112715, 0.273621, 0.380028, 0.555408, 0.848193, 1.33563, 2.14826", \ + "0.142291, 0.315714, 0.42273, 0.597963, 0.890699, 1.37842, 2.1903", \ + "0.187015, 0.39249, 0.506215, 0.68358, 0.975899, 1.46316, 2.27599", \ + "0.248833, 0.513274, 0.647792, 0.844833, 1.14808, 1.63569, 2.44649", \ + "0.331746, 0.689235, 0.862989, 1.10332, 1.45124, 1.97088, 2.78762", \ + "0.441219, 0.940542, 1.17489, 1.49198, 1.93267, 2.5485, 3.44528" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0577734, 0.260597, 0.400746, 0.633033, 1.01969, 1.66686, 2.74218", \ + "0.067447, 0.261825, 0.400829, 0.633034, 1.0208, 1.66687, 2.74278", \ + "0.0829971, 0.269876, 0.404657, 0.636629, 1.02081, 1.66688, 2.74292", \ + "0.111049, 0.301745, 0.427652, 0.644796, 1.02226, 1.66689, 2.74433", \ + "0.161057, 0.374766, 0.49949, 0.703238, 1.05725, 1.6779, 2.74434", \ + "0.236276, 0.507627, 0.640283, 0.850169, 1.18968, 1.76695, 2.77829", \ + "0.350988, 0.726901, 0.892727, 1.12682, 1.48589, 2.05333, 3.00057" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0788736, 0.237608, 0.347126, 0.527913, 0.830009, 1.33371, 2.17326", \ + "0.116977, 0.278183, 0.387652, 0.56841, 0.870818, 1.37481, 2.21477", \ + "0.146362, 0.316763, 0.426573, 0.607514, 0.910156, 1.41402, 2.25379", \ + "0.189534, 0.387177, 0.50109, 0.682845, 0.985258, 1.48906, 2.32903", \ + "0.246742, 0.501556, 0.631736, 0.826551, 1.13429, 1.63829, 2.47841", \ + "0.306119, 0.663103, 0.831716, 1.06339, 1.40465, 1.92745, 2.76902", \ + "0.370564, 0.880857, 1.11267, 1.42524, 1.84732, 2.44702, 3.34233" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0534854, 0.266761, 0.414913, 0.659821, 1.0689, 1.75224, 2.89031", \ + "0.0583004, 0.26695, 0.414914, 0.659822, 1.06941, 1.75225, 2.89032", \ + "0.0692237, 0.270642, 0.416315, 0.659988, 1.06972, 1.7523, 2.89033", \ + "0.091832, 0.289676, 0.427649, 0.663906, 1.07185, 1.75231, 2.89034", \ + "0.135148, 0.344835, 0.476229, 0.698149, 1.08546, 1.75516, 2.89035", \ + "0.215105, 0.456439, 0.593707, 0.808387, 1.17101, 1.80258, 2.90171", \ + "0.351492, 0.650646, 0.813205, 1.0465, 1.40794, 2.00225, 3.03424" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0815577, 0.237639, 0.343939, 0.519127, 0.81181, 1.29923, 2.11114", \ + "0.118469, 0.277867, 0.384333, 0.559565, 0.852449, 1.33991, 2.15249", \ + "0.147863, 0.316254, 0.423351, 0.598857, 0.891733, 1.37943, 2.19136", \ + "0.194315, 0.386571, 0.498883, 0.676559, 0.969718, 1.45726, 2.2706", \ + "0.260621, 0.502108, 0.629817, 0.822276, 1.12435, 1.61392, 2.42627", \ + "0.350467, 0.678703, 0.838625, 1.06447, 1.40132, 1.9152, 2.73514", \ + "0.471119, 0.941161, 1.15722, 1.44811, 1.85875, 2.4451, 3.3269" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0577765, 0.260578, 0.401063, 0.633019, 1.02034, 1.66687, 2.74217", \ + "0.0634, 0.261431, 0.401064, 0.63302, 1.02081, 1.66688, 2.74278", \ + "0.0734249, 0.266617, 0.40334, 0.633021, 1.02082, 1.66689, 2.74292", \ + "0.0947048, 0.287808, 0.41834, 0.640713, 1.02231, 1.6669, 2.74431", \ + "0.137915, 0.339663, 0.469303, 0.680531, 1.04583, 1.67356, 2.74432", \ + "0.209221, 0.444452, 0.573453, 0.785976, 1.13888, 1.7355, 2.76941", \ + "0.319488, 0.632876, 0.779767, 1.00037, 1.3538, 1.93811, 2.91831" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0561817, 0.207392, 0.311142, 0.482607, 0.769373, 1.2472, 2.0432", \ + "0.0901951, 0.247078, 0.351124, 0.522944, 0.809343, 1.28716, 2.08389", \ + "0.115154, 0.288632, 0.393105, 0.564587, 0.85133, 1.32899, 2.12527", \ + "0.151345, 0.363255, 0.474293, 0.647161, 0.93323, 1.41098, 2.20735", \ + "0.198314, 0.479973, 0.612734, 0.804014, 1.09777, 1.57415, 2.36938", \ + "0.252587, 0.640291, 0.81841, 1.05613, 1.39358, 1.89633, 2.69281", \ + "0.317734, 0.852555, 1.09733, 1.42633, 1.86169, 2.46143, 3.32923" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0463051, 0.254677, 0.399692, 0.639359, 1.0402, 1.71007, 2.82475", \ + "0.0579794, 0.255112, 0.399693, 0.639694, 1.04021, 1.71008, 2.82476", \ + "0.0749768, 0.263192, 0.402454, 0.641645, 1.04022, 1.71009, 2.82477", \ + "0.103896, 0.293961, 0.422472, 0.647745, 1.04042, 1.7101, 2.82478", \ + "0.151464, 0.367402, 0.491731, 0.699353, 1.06723, 1.71343, 2.82479", \ + "0.222116, 0.503839, 0.63962, 0.846109, 1.18819, 1.78624, 2.84542", \ + "0.331507, 0.717709, 0.895914, 1.13336, 1.49162, 2.05587, 3.03289" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0580034, 0.211202, 0.316876, 0.49129, 0.783491, 1.27051, 2.08224", \ + "0.0904924, 0.251536, 0.357591, 0.53235, 0.825157, 1.31195, 2.12426", \ + "0.114098, 0.289284, 0.396355, 0.571417, 0.864132, 1.35196, 2.16318", \ + "0.147959, 0.356595, 0.470717, 0.648757, 0.941824, 1.42942, 2.24268", \ + "0.191939, 0.465869, 0.597362, 0.792434, 1.09569, 1.58552, 2.39759", \ + "0.247584, 0.631493, 0.797708, 1.02941, 1.36942, 1.88571, 2.70658", \ + "0.32487, 0.871647, 1.10189, 1.4018, 1.81992, 2.41213, 3.29698" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.036245, 0.236692, 0.376676, 0.607617, 0.995211, 1.63946, 2.71465", \ + "0.0441968, 0.237783, 0.379279, 0.607947, 0.995437, 1.63951, 2.71608", \ + "0.0551423, 0.24415, 0.379663, 0.610753, 0.995438, 1.63978, 2.71609", \ + "0.0772139, 0.26722, 0.396425, 0.616575, 0.996312, 1.63979, 2.7161", \ + "0.117622, 0.320886, 0.447816, 0.658271, 1.02124, 1.64895, 2.71643", \ + "0.18491, 0.42609, 0.556045, 0.765837, 1.11586, 1.71057, 2.74056", \ + "0.289085, 0.620748, 0.762321, 0.982602, 1.3371, 1.91638, 2.89429" \ + ); + } + } + timing () { + related_pin : "B2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0477616, 0.199412, 0.302942, 0.474189, 0.760833, 1.23854, 2.03444", \ + "0.0785821, 0.238685, 0.342606, 0.514135, 0.801111, 1.2788, 2.07469", \ + "0.0996765, 0.279745, 0.384382, 0.55577, 0.842725, 1.32043, 2.11656", \ + "0.12926, 0.352842, 0.465098, 0.638267, 0.924615, 1.40227, 2.1989", \ + "0.166386, 0.466396, 0.60129, 0.794063, 1.08854, 1.56544, 2.36057", \ + "0.20736, 0.62025, 0.802416, 1.04328, 1.38288, 1.88691, 2.6842", \ + "0.254365, 0.824517, 1.07442, 1.40831, 1.8472, 2.44984, 3.31885" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0384108, 0.24611, 0.390902, 0.63035, 1.03094, 1.70048, 2.81352", \ + "0.0524432, 0.246631, 0.390903, 0.630351, 1.03111, 1.70049, 2.81353", \ + "0.0701755, 0.255204, 0.39407, 0.630729, 1.03112, 1.7005, 2.81354", \ + "0.0993384, 0.28687, 0.414663, 0.639258, 1.03545, 1.70051, 2.81478", \ + "0.146177, 0.361421, 0.48517, 0.691619, 1.0584, 1.70401, 2.81479", \ + "0.21609, 0.497984, 0.632965, 0.839982, 1.18054, 1.77777, 2.83742", \ + "0.329598, 0.708918, 0.890141, 1.12826, 1.48454, 2.04706, 3.02426" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0506104, 0.204754, 0.310375, 0.484977, 0.777255, 1.26417, 2.07587", \ + "0.0810803, 0.246657, 0.352573, 0.527385, 0.819898, 1.30723, 2.11913", \ + "0.102248, 0.287967, 0.395166, 0.570034, 0.86237, 1.35009, 2.16145", \ + "0.132059, 0.36078, 0.477202, 0.65534, 0.947491, 1.43434, 2.24736", \ + "0.170814, 0.473651, 0.613175, 0.813884, 1.11887, 1.60683, 2.41788", \ + "0.2224, 0.635851, 0.818036, 1.06553, 1.4186, 1.94111, 2.75834", \ + "0.291393, 0.86397, 1.11348, 1.44148, 1.8912, 2.5133, 3.41366" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0364233, 0.236781, 0.37654, 0.607961, 0.995197, 1.63946, 2.71429", \ + "0.0510094, 0.238591, 0.377568, 0.607962, 0.995198, 1.64044, 2.71438", \ + "0.0667178, 0.248689, 0.381564, 0.61052, 0.995199, 1.64095, 2.71439", \ + "0.0945941, 0.282972, 0.406747, 0.621096, 0.996933, 1.64127, 2.71548", \ + "0.138438, 0.357321, 0.481191, 0.683066, 1.03401, 1.65244, 2.71592", \ + "0.205334, 0.489669, 0.623382, 0.831479, 1.17035, 1.74444, 2.75424", \ + "0.312429, 0.711897, 0.876103, 1.11547, 1.46882, 2.03385, 2.9753" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00197558, 0.0020736, 0.00204502, 0.00199688, 0.00187781, 0.00170778, 0.00146027", \ + "0.00175019, 0.00201834, 0.0019955, 0.0019979, 0.00190501, 0.00174045, 0.00154665", \ + "0.00171037, 0.00193907, 0.0019604, 0.00193729, 0.00187628, 0.00174451, 0.00155873", \ + "0.0016508, 0.00181499, 0.00190573, 0.00186493, 0.00192328, 0.00167434, 0.0014892", \ + "0.00168729, 0.00179357, 0.00178937, 0.00186327, 0.00181146, 0.00168703, 0.00148327", \ + "0.0019781, 0.00186216, 0.00184112, 0.00181269, 0.0018027, 0.00177659, 0.00179068", \ + "0.0032008, 0.00238322, 0.00228107, 0.00220798, 0.00200723, 0.00170658, 0.00192763" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00018551, 0.00016743, 0.00012913, 9.19399e-05, -7.68015e-06, -0.00012752, -0.00039557", \ + "8.29101e-05, 0.00023401, 0.00019518, 0.00017691, 0.00010566, -2.666e-05, -0.00027538", \ + "5.53899e-05, 0.0002238, 0.00018814, 0.0002723, 0.00011732, -1.83401e-05, -0.00022713", \ + "0.00010521, 0.00016585, 0.00021904, 0.0001827, 0.00011513, 1.60702e-05, -0.00015368", \ + "0.00034668, 0.00027726, 0.00022501, 0.00017862, 0.00025407, 0.00022779, -0.0002449", \ + "0.00096614, 0.00061137, 0.00049836, 0.00042656, 0.00019106, 0.00021569, -7.89599e-05", \ + "0.00252288, 0.00163785, 0.00133195, 0.00109175, 0.00086274, 0.00039034, 0.0001869" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00256977, 0.00255373, 0.00252687, 0.00247012, 0.00235936, 0.00221243, 0.00202375", \ + "0.00244722, 0.00254711, 0.00248647, 0.00244338, 0.00237248, 0.002198, 0.00202711", \ + "0.00241751, 0.00252994, 0.00247465, 0.00243916, 0.0023835, 0.00220442, 0.0019889", \ + "0.00237113, 0.00243136, 0.00247363, 0.00240709, 0.002401, 0.00213541, 0.00200374", \ + "0.00240518, 0.0024501, 0.00241604, 0.00246764, 0.00234425, 0.00224086, 0.00197555", \ + "0.00263261, 0.00252101, 0.00250398, 0.00245401, 0.00239063, 0.00227012, 0.00223599", \ + "0.00369787, 0.00305796, 0.00294468, 0.00281092, 0.00265106, 0.00229846, 0.00248199" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00100594, 0.00097319, 0.00094221, 0.0009005, 0.00080611, 0.00068213, 0.00041311", \ + "0.00097922, 0.0010588, 0.00102562, 0.00098434, 0.00092472, 0.00078633, 0.00054088", \ + "0.00095414, 0.00107199, 0.00103781, 0.00100987, 0.00095567, 0.00081802, 0.00056917", \ + "0.00095064, 0.00103518, 0.00107412, 0.00103478, 0.00096716, 0.00085594, 0.0006945", \ + "0.00101961, 0.00108147, 0.00107155, 0.00103187, 0.00115886, 0.00084356, 0.00064892", \ + "0.0013949, 0.00125206, 0.00119358, 0.00117774, 0.00102149, 0.00106251, 0.00098942", \ + "0.00256381, 0.00192978, 0.00175991, 0.00163968, 0.00147632, 0.0011511, 0.00089011" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00124806, 0.0012794, 0.00124872, 0.00119844, 0.00108858, 0.00095433, 0.00075248", \ + "0.00100829, 0.00116346, 0.0011555, 0.00114155, 0.00103795, 0.00090159, 0.00067572", \ + "0.00098249, 0.00112927, 0.00110653, 0.00114424, 0.0010066, 0.00087262, 0.00068754", \ + "0.0010618, 0.00107425, 0.00110482, 0.00103722, 0.00094927, 0.00083757, 0.00065648", \ + "0.0013889, 0.00119265, 0.00109558, 0.00113056, 0.00094934, 0.00084956, 0.00063263", \ + "0.00205105, 0.00155127, 0.00140852, 0.00124524, 0.00111609, 0.00113506, 0.00100406", \ + "0.00364169, 0.00265777, 0.00237884, 0.00198204, 0.00170889, 0.00122796, 0.00124097" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.00124806, -0.0012794, -0.00124872, -0.00119844, -0.00108858, -0.00095433, -0.00075248", \ + "-0.00100829, -0.00116346, -0.0011555, -0.00114155, -0.00103795, -0.00090159, -0.00067572", \ + "-0.00098249, -0.00112927, -0.00110653, -0.00114424, -0.0010066, -0.00087262, -0.00068754", \ + "-0.0010618, -0.00107425, -0.00110482, -0.00103722, -0.00094927, -0.00083757, -0.00065648", \ + "-0.0013889, -0.00119265, -0.00109558, -0.00113056, -0.00094934, -0.00084956, -0.00063263", \ + "-0.00202673, -0.00155127, -0.00140852, -0.00124524, -0.00111609, -0.00113506, -0.00100406", \ + "-0.00065718, -0.00159681, -0.00182504, -0.00195936, -0.00170889, -0.00122796, -0.00124097" \ + ); + } + } + internal_power () { + related_pin : "B2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00104687, 0.00124731, 0.00121906, 0.0011664, 0.00106306, 0.00092588, 0.00069803", \ + "0.00076266, 0.00107996, 0.00110861, 0.00109879, 0.00102668, 0.00087058, 0.00068801", \ + "0.0007388, 0.00104652, 0.00107135, 0.00104191, 0.00098193, 0.0008303, 0.00065078", \ + "0.00083454, 0.0009043, 0.00098602, 0.00095918, 0.00101728, 0.00080914, 0.0006338", \ + "0.00114814, 0.00100274, 0.00095653, 0.00100379, 0.00089473, 0.00089545, 0.00061698", \ + "0.00194263, 0.00133984, 0.00121509, 0.00110971, 0.00099698, 0.00107162, 0.00069988", \ + "0.00368025, 0.00234405, 0.00209041, 0.00181621, 0.0014908, 0.00102808, 0.00106002" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.00104687, -0.00124731, -0.00121906, -0.0011664, -0.00106306, -0.00092588, -0.00069803", \ + "-0.00076266, -0.00107996, -0.00110861, -0.00109879, -0.00102668, -0.00087058, -0.00068801", \ + "-0.0007388, -0.00104652, -0.00107135, -0.00104191, -0.00098193, -0.0008303, -0.00065078", \ + "-0.00083454, -0.0009043, -0.00098602, -0.00095918, -0.00101728, -0.00080914, -0.0006338", \ + "-0.00114814, -0.00100274, -0.00095653, -0.00100379, -0.00089473, -0.00089545, -0.00061698", \ + "-0.0015163, -0.00133984, -0.00121509, -0.00110971, -0.00099698, -0.00107162, -0.00069988", \ + "0.00019091, -0.00106539, -0.00140371, -0.00159443, -0.0014908, -0.00102808, -0.00106002" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00259854; + rise_capacitance : 0.0033637; + rise_capacitance_range (0.0033637, 0.0033637); + fall_capacitance : 0.00183337; + fall_capacitance_range (0.00183337, 0.00183337); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0030092, 0.00286008, 0.00282022, 0.00277913, 0.00275732, 0.00274676, 0.00270858" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0033559, 0.00334426, 0.00333464, 0.00334495, 0.00333757, 0.00333789, 0.00333426" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00286268; + rise_capacitance : 0.00293803; + rise_capacitance_range (0.00293803, 0.00293803); + fall_capacitance : 0.00278733; + fall_capacitance_range (0.00278733, 0.00278733); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00333559, 0.003183, 0.00313699, 0.00309055, 0.00306463, 0.00304913, 0.00302691" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.002866, 0.0028551, 0.00284468, 0.00285134, 0.0028432, 0.00284308, 0.00284191" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00333913; + rise_capacitance : 0.0040081; + rise_capacitance_range (0.0040081, 0.0040081); + fall_capacitance : 0.00267017; + fall_capacitance_range (0.00267017, 0.00267017); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00552398, 0.00556905, 0.00558296, 0.00562134, 0.00568256, 0.0057393, 0.00580587" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00123216, 0.00124862, 0.00125514, 0.00125542, 0.00126112, 0.00126881, 0.00126114" \ + ); + } + } + } + pin (B2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00341012; + rise_capacitance : 0.00410445; + rise_capacitance_range (0.00410445, 0.00410445); + fall_capacitance : 0.0027158; + fall_capacitance_range (0.0027158, 0.0027158); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00438779, 0.00442996, 0.00444816, 0.00448411, 0.00454339, 0.00460917, 0.00469802" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00119774, 0.00120797, 0.00122175, 0.00123189, 0.00122367, 0.00122777, 0.0012351" \ + ); + } + } + } + } + cell (sg13g2_and2_1) { + area : 9.072; + cell_footprint : "AND2"; + cell_leakage_power : 635.371; + leakage_power () { + value : 854.873; + when : "A&B"; + } + leakage_power () { + value : 591.925; + when : "!A&B"; + } + leakage_power () { + value : 580.058; + when : "A&!B"; + } + leakage_power () { + value : 514.629; + when : "!A&!B"; + } + pin (X) { + direction : "output"; + function : "(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.102995, 0.210433, 0.27848, 0.39046, 0.57793, 0.889713, 1.40935", \ + "0.139787, 0.247656, 0.315749, 0.427892, 0.615006, 0.927431, 1.4471", \ + "0.169072, 0.278121, 0.346462, 0.458739, 0.646085, 0.959983, 1.47798", \ + "0.213052, 0.324143, 0.392264, 0.504655, 0.692539, 1.00419, 1.52594", \ + "0.2724, 0.391406, 0.459033, 0.571113, 0.758131, 1.07051, 1.59034", \ + "0.351388, 0.486513, 0.554008, 0.665582, 0.852397, 1.16387, 1.68342", \ + "0.449916, 0.613233, 0.685677, 0.795624, 0.982373, 1.29357, 1.81311" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0296859, 0.162727, 0.258957, 0.418749, 0.686718, 1.13312, 1.87843", \ + "0.0312794, 0.163076, 0.259005, 0.419273, 0.686719, 1.13376, 1.87844", \ + "0.0346049, 0.164041, 0.25966, 0.419274, 0.687798, 1.13523, 1.87845", \ + "0.0414546, 0.166078, 0.261142, 0.420133, 0.687799, 1.13878, 1.87995", \ + "0.0545211, 0.170393, 0.26324, 0.421791, 0.689043, 1.13879, 1.87996", \ + "0.073659, 0.181374, 0.269239, 0.424345, 0.690804, 1.1388, 1.87997", \ + "0.101719, 0.20489, 0.286972, 0.433455, 0.69544, 1.1399, 1.88226" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0842183, 0.175639, 0.23358, 0.329161, 0.488888, 0.75517, 1.19839", \ + "0.123684, 0.215739, 0.273915, 0.369536, 0.529555, 0.795314, 1.23882", \ + "0.154215, 0.248225, 0.306409, 0.401783, 0.561745, 0.828054, 1.27136", \ + "0.199592, 0.296779, 0.355261, 0.451076, 0.610912, 0.877054, 1.32044", \ + "0.261939, 0.36555, 0.423302, 0.518739, 0.678545, 0.94356, 1.38748", \ + "0.336819, 0.45465, 0.513114, 0.607538, 0.766251, 1.03023, 1.47328", \ + "0.42997, 0.573161, 0.633066, 0.728531, 0.886761, 1.15131, 1.59047" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0235933, 0.131127, 0.208126, 0.336161, 0.55074, 0.908353, 1.50443", \ + "0.0252873, 0.131347, 0.208366, 0.336667, 0.550898, 0.908857, 1.50456", \ + "0.0285215, 0.132235, 0.20869, 0.336671, 0.551589, 0.908858, 1.5054", \ + "0.0352013, 0.134849, 0.210396, 0.337499, 0.55159, 0.908927, 1.50541", \ + "0.0464289, 0.140144, 0.213482, 0.339534, 0.553197, 0.909955, 1.5058", \ + "0.065188, 0.150953, 0.220495, 0.343197, 0.555723, 0.911991, 1.50638", \ + "0.093499, 0.176299, 0.23915, 0.354224, 0.561172, 0.916193, 1.51059" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.110191, 0.217471, 0.28548, 0.39765, 0.584842, 0.89649, 1.41624", \ + "0.147159, 0.254757, 0.322926, 0.434943, 0.624094, 0.934494, 1.45408", \ + "0.17655, 0.285536, 0.353808, 0.465973, 0.653338, 0.966538, 1.48463", \ + "0.222335, 0.333574, 0.402031, 0.514343, 0.701557, 1.0133, 1.53292", \ + "0.286502, 0.404442, 0.472902, 0.584536, 0.771982, 1.08415, 1.60389", \ + "0.3706, 0.504132, 0.573524, 0.685523, 0.872545, 1.18426, 1.70397", \ + "0.480717, 0.643175, 0.714512, 0.827818, 1.01509, 1.32676, 1.84576" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0297415, 0.162723, 0.259008, 0.418718, 0.686685, 1.13312, 1.87835", \ + "0.0306158, 0.16296, 0.259009, 0.419477, 0.688645, 1.13362, 1.87836", \ + "0.032835, 0.16356, 0.259264, 0.419478, 0.688646, 1.13486, 1.87837", \ + "0.0377183, 0.165426, 0.260374, 0.419579, 0.688647, 1.13487, 1.87838", \ + "0.0480639, 0.169117, 0.262482, 0.421025, 0.688648, 1.13488, 1.87839", \ + "0.065526, 0.179163, 0.268455, 0.423699, 0.689691, 1.13571, 1.87862", \ + "0.090705, 0.203234, 0.283926, 0.432998, 0.69443, 1.13822, 1.88134" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0915136, 0.183825, 0.242102, 0.338047, 0.497807, 0.764186, 1.20752", \ + "0.132767, 0.225714, 0.28411, 0.37992, 0.539789, 0.80603, 1.24975", \ + "0.166052, 0.260318, 0.318859, 0.414664, 0.574854, 0.841206, 1.28451", \ + "0.216031, 0.313365, 0.372042, 0.468256, 0.628234, 0.894542, 1.33822", \ + "0.285448, 0.388747, 0.446991, 0.543019, 0.703413, 0.96945, 1.41292", \ + "0.371075, 0.486549, 0.5447, 0.640534, 0.800449, 1.06657, 1.51019", \ + "0.480199, 0.618465, 0.679164, 0.775284, 0.934395, 1.20026, 1.64246" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.024547, 0.131788, 0.208851, 0.336829, 0.551253, 0.908872, 1.50516", \ + "0.0255815, 0.132063, 0.20888, 0.337405, 0.551254, 0.908902, 1.50517", \ + "0.028448, 0.13284, 0.209312, 0.337406, 0.551331, 0.909713, 1.50518", \ + "0.034294, 0.134964, 0.210823, 0.337873, 0.551808, 0.909714, 1.50746", \ + "0.0443504, 0.139881, 0.21356, 0.339764, 0.553278, 0.910459, 1.50747", \ + "0.061693, 0.148985, 0.219322, 0.343245, 0.556393, 0.912337, 1.50748", \ + "0.087419, 0.170843, 0.234225, 0.35188, 0.560293, 0.915675, 1.50959" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00526516, 0.00559489, 0.00559805, 0.00555819, 0.00548839, 0.0053092, 0.00537151", \ + "0.00501438, 0.00533204, 0.00537407, 0.00533418, 0.00523696, 0.00510774, 0.00515797", \ + "0.00500055, 0.00523616, 0.00531192, 0.00525664, 0.00524047, 0.00516592, 0.00505437", \ + "0.00503446, 0.00519455, 0.00519743, 0.0052552, 0.00514299, 0.00524828, 0.00514366", \ + "0.00527661, 0.005424, 0.00545367, 0.00542813, 0.00533553, 0.00542826, 0.00530613", \ + "0.00593182, 0.00599682, 0.00599491, 0.0060484, 0.0060343, 0.00575592, 0.00612242", \ + "0.00744979, 0.00727008, 0.0073918, 0.00733092, 0.00736288, 0.00737939, 0.00715359" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00462176, 0.0049757, 0.00495873, 0.00492055, 0.00485517, 0.00472236, 0.00445332", \ + "0.00431501, 0.00471667, 0.00473973, 0.00468299, 0.00462642, 0.00446659, 0.00424103", \ + "0.00425508, 0.00456891, 0.00463347, 0.00460943, 0.00457962, 0.00442899, 0.00418065", \ + "0.00433251, 0.00461051, 0.00460312, 0.00463511, 0.0046348, 0.00459014, 0.00424259", \ + "0.00456749, 0.00475583, 0.00475584, 0.00475327, 0.00461489, 0.00471989, 0.00429949", \ + "0.00523378, 0.0052697, 0.00533316, 0.0053227, 0.0052889, 0.00492089, 0.00489823", \ + "0.00674352, 0.00658504, 0.00656827, 0.00653188, 0.00651341, 0.00648835, 0.00621095" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00630241, 0.0066294, 0.00663256, 0.00659719, 0.00650249, 0.00632314, 0.00639693", \ + "0.00611744, 0.00643389, 0.00645143, 0.00645303, 0.00645611, 0.00619472, 0.00621114", \ + "0.00606645, 0.00635831, 0.00641765, 0.00635985, 0.00628814, 0.00622232, 0.00614642", \ + "0.00602667, 0.00623345, 0.00623967, 0.00634846, 0.0061654, 0.00605881, 0.00608264", \ + "0.00609166, 0.00626541, 0.00631108, 0.0062191, 0.00616542, 0.00610998, 0.00607311", \ + "0.00647764, 0.00654609, 0.00659554, 0.00665828, 0.00666422, 0.00637617, 0.00679071", \ + "0.00765716, 0.00763846, 0.00759578, 0.0076703, 0.00770834, 0.00777546, 0.00744053" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00473149, 0.00506867, 0.00507508, 0.00505876, 0.00497316, 0.00483933, 0.00457845", \ + "0.00451632, 0.00491942, 0.00487687, 0.00488228, 0.00477554, 0.0046719, 0.0044361", \ + "0.00446468, 0.00475458, 0.00482084, 0.00480266, 0.0047591, 0.00463088, 0.00437208", \ + "0.00452346, 0.00479398, 0.00479378, 0.00482936, 0.00478779, 0.00469551, 0.00459566", \ + "0.00476961, 0.00497906, 0.00500773, 0.00500674, 0.00492937, 0.00518228, 0.00455599", \ + "0.00534848, 0.00539278, 0.00540937, 0.00546979, 0.00549708, 0.00520126, 0.00523447", \ + "0.00685739, 0.0066875, 0.0066778, 0.00669016, 0.00674667, 0.00673952, 0.00656585" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00239209; + rise_capacitance : 0.00240679; + rise_capacitance_range (0.00240679, 0.00240679); + fall_capacitance : 0.00237739; + fall_capacitance_range (0.00237739, 0.00237739); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00238847; + rise_capacitance : 0.00242843; + rise_capacitance_range (0.00242843, 0.00242843); + fall_capacitance : 0.00234851; + fall_capacitance_range (0.00234851, 0.00234851); + } + } + cell (sg13g2_and2_2) { + area : 10.8864; + cell_footprint : "AND2"; + cell_leakage_power : 1027.4; + leakage_power () { + value : 989.914; + when : "A&B"; + } + leakage_power () { + value : 1069.62; + when : "!A&B"; + } + leakage_power () { + value : 1057.75; + when : "A&!B"; + } + leakage_power () { + value : 992.316; + when : "!A&!B"; + } + pin (X) { + direction : "output"; + function : "(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.127882, 0.249338, 0.318197, 0.430834, 0.618255, 0.93032, 1.45043", \ + "0.167303, 0.289084, 0.357864, 0.470371, 0.657868, 0.970704, 1.48992", \ + "0.201366, 0.324682, 0.393419, 0.505851, 0.693653, 1.00581, 1.52553", \ + "0.254286, 0.382055, 0.450826, 0.563569, 0.75106, 1.06327, 1.58343", \ + "0.329121, 0.466678, 0.535027, 0.646368, 0.833514, 1.14514, 1.66459", \ + "0.431168, 0.589514, 0.65828, 0.769718, 0.954403, 1.26597, 1.78458", \ + "0.566055, 0.758288, 0.831368, 0.942812, 1.1288, 1.43827, 1.95583" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0351866, 0.168771, 0.263496, 0.422717, 0.690541, 1.13768, 1.88168", \ + "0.0362381, 0.168772, 0.263537, 0.422718, 0.690542, 1.13769, 1.88169", \ + "0.0399747, 0.169694, 0.264026, 0.42293, 0.691223, 1.1377, 1.88226", \ + "0.0483216, 0.172583, 0.265899, 0.423838, 0.691224, 1.14307, 1.88227", \ + "0.0638221, 0.179755, 0.270211, 0.426069, 0.692695, 1.14308, 1.88269", \ + "0.08859, 0.196527, 0.279677, 0.431497, 0.695553, 1.14309, 1.8837", \ + "0.125316, 0.231497, 0.304959, 0.445834, 0.702979, 1.14452, 1.88666" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.103714, 0.207235, 0.265888, 0.361829, 0.522067, 0.788288, 1.2319", \ + "0.145976, 0.249906, 0.308625, 0.404514, 0.564604, 0.831617, 1.27448", \ + "0.182171, 0.287648, 0.346568, 0.442647, 0.602664, 0.868928, 1.31261", \ + "0.237462, 0.347674, 0.406721, 0.502928, 0.662903, 0.929187, 1.3723", \ + "0.315109, 0.434555, 0.49342, 0.588974, 0.748998, 1.01488, 1.45801", \ + "0.414513, 0.550085, 0.610677, 0.705706, 0.861969, 1.1265, 1.56848", \ + "0.542622, 0.708379, 0.771766, 0.868469, 1.02431, 1.28732, 1.72876" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0279764, 0.136702, 0.21278, 0.340436, 0.554878, 0.912762, 1.51062", \ + "0.0290393, 0.136811, 0.212878, 0.340581, 0.554941, 0.914046, 1.51063", \ + "0.0327541, 0.137849, 0.213476, 0.340742, 0.555709, 0.914047, 1.51064", \ + "0.0409407, 0.141312, 0.215459, 0.341634, 0.55571, 0.914048, 1.51133", \ + "0.0544997, 0.150225, 0.220922, 0.344652, 0.557344, 0.914049, 1.51134", \ + "0.077273, 0.165264, 0.232575, 0.351958, 0.561961, 0.917246, 1.51135", \ + "0.113941, 0.198094, 0.256757, 0.367935, 0.570606, 0.921978, 1.51541" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.134701, 0.256096, 0.324942, 0.437451, 0.625187, 0.937575, 1.45677", \ + "0.17344, 0.295211, 0.363909, 0.476343, 0.663922, 0.976683, 1.49592", \ + "0.20597, 0.328814, 0.397721, 0.510239, 0.697962, 1.00998, 1.53045", \ + "0.258757, 0.385802, 0.454694, 0.567216, 0.754785, 1.06698, 1.58702", \ + "0.336667, 0.471952, 0.540572, 0.651109, 0.838574, 1.15027, 1.66963", \ + "0.44444, 0.5979, 0.667712, 0.778334, 0.964816, 1.27661, 1.79494", \ + "0.587473, 0.771238, 0.846287, 0.957672, 1.14534, 1.45567, 1.97274" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0351516, 0.168714, 0.26357, 0.422717, 0.690404, 1.13727, 1.88168", \ + "0.0356916, 0.168715, 0.263571, 0.422718, 0.69057, 1.13805, 1.88169", \ + "0.0381172, 0.169352, 0.263814, 0.422723, 0.690689, 1.13806, 1.88236", \ + "0.0434311, 0.171882, 0.265254, 0.423467, 0.69069, 1.13807, 1.88237", \ + "0.0546544, 0.178096, 0.268978, 0.425659, 0.691795, 1.13808, 1.88254", \ + "0.075637, 0.191586, 0.278133, 0.430279, 0.694455, 1.13958, 1.88289", \ + "0.108642, 0.220984, 0.300536, 0.443502, 0.701597, 1.14321, 1.88568" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.110707, 0.215165, 0.27407, 0.370199, 0.530534, 0.797087, 1.24061", \ + "0.154135, 0.258881, 0.317834, 0.413992, 0.574228, 0.841093, 1.28513", \ + "0.192045, 0.298295, 0.357303, 0.453575, 0.613889, 0.880603, 1.32404", \ + "0.250969, 0.361117, 0.420448, 0.516532, 0.67686, 0.943188, 1.3868", \ + "0.334003, 0.453966, 0.513187, 0.609136, 0.769635, 1.03572, 1.47964", \ + "0.441957, 0.577385, 0.638017, 0.733734, 0.89323, 1.15806, 1.60129", \ + "0.581766, 0.746319, 0.810846, 0.906993, 1.06536, 1.32939, 1.77221" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.028896, 0.137432, 0.213625, 0.340938, 0.55543, 0.913264, 1.50992", \ + "0.0295278, 0.137477, 0.213626, 0.340939, 0.555488, 0.913778, 1.51004", \ + "0.032706, 0.138403, 0.214031, 0.341173, 0.555503, 0.914151, 1.51062", \ + "0.040072, 0.141441, 0.21585, 0.342087, 0.556072, 0.914152, 1.51063", \ + "0.0534057, 0.149152, 0.220667, 0.344866, 0.557764, 0.91432, 1.51107", \ + "0.074118, 0.164056, 0.232012, 0.351503, 0.561881, 0.916775, 1.51169", \ + "0.109019, 0.192948, 0.253234, 0.365313, 0.569078, 0.922522, 1.51633" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00816723, 0.00879899, 0.0088475, 0.00883712, 0.0086558, 0.00873181, 0.00844055", \ + "0.00795912, 0.00858572, 0.00863193, 0.00861739, 0.00849654, 0.00824596, 0.00827608", \ + "0.00796717, 0.00844908, 0.00856818, 0.00862322, 0.00842337, 0.00840527, 0.00822405", \ + "0.00805637, 0.00833554, 0.00839211, 0.00866059, 0.008413, 0.00848561, 0.0084118", \ + "0.00844025, 0.00846315, 0.00849522, 0.00835575, 0.00833499, 0.00841992, 0.00834916", \ + "0.00936003, 0.00900294, 0.00897667, 0.00901545, 0.00883176, 0.00828657, 0.00908922", \ + "0.0113249, 0.0102975, 0.0101993, 0.0102624, 0.0104268, 0.0104025, 0.00951751" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00734306, 0.00815727, 0.008172, 0.00812295, 0.00800281, 0.00771974, 0.00723162", \ + "0.00707429, 0.00797162, 0.00793115, 0.0079203, 0.0077866, 0.00770441, 0.00704717", \ + "0.00704865, 0.00768536, 0.00787271, 0.00778688, 0.00774978, 0.00744924, 0.00694099", \ + "0.00713337, 0.00761432, 0.00760928, 0.00774626, 0.00758911, 0.00731647, 0.00701857", \ + "0.00747318, 0.007716, 0.00780115, 0.00777174, 0.00770475, 0.00820142, 0.00701223", \ + "0.00835156, 0.00809938, 0.00814956, 0.00817863, 0.00803218, 0.00743631, 0.00749401", \ + "0.010542, 0.00935676, 0.00927558, 0.00938811, 0.00901812, 0.00898465, 0.0082015" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0091919, 0.00980357, 0.00983216, 0.00986238, 0.0096472, 0.00968912, 0.00939258", \ + "0.00903133, 0.00970832, 0.0097362, 0.00971294, 0.00958134, 0.00968224, 0.0093596", \ + "0.00902186, 0.00954967, 0.00965138, 0.00974441, 0.00948148, 0.00951679, 0.00932831", \ + "0.00901788, 0.00945434, 0.00948921, 0.00974419, 0.00949098, 0.00942077, 0.00925595", \ + "0.00919121, 0.00942673, 0.00945583, 0.00922572, 0.00915985, 0.00940398, 0.00942854", \ + "0.00977485, 0.009675, 0.00971828, 0.00962982, 0.00960207, 0.00900001, 0.00981337", \ + "0.0113096, 0.0105478, 0.0105695, 0.0105323, 0.010708, 0.0106689, 0.00973885" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00743432, 0.00818264, 0.0081891, 0.00816828, 0.00804951, 0.00781968, 0.00724335", \ + "0.00725193, 0.00805021, 0.00817089, 0.00806582, 0.00794793, 0.00774867, 0.00727815", \ + "0.00725308, 0.0078499, 0.00803014, 0.00792131, 0.00783013, 0.00765054, 0.00712809", \ + "0.00732328, 0.00775147, 0.00779985, 0.00789944, 0.00774837, 0.00750774, 0.00718617", \ + "0.00776772, 0.00795219, 0.00808048, 0.00805536, 0.00791335, 0.00854016, 0.00761736", \ + "0.00846745, 0.0083405, 0.00841057, 0.00844721, 0.00854311, 0.00782488, 0.00823401", \ + "0.0105657, 0.00949053, 0.00954719, 0.00955822, 0.00952429, 0.00954894, 0.00892017" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00239005; + rise_capacitance : 0.00240572; + rise_capacitance_range (0.00240572, 0.00240572); + fall_capacitance : 0.00237438; + fall_capacitance_range (0.00237438, 0.00237438); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00238645; + rise_capacitance : 0.00243013; + rise_capacitance_range (0.00243013, 0.00243013); + fall_capacitance : 0.00234276; + fall_capacitance_range (0.00234276, 0.00234276); + } + } + cell (sg13g2_and3_1) { + area : 12.7008; + cell_footprint : "AND3"; + cell_leakage_power : 629.038; + leakage_power () { + value : 1214.7; + when : "A&B&C"; + } + leakage_power () { + value : 508.2; + when : "!A&!B&!C"; + } + leakage_power () { + value : 518.08; + when : "!A&!B&C"; + } + leakage_power () { + value : 515.405; + when : "!A&B&!C"; + } + leakage_power () { + value : 595.396; + when : "!A&B&C"; + } + leakage_power () { + value : 516.575; + when : "A&!B&!C"; + } + leakage_power () { + value : 583.505; + when : "A&!B&C"; + } + leakage_power () { + value : 580.441; + when : "A&B&!C"; + } + pin (X) { + direction : "output"; + function : "(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.143504, 0.26151, 0.330459, 0.442806, 0.629793, 0.941736, 1.46022", \ + "0.177038, 0.295346, 0.364185, 0.476402, 0.663702, 0.975742, 1.49404", \ + "0.207452, 0.326852, 0.39601, 0.508269, 0.695606, 1.00716, 1.52619", \ + "0.255282, 0.377787, 0.447312, 0.559931, 0.747285, 1.05913, 1.57783", \ + "0.322744, 0.451848, 0.520845, 0.632605, 0.819991, 1.13188, 1.65106", \ + "0.413486, 0.560732, 0.630051, 0.740775, 0.928123, 1.23919, 1.75866", \ + "0.53166, 0.708406, 0.783, 0.894829, 1.08164, 1.39165, 1.91037" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0392606, 0.169238, 0.263262, 0.421509, 0.688457, 1.13455, 1.87732", \ + "0.0398497, 0.169405, 0.263263, 0.421868, 0.688746, 1.13523, 1.87827", \ + "0.0423924, 0.170489, 0.263948, 0.421869, 0.689288, 1.13524, 1.87828", \ + "0.0485657, 0.173074, 0.266124, 0.423331, 0.689289, 1.13574, 1.87829", \ + "0.0613507, 0.177981, 0.269185, 0.425684, 0.691423, 1.13624, 1.8783", \ + "0.080938, 0.190699, 0.276198, 0.429047, 0.693618, 1.13871, 1.88066", \ + "0.109283, 0.216887, 0.295895, 0.439781, 0.698908, 1.14192, 1.88372" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0906239, 0.183426, 0.241654, 0.337234, 0.496981, 0.763075, 1.20647", \ + "0.131119, 0.224695, 0.282898, 0.378577, 0.538345, 0.804698, 1.24782", \ + "0.163086, 0.258491, 0.316886, 0.412588, 0.572529, 0.838271, 1.28157", \ + "0.21085, 0.310331, 0.368981, 0.464815, 0.624891, 0.890905, 1.33426", \ + "0.276366, 0.382822, 0.439824, 0.535312, 0.694645, 0.960701, 1.40369", \ + "0.354681, 0.477045, 0.536041, 0.631405, 0.790099, 1.05476, 1.497", \ + "0.451984, 0.602021, 0.664321, 0.759145, 0.919448, 1.18159, 1.62163" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0247772, 0.131449, 0.208271, 0.336451, 0.550709, 0.908178, 1.50438", \ + "0.0261751, 0.131741, 0.208298, 0.336788, 0.550926, 0.908488, 1.50439", \ + "0.0293817, 0.132775, 0.20897, 0.336789, 0.551914, 0.908744, 1.5044", \ + "0.0360642, 0.135345, 0.210552, 0.337441, 0.551915, 0.908745, 1.50535", \ + "0.047245, 0.141119, 0.2144, 0.339515, 0.552907, 0.909391, 1.50536", \ + "0.066109, 0.152647, 0.221346, 0.343603, 0.556001, 0.911946, 1.50633", \ + "0.094648, 0.179397, 0.240602, 0.355281, 0.562015, 0.916083, 1.50935" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.15747, 0.275277, 0.344391, 0.456412, 0.643709, 0.955154, 1.47398", \ + "0.192329, 0.310628, 0.379357, 0.491632, 0.678926, 0.990511, 1.50931", \ + "0.222421, 0.341815, 0.410896, 0.523274, 0.710608, 1.02492, 1.54081", \ + "0.271299, 0.394021, 0.463424, 0.576061, 0.763503, 1.07502, 1.59393", \ + "0.342732, 0.472546, 0.541573, 0.653976, 0.841885, 1.15359, 1.67264", \ + "0.43918, 0.585869, 0.656525, 0.768407, 0.955829, 1.26722, 1.78661", \ + "0.567264, 0.743356, 0.818139, 0.932478, 1.12039, 1.43148, 1.94994" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0391095, 0.169386, 0.263202, 0.421385, 0.688691, 1.13448, 1.87745", \ + "0.0395148, 0.169387, 0.263203, 0.421966, 0.688692, 1.13449, 1.87806", \ + "0.0415597, 0.17006, 0.263639, 0.421967, 0.688982, 1.13779, 1.87807", \ + "0.0458194, 0.172492, 0.26544, 0.422652, 0.689111, 1.1378, 1.87808", \ + "0.0557141, 0.178138, 0.268691, 0.424805, 0.690604, 1.13781, 1.87864", \ + "0.073497, 0.189785, 0.276436, 0.428607, 0.692747, 1.13782, 1.87955", \ + "0.099677, 0.21523, 0.29437, 0.439502, 0.698228, 1.14033, 1.88224" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0984167, 0.192354, 0.250739, 0.346408, 0.506412, 0.77265, 1.21633", \ + "0.140163, 0.234612, 0.29303, 0.388864, 0.548807, 0.815109, 1.25868", \ + "0.174428, 0.270357, 0.328929, 0.424957, 0.584958, 0.85137, 1.29469", \ + "0.226593, 0.325835, 0.384637, 0.480698, 0.641009, 0.907312, 1.35099", \ + "0.298977, 0.405421, 0.46399, 0.560163, 0.720449, 0.986723, 1.43018", \ + "0.388522, 0.508027, 0.567132, 0.662953, 0.822591, 1.08891, 1.53252", \ + "0.501108, 0.645949, 0.708144, 0.804168, 0.963119, 1.22983, 1.67219" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0256664, 0.132272, 0.208905, 0.336806, 0.551326, 0.908837, 1.50488", \ + "0.0265839, 0.132439, 0.208948, 0.337151, 0.551705, 0.908884, 1.50489", \ + "0.0295005, 0.133244, 0.209485, 0.337152, 0.55192, 0.909441, 1.5057", \ + "0.0353975, 0.135472, 0.211044, 0.337789, 0.551921, 0.909442, 1.50693", \ + "0.0454449, 0.141091, 0.214133, 0.339727, 0.553231, 0.910373, 1.50694", \ + "0.062751, 0.150755, 0.220527, 0.343623, 0.556009, 0.911967, 1.50695", \ + "0.089198, 0.173887, 0.236569, 0.352904, 0.56069, 0.915487, 1.50949" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.163605, 0.281561, 0.350355, 0.462576, 0.649513, 0.96125, 1.47974", \ + "0.19806, 0.316242, 0.385272, 0.497337, 0.684679, 0.995967, 1.51605", \ + "0.226578, 0.345502, 0.414498, 0.526774, 0.713937, 1.02823, 1.54429", \ + "0.272649, 0.394688, 0.464135, 0.576543, 0.763809, 1.07554, 1.59441", \ + "0.341615, 0.469646, 0.539646, 0.651974, 0.83971, 1.15108, 1.66994", \ + "0.436003, 0.578892, 0.650445, 0.763147, 0.950791, 1.2615, 1.78086", \ + "0.555108, 0.72851, 0.805429, 0.919232, 1.10706, 1.41929, 1.93823" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0391869, 0.169293, 0.263353, 0.421519, 0.688672, 1.13449, 1.87811", \ + "0.0392012, 0.169294, 0.263354, 0.42216, 0.688673, 1.13457, 1.87848", \ + "0.0406759, 0.16977, 0.263674, 0.422161, 0.688674, 1.13772, 1.87849", \ + "0.0439586, 0.171821, 0.264931, 0.422236, 0.688812, 1.13773, 1.8785", \ + "0.0514543, 0.176602, 0.268167, 0.424346, 0.689844, 1.13774, 1.87851", \ + "0.066768, 0.187436, 0.275711, 0.428005, 0.691887, 1.13775, 1.87892", \ + "0.092307, 0.213725, 0.295395, 0.439953, 0.69805, 1.13908, 1.88119" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.103448, 0.198695, 0.25739, 0.353659, 0.513919, 0.780218, 1.22385", \ + "0.14623, 0.241808, 0.300628, 0.396779, 0.557039, 0.823524, 1.26727", \ + "0.182426, 0.279071, 0.337962, 0.434156, 0.594516, 0.860954, 1.30486", \ + "0.23783, 0.337583, 0.396683, 0.492961, 0.653473, 0.920032, 1.36391", \ + "0.315833, 0.42177, 0.481019, 0.577252, 0.737637, 1.00427, 1.44794", \ + "0.414189, 0.532808, 0.592116, 0.688217, 0.848416, 1.11504, 1.55848", \ + "0.541239, 0.68316, 0.74477, 0.840487, 1.00104, 1.26704, 1.70978" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0266566, 0.133378, 0.210083, 0.338077, 0.552051, 0.909477, 1.50556", \ + "0.0273026, 0.133523, 0.210109, 0.338078, 0.552064, 0.909575, 1.50568", \ + "0.0297893, 0.134255, 0.2106, 0.338079, 0.552308, 0.909603, 1.50569", \ + "0.0353427, 0.13616, 0.211651, 0.33852, 0.552572, 0.909784, 1.5057", \ + "0.0448783, 0.141198, 0.214778, 0.340403, 0.554142, 0.910458, 1.50687", \ + "0.061356, 0.15049, 0.220908, 0.344138, 0.556214, 0.912359, 1.50724", \ + "0.086269, 0.171566, 0.235228, 0.352711, 0.561369, 0.916494, 1.51011" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00643593, 0.00673366, 0.00674166, 0.00673181, 0.00664323, 0.00665293, 0.00652888", \ + "0.00621045, 0.00651383, 0.0065319, 0.00653757, 0.00645994, 0.00634826, 0.0063792", \ + "0.00618867, 0.00641956, 0.00645041, 0.00651207, 0.00639864, 0.00623547, 0.00627945", \ + "0.00623212, 0.00639954, 0.00643319, 0.00650812, 0.00642915, 0.00629201, 0.00627835", \ + "0.00648947, 0.00655241, 0.0065586, 0.00648507, 0.00642778, 0.00665255, 0.00635822", \ + "0.00712273, 0.00712583, 0.00708516, 0.0070755, 0.00709053, 0.00678724, 0.00716621", \ + "0.00855816, 0.00835794, 0.00836893, 0.00839218, 0.00838873, 0.00835253, 0.00803919" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00424035, 0.00458491, 0.00458729, 0.00457018, 0.00448421, 0.00433083, 0.00408292", \ + "0.00395861, 0.00436812, 0.00434878, 0.00434318, 0.00425486, 0.00413982, 0.00387295", \ + "0.00388381, 0.00418317, 0.00426219, 0.00425489, 0.00424353, 0.00404354, 0.00378698", \ + "0.00391272, 0.00419257, 0.00419551, 0.00424768, 0.00420229, 0.00406358, 0.00383785", \ + "0.00408855, 0.0042673, 0.00428325, 0.00428389, 0.00407267, 0.00419555, 0.00375391", \ + "0.00470744, 0.00471429, 0.0047674, 0.00476243, 0.00475226, 0.0043726, 0.00431186", \ + "0.00617849, 0.00597393, 0.00593201, 0.00593435, 0.00606633, 0.00583706, 0.00560724" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00702389, 0.00730539, 0.00734227, 0.00729602, 0.00723628, 0.00703516, 0.00708169", \ + "0.00686508, 0.00716983, 0.00719011, 0.00720803, 0.00710838, 0.00694638, 0.00704705", \ + "0.00682375, 0.00709167, 0.00710938, 0.0071246, 0.00704872, 0.00707889, 0.00694677", \ + "0.00680659, 0.0070117, 0.00702804, 0.00716335, 0.00705738, 0.00683496, 0.00690064", \ + "0.00689154, 0.00701755, 0.00702293, 0.00695308, 0.00694245, 0.00712122, 0.00698834", \ + "0.00723664, 0.00728714, 0.00729488, 0.00728803, 0.00730768, 0.00702015, 0.00733551", \ + "0.00832685, 0.00823343, 0.00820028, 0.00826039, 0.00833492, 0.00835659, 0.00797664" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00484676, 0.00519792, 0.00519973, 0.00516605, 0.00509888, 0.004966, 0.00471171", \ + "0.00460656, 0.00501676, 0.00499368, 0.00500464, 0.00491866, 0.00478191, 0.00453313", \ + "0.00454195, 0.00483161, 0.00491469, 0.00491449, 0.00487235, 0.0047111, 0.00444936", \ + "0.00456057, 0.0048281, 0.0048272, 0.00486143, 0.00484443, 0.00466221, 0.00457124", \ + "0.00474546, 0.00494565, 0.00498828, 0.00499275, 0.00491173, 0.00498498, 0.00464188", \ + "0.00531074, 0.0053412, 0.00536895, 0.00542945, 0.00540632, 0.0051849, 0.00523363", \ + "0.00679647, 0.00650974, 0.00649861, 0.00652073, 0.00656699, 0.00663658, 0.00646637" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00799106, 0.00827647, 0.00828374, 0.00826614, 0.00816024, 0.00800541, 0.00807251", \ + "0.00781905, 0.0081293, 0.00816931, 0.00818201, 0.00807452, 0.00791614, 0.00807955", \ + "0.00778102, 0.00805931, 0.00809366, 0.0082106, 0.0079813, 0.00802952, 0.00789336", \ + "0.00774082, 0.00796282, 0.00800174, 0.00812377, 0.00795534, 0.00778949, 0.00786384", \ + "0.00775825, 0.00791178, 0.00796285, 0.0078831, 0.00786538, 0.00809522, 0.00791383", \ + "0.00800612, 0.00805187, 0.00809658, 0.00810326, 0.00811051, 0.00778406, 0.00805784", \ + "0.00888071, 0.00885101, 0.00886742, 0.00887641, 0.00892836, 0.00897066, 0.00863825" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.004989, 0.00530724, 0.00531925, 0.00530246, 0.00522567, 0.0050796, 0.00480552", \ + "0.00479158, 0.00517802, 0.00518016, 0.00515055, 0.00509846, 0.0049683, 0.00469299", \ + "0.00475052, 0.00503687, 0.00512729, 0.00509083, 0.00504414, 0.00488938, 0.0046592", \ + "0.00475778, 0.00500714, 0.00501349, 0.00504905, 0.00500478, 0.00486261, 0.00464913", \ + "0.00494335, 0.00511607, 0.00518437, 0.00517194, 0.00510303, 0.00523432, 0.00485334", \ + "0.00550601, 0.0055339, 0.00555005, 0.00561183, 0.00560579, 0.00534003, 0.00545425", \ + "0.00689893, 0.00670828, 0.00667035, 0.00666529, 0.00672979, 0.00675942, 0.00656986" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00226901; + rise_capacitance : 0.00232854; + rise_capacitance_range (0.00232854, 0.00232854); + fall_capacitance : 0.00220949; + fall_capacitance_range (0.00220949, 0.00220949); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000409089, -0.000405273, -0.000407016, -0.000412233, -0.000422587, -0.000436651, -0.00045313" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000409089, 0.000405273, 0.000407016, 0.000412233, 0.000422587, 0.000436651, 0.00045313" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00234111; + rise_capacitance : 0.00237712; + rise_capacitance_range (0.00237712, 0.00237712); + fall_capacitance : 0.0023051; + fall_capacitance_range (0.0023051, 0.0023051); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00234829; + rise_capacitance : 0.00238669; + rise_capacitance_range (0.00238669, 0.00238669); + fall_capacitance : 0.00230989; + fall_capacitance_range (0.00230989, 0.00230989); + } + } + cell (sg13g2_and3_2) { + area : 12.7008; + cell_footprint : "AND3"; + cell_leakage_power : 1063.9; + leakage_power () { + value : 1349.75; + when : "A&B&C"; + } + leakage_power () { + value : 985.887; + when : "!A&!B&!C"; + } + leakage_power () { + value : 995.766; + when : "!A&!B&C"; + } + leakage_power () { + value : 993.091; + when : "!A&B&!C"; + } + leakage_power () { + value : 1073.09; + when : "!A&B&C"; + } + leakage_power () { + value : 994.262; + when : "A&!B&!C"; + } + leakage_power () { + value : 1061.19; + when : "A&!B&C"; + } + leakage_power () { + value : 1058.13; + when : "A&B&!C"; + } + pin (X) { + direction : "output"; + function : "(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.178443, 0.317636, 0.388762, 0.502146, 0.690174, 1.00338, 1.52462", \ + "0.213353, 0.352951, 0.42403, 0.537738, 0.725938, 1.03895, 1.56053", \ + "0.247315, 0.387628, 0.458746, 0.572406, 0.760816, 1.07376, 1.59666", \ + "0.303585, 0.447381, 0.518944, 0.633059, 0.821357, 1.13418, 1.65513", \ + "0.384987, 0.53905, 0.609747, 0.722667, 0.911369, 1.22379, 1.74543", \ + "0.500735, 0.672628, 0.744772, 0.85846, 1.04567, 1.35714, 1.87665", \ + "0.649667, 0.857222, 0.934622, 1.04928, 1.23593, 1.54726, 2.06493" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0479006, 0.181926, 0.274171, 0.431025, 0.697843, 1.14546, 1.89159", \ + "0.0481409, 0.181927, 0.274172, 0.431026, 0.697844, 1.14547, 1.89229", \ + "0.0505803, 0.182608, 0.274631, 0.431336, 0.697955, 1.14548, 1.89293", \ + "0.0579011, 0.185898, 0.277074, 0.432307, 0.698571, 1.14548, 1.89294", \ + "0.0722905, 0.19415, 0.282268, 0.435993, 0.700948, 1.14682, 1.89295", \ + "0.097279, 0.210061, 0.293023, 0.442052, 0.704251, 1.14931, 1.89408", \ + "0.136066, 0.248173, 0.320128, 0.458464, 0.712904, 1.15341, 1.89736" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.109337, 0.214957, 0.273791, 0.369696, 0.529922, 0.796251, 1.23971", \ + "0.152316, 0.258214, 0.317084, 0.413107, 0.573208, 0.839845, 1.2831", \ + "0.189259, 0.296776, 0.355787, 0.451915, 0.612007, 0.878577, 1.32193", \ + "0.246193, 0.359084, 0.418042, 0.514211, 0.674212, 0.940306, 1.38369", \ + "0.326145, 0.448739, 0.50853, 0.604584, 0.764361, 1.03056, 1.47406", \ + "0.429088, 0.569057, 0.629434, 0.725654, 0.881476, 1.146, 1.58842", \ + "0.560492, 0.729448, 0.798194, 0.893697, 1.05145, 1.31574, 1.75622" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0289712, 0.137386, 0.213355, 0.340669, 0.554855, 0.912717, 1.50926", \ + "0.0298132, 0.137526, 0.213356, 0.34067, 0.555173, 0.913221, 1.50927", \ + "0.0332334, 0.138659, 0.213759, 0.340687, 0.555174, 0.91351, 1.50928", \ + "0.0411562, 0.142257, 0.21588, 0.341865, 0.555659, 0.913511, 1.51032", \ + "0.0547974, 0.150728, 0.221494, 0.344932, 0.556989, 0.913647, 1.51048", \ + "0.076847, 0.167026, 0.233492, 0.352345, 0.561286, 0.91645, 1.51118", \ + "0.113291, 0.20145, 0.259113, 0.369851, 0.570854, 0.92204, 1.51578" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.192224, 0.331822, 0.402133, 0.515412, 0.703821, 1.01663, 1.53803", \ + "0.228018, 0.367938, 0.43859, 0.552214, 0.740784, 1.05358, 1.57472", \ + "0.260531, 0.400871, 0.471698, 0.585613, 0.774058, 1.08731, 1.60836", \ + "0.315523, 0.459807, 0.530891, 0.644764, 0.83303, 1.14599, 1.66723", \ + "0.39992, 0.551367, 0.622113, 0.736075, 0.92487, 1.23773, 1.75859", \ + "0.52031, 0.687951, 0.761906, 0.874676, 1.06244, 1.37509, 1.89589", \ + "0.682925, 0.883373, 0.962067, 1.07621, 1.26548, 1.5773, 2.09689" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.047913, 0.182235, 0.274298, 0.431063, 0.698032, 1.14556, 1.8916", \ + "0.0480159, 0.182236, 0.274299, 0.431064, 0.698033, 1.14557, 1.89161", \ + "0.049612, 0.182377, 0.274481, 0.431463, 0.69835, 1.14569, 1.89162", \ + "0.054385, 0.185542, 0.276475, 0.43204, 0.698351, 1.1457, 1.89203", \ + "0.0647949, 0.191681, 0.28155, 0.435366, 0.70014, 1.14655, 1.89204", \ + "0.085855, 0.206058, 0.290532, 0.441302, 0.703598, 1.14859, 1.89323", \ + "0.120249, 0.238059, 0.316774, 0.455968, 0.711894, 1.15222, 1.89662" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.116986, 0.223509, 0.282667, 0.378775, 0.539125, 0.805617, 1.24924", \ + "0.160526, 0.267329, 0.326508, 0.422723, 0.583014, 0.84982, 1.29389", \ + "0.1991, 0.307297, 0.366547, 0.462816, 0.623089, 0.889763, 1.33347", \ + "0.259439, 0.372136, 0.431375, 0.527724, 0.687919, 0.954307, 1.39831", \ + "0.344944, 0.467532, 0.527412, 0.623481, 0.783765, 1.05016, 1.49394", \ + "0.455599, 0.595281, 0.656637, 0.752595, 0.911879, 1.17881, 1.62197", \ + "0.601154, 0.766506, 0.834593, 0.931272, 1.08937, 1.35366, 1.79569" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.029806, 0.138343, 0.214158, 0.341194, 0.555431, 0.913226, 1.5105", \ + "0.0303068, 0.138344, 0.214159, 0.341295, 0.555432, 0.91373, 1.51063", \ + "0.0333009, 0.139195, 0.214493, 0.341298, 0.55558, 0.913731, 1.51064", \ + "0.040471, 0.142392, 0.216183, 0.342213, 0.556167, 0.913732, 1.51073", \ + "0.0537279, 0.14991, 0.221314, 0.344951, 0.557377, 0.914004, 1.51087", \ + "0.074158, 0.166014, 0.233164, 0.352015, 0.56154, 0.916954, 1.5116", \ + "0.108318, 0.196527, 0.255646, 0.366413, 0.569885, 0.922112, 1.51597" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.198447, 0.337389, 0.408165, 0.521556, 0.709501, 1.02271, 1.54456", \ + "0.233441, 0.373495, 0.444213, 0.557785, 0.746394, 1.05909, 1.58007", \ + "0.263345, 0.403507, 0.474447, 0.588201, 0.776668, 1.08972, 1.61156", \ + "0.313482, 0.456884, 0.528143, 0.641773, 0.830117, 1.14342, 1.66454", \ + "0.392014, 0.542011, 0.613733, 0.727953, 0.916399, 1.22936, 1.75072", \ + "0.506069, 0.67112, 0.744794, 0.857652, 1.0465, 1.35852, 1.88051", \ + "0.658619, 0.85375, 0.933778, 1.05155, 1.23852, 1.55091, 2.07071" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0480569, 0.182227, 0.274397, 0.431074, 0.697972, 1.1456, 1.8916", \ + "0.0480579, 0.182228, 0.27452, 0.431114, 0.697973, 1.14561, 1.89161", \ + "0.0490489, 0.182229, 0.274521, 0.431199, 0.698322, 1.14561, 1.89259", \ + "0.0526237, 0.184854, 0.276019, 0.431745, 0.698345, 1.14562, 1.8926", \ + "0.0602314, 0.191106, 0.280404, 0.43451, 0.699634, 1.14619, 1.89261", \ + "0.077233, 0.202787, 0.289154, 0.440874, 0.702705, 1.14808, 1.89262", \ + "0.10861, 0.234199, 0.314229, 0.45733, 0.711266, 1.15184, 1.89538" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.122171, 0.230126, 0.28946, 0.385825, 0.546379, 0.813191, 1.25714", \ + "0.166279, 0.274355, 0.33366, 0.430239, 0.590834, 0.857732, 1.30208", \ + "0.206067, 0.315217, 0.374625, 0.471316, 0.631823, 0.898452, 1.34249", \ + "0.269121, 0.382227, 0.441812, 0.538268, 0.698943, 0.965486, 1.40946", \ + "0.359302, 0.482649, 0.542283, 0.638891, 0.798884, 1.06577, 1.50971", \ + "0.476973, 0.616181, 0.677091, 0.773629, 0.93352, 1.19979, 1.64264", \ + "0.635252, 0.797761, 0.862118, 0.962493, 1.12114, 1.38613, 1.82833" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0308106, 0.139442, 0.215153, 0.342223, 0.556521, 0.913903, 1.51029", \ + "0.0310657, 0.139443, 0.215154, 0.342224, 0.556522, 0.914027, 1.5105", \ + "0.0336964, 0.140062, 0.215393, 0.342225, 0.556523, 0.914028, 1.51051", \ + "0.0404006, 0.142958, 0.216834, 0.343109, 0.556796, 0.914478, 1.51134", \ + "0.0532949, 0.150194, 0.221492, 0.345382, 0.558046, 0.915172, 1.51135", \ + "0.072736, 0.165744, 0.232594, 0.352307, 0.561457, 0.916952, 1.51207", \ + "0.10528, 0.19332, 0.252773, 0.36582, 0.570017, 0.922514, 1.51612" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00943143, 0.00987062, 0.00995957, 0.00994896, 0.00972038, 0.00947465, 0.00960495", \ + "0.00923914, 0.00974337, 0.00981985, 0.00987581, 0.00972784, 0.00942942, 0.00965788", \ + "0.0092078, 0.00961729, 0.00967755, 0.00964787, 0.00958033, 0.00927645, 0.00965361", \ + "0.00935918, 0.00945225, 0.00959468, 0.00982368, 0.00969893, 0.00917593, 0.00936942", \ + "0.00972312, 0.00966152, 0.0096582, 0.00953721, 0.00954036, 0.00981356, 0.00973829", \ + "0.0106731, 0.0101112, 0.0100613, 0.0100608, 0.00995305, 0.00935858, 0.0100684", \ + "0.0127091, 0.0113565, 0.0112577, 0.0112904, 0.0113613, 0.0112724, 0.0102442" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00699486, 0.00776155, 0.00779414, 0.00773751, 0.00760198, 0.0073283, 0.00680612", \ + "0.00673536, 0.00756871, 0.00769649, 0.00757187, 0.00745095, 0.00725959, 0.00671128", \ + "0.00670389, 0.00733577, 0.00752157, 0.00741663, 0.00730983, 0.00716145, 0.00659214", \ + "0.00673738, 0.00722157, 0.00720691, 0.00734679, 0.00721982, 0.0069429, 0.00660049", \ + "0.00704348, 0.00727921, 0.00739997, 0.00739376, 0.00729289, 0.00761623, 0.00690338", \ + "0.00787982, 0.00761579, 0.00757218, 0.00771108, 0.00743127, 0.00669511, 0.00692879", \ + "0.00979862, 0.00884006, 0.00876705, 0.00871606, 0.00862364, 0.00866885, 0.00774391" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0100032, 0.0105031, 0.0104642, 0.0104139, 0.0103101, 0.0102509, 0.0101075", \ + "0.00987577, 0.0104124, 0.0104664, 0.010474, 0.0103768, 0.0103218, 0.0102519", \ + "0.00981927, 0.010307, 0.0103456, 0.0104853, 0.0102727, 0.0100196, 0.0101179", \ + "0.00987648, 0.0101498, 0.0101977, 0.0104187, 0.0102313, 0.00982215, 0.00997085", \ + "0.0100831, 0.0100815, 0.0101162, 0.0100094, 0.0100748, 0.00985147, 0.0102843", \ + "0.0106477, 0.0102855, 0.010315, 0.0102667, 0.01017, 0.00970923, 0.010607", \ + "0.0122487, 0.0111422, 0.0112596, 0.0111098, 0.0113103, 0.0113602, 0.0104596" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00756528, 0.00827692, 0.00833048, 0.00829099, 0.00814238, 0.0079117, 0.00738629", \ + "0.00736784, 0.00815388, 0.008243, 0.00820566, 0.00806064, 0.00787172, 0.0075007", \ + "0.00735142, 0.00793913, 0.00813859, 0.00806382, 0.00795535, 0.00778486, 0.00722867", \ + "0.00739034, 0.00783182, 0.0078188, 0.00794371, 0.00782029, 0.00759344, 0.00717289", \ + "0.00771331, 0.00790848, 0.00809791, 0.0080413, 0.00793735, 0.00806182, 0.00766583", \ + "0.00848407, 0.00830712, 0.00837077, 0.00840042, 0.00847066, 0.00808396, 0.00847341", \ + "0.0102795, 0.00940438, 0.00943874, 0.00938465, 0.00930521, 0.00931402, 0.00860401" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.010974, 0.0113472, 0.0113976, 0.011344, 0.0111162, 0.0108557, 0.0111036", \ + "0.0108419, 0.0113808, 0.0114956, 0.0114894, 0.0113603, 0.011292, 0.0112068", \ + "0.0107766, 0.0113007, 0.0113726, 0.0113336, 0.0112629, 0.0109408, 0.0111952", \ + "0.0108117, 0.0111049, 0.0111963, 0.011389, 0.0111077, 0.0111416, 0.0110255", \ + "0.0109836, 0.0110475, 0.0111314, 0.0110501, 0.011138, 0.0114329, 0.0113474", \ + "0.0112983, 0.0111337, 0.0111839, 0.011083, 0.0110622, 0.0104852, 0.0113923", \ + "0.0126294, 0.0118867, 0.0119362, 0.0121028, 0.0119792, 0.0121043, 0.0111681" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00765866, 0.00839152, 0.00841563, 0.00837014, 0.00825625, 0.00794745, 0.00748549", \ + "0.00752597, 0.00825539, 0.00833178, 0.00832256, 0.00820221, 0.00802569, 0.00758311", \ + "0.00751422, 0.00809422, 0.00832026, 0.0082204, 0.00810055, 0.00787636, 0.00737863", \ + "0.00758661, 0.0079898, 0.00800965, 0.00811613, 0.00800724, 0.00778606, 0.00739105", \ + "0.00793487, 0.00816279, 0.00827589, 0.00819918, 0.00809933, 0.00862188, 0.00793204", \ + "0.00864478, 0.00847918, 0.00845447, 0.00853287, 0.00864727, 0.00815924, 0.00835015", \ + "0.0104478, 0.00953742, 0.00945083, 0.0096183, 0.0095326, 0.00958838, 0.00886625" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00226979; + rise_capacitance : 0.00233016; + rise_capacitance_range (0.00233016, 0.00233016); + fall_capacitance : 0.00220943; + fall_capacitance_range (0.00220943, 0.00220943); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000403947, -0.000405788, -0.000406116, -0.000411735, -0.000420884, -0.000434207, -0.00045218" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000403947, 0.000405788, 0.000406116, 0.000411735, 0.000420884, 0.000434207, 0.00045218" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00234039; + rise_capacitance : 0.00237937; + rise_capacitance_range (0.00237937, 0.00237937); + fall_capacitance : 0.00230141; + fall_capacitance_range (0.00230141, 0.00230141); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00236646; + rise_capacitance : 0.00240882; + rise_capacitance_range (0.00240882, 0.00240882); + fall_capacitance : 0.0023241; + fall_capacitance_range (0.0023241, 0.0023241); + } + } + cell (sg13g2_and4_1) { + area : 14.5152; + cell_footprint : "AND4"; + cell_leakage_power : 599.24; + leakage_power () { + value : 508.394; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 511.647; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 509.931; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 521.53; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 508.727; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 518.841; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 516.156; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 598.844; + when : "!A&B&C&D"; + } + leakage_power () { + value : 510.568; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 519.988; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 517.359; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 586.931; + when : "A&!B&C&D"; + } + leakage_power () { + value : 518.912; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 583.826; + when : "A&B&!C&D"; + } + leakage_power () { + value : 581.66; + when : "A&B&C&!D"; + } + leakage_power () { + value : 1574.52; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.18715, 0.317401, 0.388423, 0.501715, 0.689143, 1.00143, 1.52137", \ + "0.216951, 0.348044, 0.418339, 0.531829, 0.719866, 1.03175, 1.55235", \ + "0.247514, 0.378677, 0.449795, 0.563261, 0.751, 1.06321, 1.58463", \ + "0.298126, 0.432548, 0.503655, 0.617562, 0.805646, 1.11773, 1.63875", \ + "0.371995, 0.512418, 0.583039, 0.695841, 0.884586, 1.19715, 1.71669", \ + "0.474458, 0.630471, 0.703545, 0.816065, 1.00454, 1.31684, 1.83729", \ + "0.61041, 0.79602, 0.872413, 0.988047, 1.17565, 1.48714, 2.00664" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0506086, 0.180949, 0.272646, 0.428524, 0.694424, 1.1406, 1.88486", \ + "0.050634, 0.18126, 0.272647, 0.428525, 0.694976, 1.14061, 1.88487", \ + "0.0523171, 0.181752, 0.273201, 0.428946, 0.694977, 1.14062, 1.88714", \ + "0.0575894, 0.185065, 0.275599, 0.430309, 0.695409, 1.14099, 1.88715", \ + "0.0694062, 0.190894, 0.279635, 0.433786, 0.698126, 1.14268, 1.88716", \ + "0.089539, 0.201956, 0.287276, 0.438039, 0.701229, 1.14548, 1.88832", \ + "0.11871, 0.229274, 0.30622, 0.449505, 0.706205, 1.14847, 1.89195" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0965949, 0.189589, 0.247647, 0.342811, 0.502078, 0.767283, 1.20918", \ + "0.138055, 0.231842, 0.289867, 0.385145, 0.544612, 0.80949, 1.25146", \ + "0.171251, 0.266689, 0.324926, 0.420235, 0.579571, 0.844667, 1.28649", \ + "0.221042, 0.320675, 0.379086, 0.474849, 0.634164, 0.899315, 1.34132", \ + "0.289274, 0.39587, 0.45378, 0.548913, 0.707983, 0.973031, 1.41444", \ + "0.371526, 0.494618, 0.55381, 0.648592, 0.806729, 1.07042, 1.51152", \ + "0.471231, 0.622504, 0.684544, 0.780793, 0.938955, 1.20192, 1.63961" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.026798, 0.13267, 0.208856, 0.336305, 0.550079, 0.906478, 1.50046", \ + "0.0279156, 0.132871, 0.208972, 0.336306, 0.550314, 0.906493, 1.50059", \ + "0.0311619, 0.133923, 0.209704, 0.336475, 0.551337, 0.907168, 1.50116", \ + "0.0376604, 0.136511, 0.211256, 0.337395, 0.551338, 0.907169, 1.50272", \ + "0.048787, 0.143317, 0.215194, 0.339797, 0.552328, 0.907819, 1.50273", \ + "0.067874, 0.154629, 0.222556, 0.344133, 0.554943, 0.910261, 1.50274", \ + "0.097074, 0.182842, 0.244687, 0.356492, 0.561028, 0.913326, 1.5052" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.207089, 0.337541, 0.40803, 0.52123, 0.708658, 1.02102, 1.54132", \ + "0.238768, 0.369765, 0.44032, 0.553607, 0.741602, 1.05395, 1.57389", \ + "0.268649, 0.399853, 0.470826, 0.584399, 0.772175, 1.08452, 1.60535", \ + "0.319024, 0.453477, 0.525147, 0.638863, 0.826653, 1.13898, 1.65947", \ + "0.395735, 0.536453, 0.607958, 0.721675, 0.909891, 1.22274, 1.7427", \ + "0.503526, 0.658346, 0.731906, 0.84567, 1.03417, 1.34681, 1.8673", \ + "0.649676, 0.835296, 0.912056, 1.02873, 1.21779, 1.52912, 2.04883" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0506614, 0.181604, 0.272777, 0.428643, 0.694428, 1.14038, 1.88488", \ + "0.0506624, 0.181605, 0.272778, 0.428661, 0.694429, 1.14042, 1.88489", \ + "0.0519877, 0.181606, 0.272966, 0.428809, 0.694679, 1.14052, 1.88616", \ + "0.0558809, 0.184283, 0.275118, 0.429825, 0.695225, 1.14053, 1.88617", \ + "0.0649407, 0.189833, 0.279422, 0.432833, 0.697271, 1.14189, 1.88618", \ + "0.082939, 0.20164, 0.287074, 0.438003, 0.700408, 1.14467, 1.88735", \ + "0.110051, 0.229604, 0.306856, 0.45038, 0.706424, 1.1472, 1.88993" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.104256, 0.198267, 0.256403, 0.352027, 0.511306, 0.776644, 1.21872", \ + "0.146643, 0.241153, 0.299366, 0.394915, 0.554274, 0.819622, 1.26156", \ + "0.181836, 0.27784, 0.336276, 0.431848, 0.591417, 0.856789, 1.29855", \ + "0.235491, 0.334857, 0.39353, 0.489385, 0.648927, 0.914442, 1.35654", \ + "0.30981, 0.417084, 0.475722, 0.571463, 0.731067, 0.996439, 1.4389", \ + "0.402517, 0.522859, 0.58208, 0.677876, 0.837134, 1.1026, 1.5445", \ + "0.517881, 0.664791, 0.728475, 0.824197, 0.983399, 1.24809, 1.68924" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0276359, 0.133312, 0.209506, 0.336917, 0.550569, 0.90696, 1.50091", \ + "0.028344, 0.133468, 0.20968, 0.336962, 0.550806, 0.906968, 1.50099", \ + "0.0311939, 0.134303, 0.210085, 0.337237, 0.550807, 0.906996, 1.501", \ + "0.0371734, 0.13676, 0.21159, 0.337872, 0.551148, 0.907132, 1.50127", \ + "0.0473156, 0.142453, 0.214942, 0.339869, 0.552644, 0.90843, 1.50211", \ + "0.064849, 0.152849, 0.221763, 0.344014, 0.554812, 0.909833, 1.5028", \ + "0.091828, 0.177981, 0.240286, 0.354438, 0.560698, 0.913257, 1.50562" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.218617, 0.349244, 0.419182, 0.532426, 0.720198, 1.03266, 1.55282", \ + "0.250659, 0.381084, 0.45219, 0.565872, 0.753419, 1.06589, 1.58596", \ + "0.27853, 0.409818, 0.480638, 0.59414, 0.782012, 1.09429, 1.6149", \ + "0.325601, 0.459934, 0.531134, 0.644676, 0.83269, 1.14473, 1.66487", \ + "0.398999, 0.539701, 0.611473, 0.725896, 0.913978, 1.22655, 1.7466", \ + "0.50322, 0.657603, 0.730691, 0.845787, 1.03334, 1.34602, 1.8666", \ + "0.639864, 0.823546, 0.902812, 1.02009, 1.20965, 1.52218, 2.04206" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0505545, 0.181592, 0.272933, 0.428725, 0.694415, 1.14052, 1.88486", \ + "0.0505555, 0.181593, 0.273615, 0.428957, 0.694448, 1.14053, 1.88487", \ + "0.0515578, 0.181594, 0.273616, 0.428958, 0.694955, 1.14054, 1.88565", \ + "0.0546395, 0.184059, 0.274593, 0.429718, 0.694956, 1.14055, 1.88566", \ + "0.0616296, 0.189515, 0.278652, 0.432253, 0.696683, 1.14153, 1.88567", \ + "0.077274, 0.200677, 0.28639, 0.437418, 0.699733, 1.1439, 1.88656", \ + "0.103649, 0.22872, 0.307995, 0.451038, 0.706516, 1.14635, 1.88872" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.109786, 0.205042, 0.263554, 0.359401, 0.51906, 0.784663, 1.2269", \ + "0.152702, 0.248288, 0.306867, 0.402692, 0.562367, 0.828682, 1.27007", \ + "0.189389, 0.286245, 0.344971, 0.440789, 0.600684, 0.866102, 1.30842", \ + "0.246227, 0.346234, 0.404982, 0.500957, 0.660844, 0.926447, 1.36864", \ + "0.325819, 0.432816, 0.491612, 0.58739, 0.747496, 1.01318, 1.4554", \ + "0.426701, 0.546052, 0.605678, 0.701562, 0.861147, 1.12646, 1.56895", \ + "0.555615, 0.699695, 0.762634, 0.857879, 1.01731, 1.28304, 1.72444" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0285413, 0.134403, 0.21065, 0.33801, 0.551298, 0.907602, 1.50149", \ + "0.0291314, 0.134519, 0.210689, 0.338011, 0.551351, 0.908167, 1.50159", \ + "0.0316048, 0.135179, 0.210888, 0.338012, 0.551634, 0.908168, 1.5016", \ + "0.0371822, 0.137388, 0.212248, 0.338559, 0.55211, 0.908169, 1.50405", \ + "0.0469996, 0.142973, 0.215466, 0.340363, 0.553248, 0.908454, 1.50406", \ + "0.063522, 0.152673, 0.222079, 0.34442, 0.555368, 0.911005, 1.50407", \ + "0.089215, 0.175461, 0.238575, 0.353891, 0.560688, 0.913826, 1.50611" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.225057, 0.355493, 0.425868, 0.53886, 0.726721, 1.03886, 1.5591", \ + "0.257988, 0.389003, 0.459566, 0.572993, 0.760774, 1.07307, 1.593", \ + "0.285394, 0.416492, 0.487533, 0.600813, 0.788617, 1.10095, 1.62139", \ + "0.330719, 0.463819, 0.535204, 0.648734, 0.836486, 1.14859, 1.66855", \ + "0.400537, 0.539068, 0.611241, 0.725454, 0.913572, 1.22596, 1.74593", \ + "0.500474, 0.651862, 0.725302, 0.839978, 1.02856, 1.34122, 1.86133", \ + "0.629567, 0.808163, 0.888288, 1.00681, 1.19493, 1.50761, 2.02678" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0507652, 0.181598, 0.272801, 0.428817, 0.694416, 1.14029, 1.88486", \ + "0.0507662, 0.181599, 0.273019, 0.428818, 0.694417, 1.14058, 1.88556", \ + "0.0512452, 0.1816, 0.27302, 0.428819, 0.694996, 1.14059, 1.88557", \ + "0.0536384, 0.183294, 0.27414, 0.429369, 0.694997, 1.1406, 1.88558", \ + "0.0588114, 0.187808, 0.277842, 0.431403, 0.696062, 1.14112, 1.88559", \ + "0.071112, 0.198712, 0.285218, 0.436679, 0.698709, 1.14305, 1.88589", \ + "0.095218, 0.223976, 0.306771, 0.451228, 0.706209, 1.14632, 1.8885" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.113638, 0.210872, 0.269844, 0.366001, 0.526426, 0.792045, 1.23466", \ + "0.157343, 0.254446, 0.313543, 0.409821, 0.56992, 0.836438, 1.27821", \ + "0.195592, 0.293679, 0.352777, 0.449066, 0.609159, 0.875548, 1.31762", \ + "0.25511, 0.355917, 0.414967, 0.511389, 0.671636, 0.937582, 1.38015", \ + "0.338977, 0.447332, 0.506506, 0.603029, 0.763395, 1.02912, 1.47214", \ + "0.44767, 0.566519, 0.627221, 0.722769, 0.882912, 1.14806, 1.59089", \ + "0.58971, 0.732341, 0.794492, 0.891533, 1.05158, 1.31644, 1.75817" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0297867, 0.136047, 0.212219, 0.339398, 0.552555, 0.908635, 1.50237", \ + "0.0300413, 0.136048, 0.21222, 0.339399, 0.552975, 0.909097, 1.50241", \ + "0.0321811, 0.136433, 0.212244, 0.3394, 0.552976, 0.909623, 1.50242", \ + "0.0375566, 0.138395, 0.213254, 0.339705, 0.552977, 0.909624, 1.50403", \ + "0.0473044, 0.14346, 0.216377, 0.341454, 0.554209, 0.909625, 1.50404", \ + "0.062578, 0.153203, 0.223932, 0.345437, 0.556199, 0.9112, 1.50407", \ + "0.087561, 0.173522, 0.237412, 0.354181, 0.561494, 0.914778, 1.50645" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00675526, 0.00700397, 0.00704986, 0.00703549, 0.0069122, 0.00681225, 0.00685572", \ + "0.00654934, 0.00682628, 0.00684251, 0.00685514, 0.00680635, 0.00664188, 0.006776", \ + "0.00649046, 0.00673213, 0.00674919, 0.00685537, 0.00670777, 0.0065484, 0.00677741", \ + "0.00655823, 0.00670355, 0.0067301, 0.00688459, 0.00671242, 0.00654803, 0.00669333", \ + "0.00681341, 0.00685609, 0.00683539, 0.00671826, 0.00675579, 0.00661474, 0.00695913", \ + "0.00735315, 0.00731234, 0.00730907, 0.00724863, 0.00727776, 0.00696584, 0.00735617", \ + "0.00873178, 0.00845166, 0.00840484, 0.00846773, 0.00846581, 0.0084253, 0.00795136" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00443641, 0.00476756, 0.0047651, 0.00473342, 0.00466734, 0.00453485, 0.00427248", \ + "0.00418135, 0.00459353, 0.00455685, 0.00453948, 0.00449045, 0.00434198, 0.0041178", \ + "0.00410218, 0.00439917, 0.00449312, 0.004467, 0.00444217, 0.00426938, 0.0040312", \ + "0.00412784, 0.00439817, 0.00439285, 0.00442036, 0.00445811, 0.00430207, 0.00410307", \ + "0.00426845, 0.00447621, 0.00446489, 0.004452, 0.00433108, 0.00440179, 0.00393317", \ + "0.00484934, 0.00486583, 0.00490173, 0.00492337, 0.00486404, 0.00451971, 0.00452624", \ + "0.00626278, 0.00606512, 0.00607588, 0.00603908, 0.00605194, 0.00590624, 0.00556134" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00806007, 0.00830923, 0.00831283, 0.00830026, 0.00820623, 0.00803402, 0.0080948", \ + "0.00791862, 0.00819646, 0.00821983, 0.00823681, 0.00816503, 0.00802768, 0.00808762", \ + "0.00784927, 0.00810637, 0.00812656, 0.00814678, 0.00807043, 0.00793292, 0.00810747", \ + "0.00784591, 0.00799225, 0.00804798, 0.00811048, 0.00807989, 0.00783627, 0.0079013", \ + "0.00790891, 0.00799813, 0.00806848, 0.00799594, 0.0079987, 0.00803048, 0.00810004", \ + "0.00824883, 0.00823503, 0.00825405, 0.00824158, 0.00822391, 0.00798569, 0.00813488", \ + "0.0093496, 0.00915903, 0.0090698, 0.0091068, 0.00919657, 0.00913104, 0.00866516" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0046941, 0.00501873, 0.00501121, 0.00500727, 0.00491082, 0.0048076, 0.00453962", \ + "0.00446566, 0.00487955, 0.00485888, 0.00482971, 0.00476216, 0.00464223, 0.00439284", \ + "0.00438355, 0.00468328, 0.00476391, 0.00475794, 0.00468276, 0.00455687, 0.00429931", \ + "0.00438541, 0.00462758, 0.00464245, 0.00468976, 0.00462478, 0.00451317, 0.00429062", \ + "0.00456609, 0.00476367, 0.00480456, 0.00483449, 0.00475293, 0.0050214, 0.00444191", \ + "0.00505076, 0.00508342, 0.00509181, 0.0051729, 0.00513215, 0.00486372, 0.0049757", \ + "0.00643291, 0.00625165, 0.00627415, 0.0062503, 0.0063183, 0.00628689, 0.00620392" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00866101, 0.00890882, 0.00886233, 0.00886607, 0.00879988, 0.00863565, 0.00871599", \ + "0.00851845, 0.00880871, 0.00888707, 0.00886962, 0.00879619, 0.00862275, 0.0087126", \ + "0.00843812, 0.00872808, 0.00877479, 0.00875943, 0.00871166, 0.00852338, 0.00866962", \ + "0.00843007, 0.0086182, 0.00865801, 0.00871919, 0.0086335, 0.00841468, 0.00845632", \ + "0.00845359, 0.00861216, 0.00864813, 0.00859591, 0.00863165, 0.00879199, 0.00871374", \ + "0.00871638, 0.00871184, 0.00870217, 0.0087427, 0.00869404, 0.00843655, 0.00884991", \ + "0.00954451, 0.00944613, 0.00942957, 0.00943838, 0.00953277, 0.00953149, 0.00912274" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00518594, 0.00549562, 0.00550311, 0.00549271, 0.00539898, 0.005315, 0.0050404", \ + "0.00497471, 0.00537885, 0.00535462, 0.00533183, 0.00526922, 0.00520602, 0.00490767", \ + "0.00492101, 0.0052023, 0.00528975, 0.0052515, 0.00521377, 0.0050919, 0.00482698", \ + "0.00490057, 0.00515693, 0.00514383, 0.00519497, 0.00515157, 0.00499972, 0.00492734", \ + "0.00505595, 0.00523894, 0.00528369, 0.00527442, 0.00523107, 0.00547043, 0.00487929", \ + "0.00556752, 0.00558988, 0.00558853, 0.00566691, 0.00565796, 0.00541563, 0.0055095", \ + "0.0068826, 0.0066984, 0.00671158, 0.00668012, 0.00671187, 0.00679331, 0.00649829" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00867557, 0.00890719, 0.00890494, 0.00885859, 0.00879386, 0.00862885, 0.00870869", \ + "0.00853015, 0.00883565, 0.00886567, 0.00884599, 0.00877468, 0.00863504, 0.00874574", \ + "0.00843787, 0.00873706, 0.00877467, 0.00874783, 0.00871915, 0.00854252, 0.0086508", \ + "0.00843771, 0.00860945, 0.00865751, 0.00872015, 0.0086764, 0.00844484, 0.00850392", \ + "0.00842952, 0.00858743, 0.00864772, 0.00860698, 0.00859858, 0.00851133, 0.00885125", \ + "0.0085572, 0.00867062, 0.00866464, 0.00865994, 0.00866794, 0.00842497, 0.00873719", \ + "0.00926685, 0.00920585, 0.00921905, 0.00929765, 0.00926775, 0.00932235, 0.00880999" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0054568, 0.00578444, 0.00578293, 0.00576587, 0.0057178, 0.00555373, 0.00533203", \ + "0.0053509, 0.00571939, 0.00574218, 0.00570586, 0.00567, 0.00556461, 0.00528429", \ + "0.00533092, 0.0055988, 0.0056896, 0.0056429, 0.00558087, 0.00553533, 0.00522988", \ + "0.00533108, 0.00555537, 0.00555437, 0.00560342, 0.00552869, 0.00540327, 0.0052628", \ + "0.0055431, 0.00573919, 0.00578332, 0.0057815, 0.00570825, 0.00581709, 0.00553578", \ + "0.00600151, 0.00600337, 0.00607557, 0.00609528, 0.00607876, 0.00576935, 0.00593133", \ + "0.00729098, 0.00710224, 0.00706152, 0.0071061, 0.00715854, 0.00716619, 0.00679596" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00217046; + rise_capacitance : 0.00227706; + rise_capacitance_range (0.00227706, 0.00227706); + fall_capacitance : 0.00206386; + fall_capacitance_range (0.00206386, 0.00206386); + internal_power () { + when : "(B * C * !D) + (B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000148415, -0.00014661, -0.000144201, -0.000142853, -0.000142489, -0.000142145, -0.000141148" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000565354, 0.000570859, 0.000568931, 0.000568103, 0.000568171, 0.000571513, 0.000571079" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000148415, -0.00014661, -0.000144201, -0.000142853, -0.000142489, -0.000142145, -0.000141148" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000565354, 0.000570859, 0.000568931, 0.000568103, 0.000568171, 0.000571513, 0.000571079" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00216958; + rise_capacitance : 0.00226924; + rise_capacitance_range (0.00226924, 0.00226924); + fall_capacitance : 0.00206992; + fall_capacitance_range (0.00206992, 0.00206992); + internal_power () { + when : "(A * C * !D) + (A * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000352311, -0.000353123, -0.000351442, -0.000353078, -0.00035142, -0.000350435, -0.00034988" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000368498, 0.000369826, 0.000371794, 0.000370839, 0.000372282, 0.000374176, 0.000376332" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000352311, -0.000353123, -0.000351442, -0.000353078, -0.00035142, -0.000350435, -0.00034988" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000368498, 0.000369826, 0.000371794, 0.000370839, 0.000372282, 0.000374176, 0.000376332" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0024131; + rise_capacitance : 0.00244652; + rise_capacitance_range (0.00244652, 0.00244652); + fall_capacitance : 0.00237967; + fall_capacitance_range (0.00237967, 0.00237967); + internal_power () { + when : "(A * !B * D) + (!A * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00237638; + rise_capacitance : 0.00240796; + rise_capacitance_range (0.00240796, 0.00240796); + fall_capacitance : 0.00234479; + fall_capacitance_range (0.00234479, 0.00234479); + internal_power () { + when : "(A * !B * C) + (!A * C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000914268, 0.000912354, 0.000915613, 0.000903836, 0.000906037, 0.00091417, 0.000923146" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-9.91093e-05, -0.000150075, -0.00016759, -0.000178264, -0.000186669, -0.000193312, -0.00019889" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000914268, 0.000912354, 0.000915613, 0.000903836, 0.000906037, 0.00091417, 0.000923146" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-9.91093e-05, -0.000150075, -0.00016759, -0.000178264, -0.000186669, -0.000193312, -0.00019889" \ + ); + } + } + } + } + cell (sg13g2_and4_2) { + area : 16.3296; + cell_footprint : "AND4"; + cell_leakage_power : 1055.52; + leakage_power () { + value : 986.084; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 989.337; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 987.622; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 999.221; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 986.418; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 996.532; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 993.847; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 1076.54; + when : "!A&B&C&D"; + } + leakage_power () { + value : 988.259; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 997.679; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 995.05; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 1064.62; + when : "A&!B&C&D"; + } + leakage_power () { + value : 996.602; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 1061.52; + when : "A&B&!C&D"; + } + leakage_power () { + value : 1059.35; + when : "A&B&C&!D"; + } + leakage_power () { + value : 1709.58; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.231503, 0.387738, 0.461994, 0.577444, 0.766028, 1.07846, 1.59894", \ + "0.261676, 0.419318, 0.493273, 0.608948, 0.798387, 1.11071, 1.63105", \ + "0.294589, 0.451947, 0.526648, 0.642225, 0.830765, 1.14442, 1.66425", \ + "0.352199, 0.51236, 0.586933, 0.702961, 0.891785, 1.20485, 1.72576", \ + "0.439907, 0.607895, 0.683124, 0.798578, 0.98786, 1.30097, 1.82177", \ + "0.566209, 0.751102, 0.826869, 0.942911, 1.13119, 1.44131, 1.96149", \ + "0.734705, 0.953565, 1.03634, 1.15265, 1.34031, 1.65169, 2.17025" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0614266, 0.198785, 0.28871, 0.441722, 0.704576, 1.14934, 1.89345", \ + "0.0614276, 0.198786, 0.288711, 0.441723, 0.70479, 1.14935, 1.89347", \ + "0.0628582, 0.198788, 0.288712, 0.441799, 0.704791, 1.14997, 1.89388", \ + "0.0688693, 0.201823, 0.290408, 0.442801, 0.705332, 1.15142, 1.89389", \ + "0.0827257, 0.208937, 0.296872, 0.446901, 0.708068, 1.15143, 1.89394", \ + "0.10672, 0.226042, 0.307203, 0.454269, 0.712662, 1.15423, 1.89686", \ + "0.146269, 0.263757, 0.337912, 0.471632, 0.721932, 1.159, 1.90059" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.114183, 0.220353, 0.279099, 0.374845, 0.534441, 0.800005, 1.24203", \ + "0.157685, 0.264158, 0.322967, 0.418732, 0.578335, 0.844082, 1.28588", \ + "0.195541, 0.303604, 0.362587, 0.45853, 0.617898, 0.883547, 1.32541", \ + "0.253867, 0.366801, 0.426136, 0.521833, 0.681454, 0.946844, 1.38893", \ + "0.335664, 0.459285, 0.519028, 0.615291, 0.774198, 1.03972, 1.48176", \ + "0.440393, 0.582479, 0.64304, 0.739188, 0.895792, 1.15951, 1.59967", \ + "0.573673, 0.746769, 0.813423, 0.910187, 1.06676, 1.32924, 1.76899" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0301885, 0.138063, 0.213798, 0.339991, 0.553663, 0.91027, 1.50485", \ + "0.0309628, 0.138156, 0.213799, 0.340174, 0.553664, 0.91077, 1.50486", \ + "0.0342077, 0.139286, 0.214026, 0.340201, 0.55368, 0.911138, 1.50487", \ + "0.0419322, 0.142832, 0.216075, 0.341232, 0.554286, 0.911139, 1.5064", \ + "0.05564, 0.15162, 0.221964, 0.344451, 0.555735, 0.911254, 1.50641", \ + "0.077233, 0.168937, 0.234526, 0.352655, 0.56087, 0.913842, 1.50758", \ + "0.113962, 0.203424, 0.260056, 0.369166, 0.569896, 0.919661, 1.51052" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.251026, 0.407348, 0.482164, 0.596524, 0.785719, 1.09902, 1.61818", \ + "0.283186, 0.440687, 0.514654, 0.630518, 0.819464, 1.13227, 1.65245", \ + "0.314687, 0.472186, 0.547026, 0.662453, 0.851097, 1.16406, 1.68377", \ + "0.370498, 0.530955, 0.605509, 0.721501, 0.910578, 1.22376, 1.74383", \ + "0.45974, 0.62709, 0.703192, 0.819253, 1.00904, 1.32173, 1.8421", \ + "0.590241, 0.771285, 0.848827, 0.964734, 1.15433, 1.46687, 1.98769", \ + "0.770918, 0.983915, 1.06613, 1.18578, 1.37482, 1.68686, 2.20542" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0614569, 0.198776, 0.288144, 0.44178, 0.704175, 1.14935, 1.89346", \ + "0.0614579, 0.198777, 0.288203, 0.441781, 0.704176, 1.14939, 1.89349", \ + "0.0622683, 0.198778, 0.288543, 0.445227, 0.70467, 1.1494, 1.8935", \ + "0.0665035, 0.20099, 0.289947, 0.445228, 0.704831, 1.15133, 1.89381", \ + "0.0764334, 0.207479, 0.295666, 0.446115, 0.707701, 1.15134, 1.89382", \ + "0.096359, 0.222865, 0.306967, 0.453686, 0.711636, 1.15308, 1.89628", \ + "0.131886, 0.256748, 0.332577, 0.470332, 0.721426, 1.1577, 1.89921" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.121655, 0.228804, 0.287713, 0.383509, 0.543274, 0.80891, 1.25118", \ + "0.165574, 0.272979, 0.331884, 0.427853, 0.587676, 0.853313, 1.29617", \ + "0.204687, 0.313493, 0.372579, 0.468613, 0.62835, 0.894018, 1.33624", \ + "0.266052, 0.379263, 0.438551, 0.534677, 0.694179, 0.95978, 1.40195", \ + "0.353184, 0.477175, 0.536625, 0.632554, 0.792581, 1.05747, 1.5002", \ + "0.465282, 0.606257, 0.667233, 0.764102, 0.923655, 1.18912, 1.62992", \ + "0.612557, 0.78038, 0.845679, 0.943693, 1.10165, 1.36538, 1.80614" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0310303, 0.13895, 0.214329, 0.340656, 0.554144, 0.910744, 1.50544", \ + "0.0313869, 0.138951, 0.21433, 0.34069, 0.554154, 0.911463, 1.50545", \ + "0.0342788, 0.139783, 0.214482, 0.340792, 0.554346, 0.911464, 1.50546", \ + "0.0413295, 0.143018, 0.216309, 0.341915, 0.554812, 0.911465, 1.50547", \ + "0.0546528, 0.15115, 0.221765, 0.344515, 0.556094, 0.911523, 1.50577", \ + "0.075005, 0.167252, 0.233349, 0.352016, 0.560097, 0.914113, 1.50696", \ + "0.109307, 0.199005, 0.257034, 0.367404, 0.569171, 0.919669, 1.51119" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.262634, 0.41942, 0.493147, 0.608167, 0.796789, 1.11151, 1.62924", \ + "0.294976, 0.452415, 0.5263, 0.641851, 0.831053, 1.14445, 1.66433", \ + "0.324017, 0.481538, 0.555841, 0.671493, 0.860379, 1.17323, 1.69333", \ + "0.374638, 0.53458, 0.609432, 0.725002, 0.914072, 1.22721, 1.74729", \ + "0.457111, 0.623651, 0.69975, 0.815852, 1.00542, 1.31824, 1.83855", \ + "0.580622, 0.759939, 0.838573, 0.953962, 1.14404, 1.45698, 1.97458", \ + "0.750951, 0.961125, 1.04318, 1.16461, 1.35325, 1.66588, 2.1853" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0614673, 0.199313, 0.288505, 0.44146, 0.704371, 1.14941, 1.89346", \ + "0.0614683, 0.199314, 0.288506, 0.441461, 0.704484, 1.14942, 1.89347", \ + "0.0620385, 0.199315, 0.288507, 0.443506, 0.704485, 1.14943, 1.89348", \ + "0.0651404, 0.200757, 0.2898, 0.443507, 0.705044, 1.15073, 1.89381", \ + "0.072649, 0.206498, 0.295029, 0.445569, 0.707255, 1.15074, 1.89382", \ + "0.088996, 0.220931, 0.30619, 0.453045, 0.711377, 1.15276, 1.89534", \ + "0.121648, 0.252042, 0.329686, 0.471739, 0.721021, 1.15737, 1.89886" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.127276, 0.235554, 0.294866, 0.391053, 0.55096, 0.816918, 1.25916", \ + "0.171374, 0.27994, 0.339132, 0.435474, 0.595459, 0.861312, 1.30437", \ + "0.211622, 0.321263, 0.38061, 0.476952, 0.636893, 0.903544, 1.34507", \ + "0.275376, 0.389035, 0.448472, 0.544733, 0.70493, 0.970442, 1.41309", \ + "0.367117, 0.490971, 0.550781, 0.646985, 0.80665, 1.07255, 1.51537", \ + "0.485888, 0.626647, 0.687594, 0.784599, 0.944597, 1.21051, 1.65237", \ + "0.641632, 0.810963, 0.875473, 0.972553, 1.13157, 1.39591, 1.83675" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0320033, 0.140015, 0.215152, 0.341502, 0.554956, 0.91142, 1.50637", \ + "0.0321224, 0.140016, 0.215153, 0.341588, 0.554957, 0.911766, 1.50638", \ + "0.0346296, 0.140688, 0.21537, 0.341852, 0.555171, 0.912306, 1.50639", \ + "0.0412503, 0.143541, 0.217049, 0.34256, 0.555515, 0.912662, 1.5064", \ + "0.0542236, 0.151026, 0.221931, 0.345308, 0.556643, 0.912938, 1.50641", \ + "0.073776, 0.166557, 0.233391, 0.351917, 0.56081, 0.914659, 1.5073", \ + "0.10704, 0.196097, 0.255542, 0.366468, 0.569218, 0.920014, 1.51138" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.269005, 0.425277, 0.500366, 0.614173, 0.804858, 1.11781, 1.63764", \ + "0.302287, 0.459692, 0.53349, 0.64949, 0.838248, 1.15133, 1.67164", \ + "0.330593, 0.487972, 0.562236, 0.677721, 0.866752, 1.17947, 1.69963", \ + "0.37838, 0.537588, 0.612281, 0.727949, 0.917, 1.22971, 1.7501", \ + "0.454405, 0.61936, 0.695472, 0.811406, 1.00065, 1.31359, 1.83386", \ + "0.569412, 0.745559, 0.823262, 0.940766, 1.13011, 1.44314, 1.96386", \ + "0.728208, 0.931652, 1.01386, 1.13409, 1.3251, 1.6372, 2.15739" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0614799, 0.198816, 0.288103, 0.44153, 0.70439, 1.14937, 1.89347", \ + "0.0614809, 0.198817, 0.288104, 0.441531, 0.704391, 1.14966, 1.89348", \ + "0.0618091, 0.198818, 0.288105, 0.442392, 0.704392, 1.14967, 1.89349", \ + "0.0642149, 0.199941, 0.289535, 0.442393, 0.705076, 1.15218, 1.89362", \ + "0.0699862, 0.205312, 0.293751, 0.444953, 0.706437, 1.15219, 1.89363", \ + "0.082634, 0.216948, 0.304191, 0.452004, 0.710744, 1.1522, 1.89481", \ + "0.110472, 0.246031, 0.3263, 0.467959, 0.720782, 1.15681, 1.89749" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.1316, 0.241652, 0.301246, 0.397856, 0.558162, 0.824472, 1.267", \ + "0.176061, 0.286039, 0.345851, 0.442429, 0.603197, 0.869198, 1.31218", \ + "0.217335, 0.328172, 0.388022, 0.484646, 0.644912, 0.911488, 1.3538", \ + "0.283296, 0.397853, 0.457709, 0.554521, 0.714647, 0.981193, 1.42467", \ + "0.378979, 0.502657, 0.563287, 0.659707, 0.81981, 1.08602, 1.52851", \ + "0.504472, 0.644234, 0.706873, 0.803198, 0.963382, 1.22877, 1.67117", \ + "0.675046, 0.841411, 0.906549, 1.00292, 1.16124, 1.42661, 1.86719" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0330595, 0.14164, 0.216558, 0.34288, 0.556513, 0.912397, 1.50675", \ + "0.0330605, 0.141641, 0.216559, 0.343296, 0.556644, 0.912398, 1.50691", \ + "0.0354271, 0.141824, 0.216716, 0.343297, 0.556645, 0.912983, 1.50692", \ + "0.041521, 0.144377, 0.218149, 0.343657, 0.556646, 0.912984, 1.50885", \ + "0.0542652, 0.151171, 0.22258, 0.346005, 0.55751, 0.913037, 1.50886", \ + "0.073325, 0.167408, 0.234685, 0.353179, 0.561595, 0.915711, 1.50887", \ + "0.104432, 0.19306, 0.254177, 0.366563, 0.569776, 0.921137, 1.51192" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0098748, 0.0100327, 0.010061, 0.0100006, 0.00983211, 0.00957968, 0.0096901", \ + "0.0097183, 0.0100016, 0.0100844, 0.0101211, 0.0100629, 0.00976991, 0.0098765", \ + "0.00961114, 0.00990519, 0.00996004, 0.0100508, 0.00989864, 0.00973513, 0.00993732", \ + "0.00974596, 0.0097333, 0.0098261, 0.0100099, 0.00974808, 0.00972772, 0.00978494", \ + "0.0101551, 0.00992135, 0.0100426, 0.00982104, 0.00997583, 0.0100793, 0.00963888", \ + "0.0110534, 0.0103792, 0.0103453, 0.0103626, 0.0102162, 0.00943486, 0.0101146", \ + "0.0130354, 0.0115036, 0.0116106, 0.0113053, 0.0113984, 0.0112143, 0.0104446" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00717394, 0.0079163, 0.00791262, 0.00789393, 0.00774284, 0.00749746, 0.00703515", \ + "0.00694739, 0.00773416, 0.00788815, 0.00781958, 0.0076411, 0.00745909, 0.00694164", \ + "0.00692044, 0.00754952, 0.0077353, 0.00763614, 0.00752038, 0.00734539, 0.00679262", \ + "0.00694383, 0.0073702, 0.00742779, 0.00745462, 0.00738964, 0.00717332, 0.0067709", \ + "0.00723072, 0.00745876, 0.0075935, 0.00758177, 0.0074361, 0.00762994, 0.00698593", \ + "0.00796864, 0.00779097, 0.00772419, 0.00786826, 0.00785303, 0.00703574, 0.00724648", \ + "0.00985955, 0.00895941, 0.00883712, 0.00883287, 0.00856654, 0.00859195, 0.00800546" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.011155, 0.0113043, 0.0114696, 0.0111935, 0.0111575, 0.0110173, 0.0108556", \ + "0.0110748, 0.0113493, 0.0114057, 0.0114731, 0.0113796, 0.0113661, 0.0111281", \ + "0.0109448, 0.0113452, 0.0114092, 0.0117454, 0.011294, 0.0110139, 0.0111655", \ + "0.0110021, 0.0110707, 0.0111826, 0.0115046, 0.0111449, 0.0110512, 0.0110571", \ + "0.0112375, 0.0111428, 0.0112761, 0.0111067, 0.0112025, 0.0109084, 0.0112762", \ + "0.0118114, 0.0113579, 0.0113977, 0.0112544, 0.0112028, 0.0107288, 0.0117588", \ + "0.0134255, 0.0121544, 0.0121447, 0.0120548, 0.0121599, 0.0121512, 0.0113995" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00737672, 0.00811845, 0.00812634, 0.00808407, 0.00798252, 0.00764404, 0.00725767", \ + "0.00722007, 0.00798397, 0.00805039, 0.00804542, 0.00792578, 0.00778076, 0.00732774", \ + "0.00718074, 0.00777572, 0.00799083, 0.00793218, 0.00779967, 0.00755864, 0.00707113", \ + "0.00721733, 0.00762195, 0.00766063, 0.00782679, 0.00764513, 0.00743308, 0.00696621", \ + "0.00761543, 0.00775255, 0.00788188, 0.00783455, 0.00773989, 0.00820304, 0.00744385", \ + "0.00821835, 0.00803842, 0.00796058, 0.00809449, 0.00835518, 0.00783618, 0.00801701", \ + "0.00995787, 0.00913505, 0.0090021, 0.00909788, 0.00909193, 0.00915444, 0.00848599" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0117448, 0.0119553, 0.0119423, 0.0118077, 0.0116486, 0.0118077, 0.0114346", \ + "0.0116956, 0.0119476, 0.0119927, 0.0120379, 0.0119704, 0.0116124, 0.0118016", \ + "0.0115397, 0.0119757, 0.0120567, 0.0121321, 0.0118946, 0.0116466, 0.0117621", \ + "0.0115896, 0.0117067, 0.0118553, 0.0121003, 0.0117829, 0.0116004, 0.0116594", \ + "0.0116967, 0.0117319, 0.0118499, 0.0117117, 0.0118756, 0.0117901, 0.0131613", \ + "0.0121348, 0.0118248, 0.0118959, 0.0117646, 0.0118072, 0.0111645, 0.0118834", \ + "0.0135746, 0.0124913, 0.0124122, 0.0124977, 0.0124593, 0.0124128, 0.0116391" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00786136, 0.00856141, 0.00862941, 0.00855619, 0.00844758, 0.00819396, 0.00769129", \ + "0.00772281, 0.00844999, 0.00850144, 0.00855578, 0.00842288, 0.00822914, 0.0078368", \ + "0.00768033, 0.00826532, 0.00850288, 0.00840395, 0.00832498, 0.00817159, 0.00752811", \ + "0.00773485, 0.00808928, 0.00813781, 0.00831343, 0.00820999, 0.00804603, 0.00750556", \ + "0.00807299, 0.00825408, 0.00836526, 0.00830299, 0.00822283, 0.00879142, 0.00802737", \ + "0.00872488, 0.00851257, 0.00847549, 0.00858461, 0.008811, 0.00836338, 0.00866357", \ + "0.0105495, 0.00958024, 0.00953804, 0.00958826, 0.00954618, 0.0096211, 0.00888854" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0117437, 0.0119142, 0.0121227, 0.0117514, 0.0120523, 0.0118081, 0.0118427", \ + "0.0116698, 0.0119433, 0.011998, 0.0120572, 0.0119427, 0.0116208, 0.0118546", \ + "0.0115418, 0.0119856, 0.0120737, 0.0120423, 0.0119113, 0.0116492, 0.0118364", \ + "0.0115895, 0.0117124, 0.0118603, 0.0121252, 0.0117917, 0.0116656, 0.0116213", \ + "0.0116319, 0.0117535, 0.0118478, 0.011711, 0.0118236, 0.01183, 0.0127377", \ + "0.0119939, 0.0116458, 0.0117507, 0.0117617, 0.0116951, 0.0111268, 0.0122059", \ + "0.0130018, 0.0122916, 0.0122563, 0.0121684, 0.0122555, 0.0120898, 0.0113617" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00816372, 0.00881514, 0.0088874, 0.00883938, 0.00866847, 0.00849534, 0.00793332", \ + "0.00809955, 0.00879955, 0.00888437, 0.00891997, 0.0088239, 0.00857855, 0.00810519", \ + "0.00808016, 0.0086581, 0.00883127, 0.00878182, 0.00870772, 0.0085193, 0.00797051", \ + "0.00815874, 0.00854715, 0.00860209, 0.00875009, 0.008616, 0.00846701, 0.00815375", \ + "0.00846347, 0.00861041, 0.00872581, 0.00869076, 0.00856398, 0.00881511, 0.00841943", \ + "0.00913849, 0.00890684, 0.00904652, 0.00906657, 0.00926395, 0.00873223, 0.00898306", \ + "0.0108143, 0.00992898, 0.00992979, 0.00990424, 0.00995881, 0.0100501, 0.00959442" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00217093; + rise_capacitance : 0.00227806; + rise_capacitance_range (0.00227806, 0.00227806); + fall_capacitance : 0.00206379; + fall_capacitance_range (0.00206379, 0.00206379); + internal_power () { + when : "(B * C * !D) + (B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000147323, -0.000150802, -0.000147936, -0.000142888, -0.000139199, -0.000143282, -0.000139909" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000560465, 0.000570091, 0.000566927, 0.000566773, 0.000568475, 0.000570751, 0.000571155" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000147323, -0.000150802, -0.000147936, -0.000142888, -0.000139199, -0.000143282, -0.000139909" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000560465, 0.000570091, 0.000566927, 0.000566773, 0.000568475, 0.000570751, 0.000571155" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00216986; + rise_capacitance : 0.00227076; + rise_capacitance_range (0.00227076, 0.00227076); + fall_capacitance : 0.00206896; + fall_capacitance_range (0.00206896, 0.00206896); + internal_power () { + when : "(A * C * !D) + (A * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0003519, -0.000352606, -0.000351229, -0.000353318, -0.000350632, -0.000351237, -0.000349874" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000365674, 0.00037198, 0.000371116, 0.000370022, 0.000372327, 0.000374643, 0.000376128" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0003519, -0.000352606, -0.000351229, -0.000353318, -0.000350632, -0.000351237, -0.000349874" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000365674, 0.00037198, 0.000371116, 0.000370022, 0.000372327, 0.000374643, 0.000376128" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00241322; + rise_capacitance : 0.00244832; + rise_capacitance_range (0.00244832, 0.00244832); + fall_capacitance : 0.00237812; + fall_capacitance_range (0.00237812, 0.00237812); + internal_power () { + when : "(A * !B * D) + (!A * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00237691; + rise_capacitance : 0.00241042; + rise_capacitance_range (0.00241042, 0.00241042); + fall_capacitance : 0.0023434; + fall_capacitance_range (0.0023434, 0.0023434); + internal_power () { + when : "(A * !B * C) + (!A * C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000914043, 0.000914072, 0.000915547, 0.000903168, 0.000905219, 0.000914414, 0.000923278" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000101048, -0.000152117, -0.000169793, -0.000179316, -0.000186756, -0.000193707, -0.000199063" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000914043, 0.000914072, 0.000915547, 0.000903168, 0.000905219, 0.000914414, 0.000923278" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000101048, -0.000152117, -0.000169793, -0.000179316, -0.000186756, -0.000193707, -0.000199063" \ + ); + } + } + } + } + cell (sg13g2_antennanp) { + area : 5.4432; + cell_footprint : "NP_ant"; + dont_touch : true; + dont_use : true; + cell_leakage_power : 3.56543; + leakage_power () { + value : 3.56275; + when : "A"; + } + leakage_power () { + value : 3.5681; + when : "!A"; + } + pin (A) { + direction : "input"; + capacitance : 0.00094874; + rise_capacitance : 0.000908752; + rise_capacitance_range (0.000908752, 0.000908752); + fall_capacitance : 0.000988728; + fall_capacitance_range (0.000988728, 0.000988728); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000347805, -0.000346006, -0.000346166, -0.000348421, -0.000348879, -0.000348183, -0.000348212" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000347805, 0.000346006, 0.000346166, 0.000348421, 0.000348879, 0.000348183, 0.000348212" \ + ); + } + } + } + } + cell (sg13g2_buf_1) { + area : 7.2576; + cell_footprint : "BU"; + cell_leakage_power : 531.755; + leakage_power () { + value : 569.034; + when : "!A&!X"; + } + leakage_power () { + value : 494.475; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0749897, 0.177399, 0.245452, 0.357676, 0.545441, 0.85814, 1.37914", \ + "0.11591, 0.218598, 0.286694, 0.399053, 0.58709, 0.899718, 1.42107", \ + "0.145582, 0.248961, 0.317171, 0.429434, 0.617543, 0.93109, 1.45183", \ + "0.190035, 0.295876, 0.363722, 0.475895, 0.663601, 0.976383, 1.49759", \ + "0.250367, 0.363794, 0.430954, 0.543146, 0.73016, 1.043, 1.56407", \ + "0.329812, 0.459837, 0.52455, 0.635445, 0.822224, 1.13467, 1.65541", \ + "0.431353, 0.588777, 0.658591, 0.769382, 0.956747, 1.26737, 1.78737" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0232059, 0.159926, 0.256885, 0.417416, 0.686155, 1.13386, 1.88132", \ + "0.0256582, 0.160162, 0.25697, 0.417592, 0.68649, 1.13404, 1.88133", \ + "0.0293979, 0.160699, 0.257315, 0.417593, 0.686491, 1.1351, 1.88134", \ + "0.0369632, 0.162181, 0.258271, 0.418206, 0.686549, 1.13942, 1.88135", \ + "0.0501548, 0.166016, 0.260253, 0.419627, 0.68763, 1.13943, 1.88136", \ + "0.069508, 0.176577, 0.266004, 0.422372, 0.6894, 1.13944, 1.88173", \ + "0.098129, 0.200731, 0.282073, 0.431348, 0.694691, 1.14018, 1.88422" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0789011, 0.16943, 0.227415, 0.32303, 0.482823, 0.748856, 1.19211", \ + "0.118133, 0.209486, 0.26762, 0.363169, 0.523295, 0.788933, 1.23245", \ + "0.147882, 0.240891, 0.299176, 0.39471, 0.554421, 0.82031, 1.26355", \ + "0.191175, 0.286898, 0.3455, 0.441309, 0.600862, 0.866975, 1.31042", \ + "0.250359, 0.352109, 0.409652, 0.504761, 0.661669, 0.927121, 1.37015", \ + "0.319388, 0.435378, 0.492974, 0.587759, 0.745965, 1.00727, 1.44963", \ + "0.402963, 0.543115, 0.603387, 0.699089, 0.856989, 1.11699, 1.55847" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0227588, 0.130745, 0.207869, 0.335975, 0.550512, 0.908759, 1.50416", \ + "0.0246056, 0.131031, 0.207971, 0.336194, 0.550894, 0.90876, 1.50429", \ + "0.027902, 0.132038, 0.208469, 0.336357, 0.552522, 0.908761, 1.50432", \ + "0.0348687, 0.134453, 0.210191, 0.337307, 0.552523, 0.908762, 1.50433", \ + "0.04636, 0.139218, 0.21316, 0.339307, 0.55296, 0.909463, 1.50434", \ + "0.065283, 0.150252, 0.219785, 0.34302, 0.555408, 0.912172, 1.50602", \ + "0.093995, 0.174463, 0.236633, 0.353605, 0.560941, 0.915564, 1.50965" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0046589, 0.00497277, 0.00495778, 0.00491393, 0.0048214, 0.00464063, 0.00469955", \ + "0.00439152, 0.00470681, 0.00468184, 0.00466567, 0.00460506, 0.00441313, 0.00447082", \ + "0.00434526, 0.00458982, 0.0046291, 0.00464638, 0.00453149, 0.00443221, 0.00445023", \ + "0.00437793, 0.00458823, 0.00457368, 0.00465529, 0.0045123, 0.00455, 0.00440632", \ + "0.0046288, 0.00478374, 0.00481177, 0.00482805, 0.00471287, 0.00487587, 0.00454917", \ + "0.00524121, 0.00534303, 0.00534179, 0.00536079, 0.0053488, 0.00509749, 0.00540767", \ + "0.00670878, 0.00655491, 0.00657399, 0.00658473, 0.00664262, 0.00663589, 0.0063875" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00460845, 0.00492985, 0.00492637, 0.00489107, 0.0048275, 0.0047052, 0.00441738", \ + "0.00437383, 0.00476338, 0.00477892, 0.00472039, 0.00467896, 0.00450815, 0.00427789", \ + "0.00434168, 0.00465094, 0.00470074, 0.00468896, 0.00471908, 0.00447099, 0.00422504", \ + "0.00442284, 0.00466218, 0.00468332, 0.00470845, 0.00470561, 0.0046586, 0.00427311", \ + "0.00467275, 0.00484098, 0.0048517, 0.00482476, 0.00463673, 0.00468595, 0.00426563", \ + "0.00532365, 0.00534108, 0.00538424, 0.00539483, 0.0053174, 0.00492481, 0.00486235", \ + "0.00674461, 0.00660375, 0.00654454, 0.0065654, 0.00659338, 0.00644396, 0.00627282" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00217753; + rise_capacitance : 0.00221237; + rise_capacitance_range (0.00221237, 0.00221237); + fall_capacitance : 0.00214268; + fall_capacitance_range (0.00214268, 0.00214268); + } + } + cell (sg13g2_buf_16) { + area : 45.36; + cell_footprint : "BU"; + cell_leakage_power : 6741.42; + leakage_power () { + value : 5028.73; + when : "A&X"; + } + leakage_power () { + value : 8454.12; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 4.8; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0839764, 0.197939, 0.266207, 0.37895, 0.566606, 0.879626, 1.40114", \ + "0.128046, 0.242373, 0.310806, 0.423228, 0.611201, 0.924098, 1.44607", \ + "0.161518, 0.2778, 0.346253, 0.458815, 0.646984, 0.959914, 1.48169", \ + "0.212123, 0.33282, 0.40092, 0.512957, 0.700778, 1.01344, 1.54184", \ + "0.282928, 0.413721, 0.481001, 0.592203, 0.779473, 1.09209, 1.61365", \ + "0.37645, 0.530268, 0.597108, 0.707724, 0.895203, 1.20529, 1.7257", \ + "0.499817, 0.687464, 0.759381, 0.869024, 1.05335, 1.36679, 1.8845" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0226328, 0.162443, 0.259322, 0.420075, 0.689531, 1.13799, 1.88704", \ + "0.025348, 0.162558, 0.259357, 0.42041, 0.689532, 1.13835, 1.88705", \ + "0.0299904, 0.163306, 0.259732, 0.420411, 0.689618, 1.13836, 1.88706", \ + "0.0387543, 0.165735, 0.260906, 0.420912, 0.689619, 1.13857, 1.89305", \ + "0.0549305, 0.171539, 0.264341, 0.422762, 0.691085, 1.1388, 1.89306", \ + "0.079748, 0.186948, 0.273126, 0.427218, 0.693483, 1.14116, 1.89307", \ + "0.11741, 0.221616, 0.298216, 0.440907, 0.700921, 1.14556, 1.89308" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0934864, 0.199301, 0.258015, 0.354302, 0.51466, 0.781509, 1.226", \ + "0.135244, 0.241605, 0.300411, 0.396545, 0.55684, 0.823755, 1.26852", \ + "0.169793, 0.277896, 0.336814, 0.432966, 0.593581, 0.860081, 1.3046", \ + "0.221876, 0.334955, 0.39393, 0.490096, 0.650382, 0.917206, 1.36168", \ + "0.294416, 0.416291, 0.474776, 0.570481, 0.73052, 0.997364, 1.44107", \ + "0.385335, 0.52382, 0.583591, 0.678624, 0.836975, 1.09792, 1.54084", \ + "0.501388, 0.668423, 0.73203, 0.827691, 0.985008, 1.24734, 1.68915" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0253534, 0.137321, 0.213911, 0.342137, 0.557328, 0.916306, 1.51478", \ + "0.0267018, 0.13747, 0.213986, 0.342189, 0.557333, 0.916696, 1.51484", \ + "0.0306431, 0.138558, 0.21462, 0.342288, 0.557786, 0.916697, 1.51485", \ + "0.0392165, 0.1418, 0.216634, 0.343322, 0.557873, 0.916698, 1.51749", \ + "0.0529713, 0.150393, 0.222008, 0.346445, 0.560014, 0.917326, 1.5175", \ + "0.076967, 0.164315, 0.232325, 0.353367, 0.564311, 0.921042, 1.51751", \ + "0.114562, 0.198199, 0.257673, 0.369151, 0.573192, 0.92583, 1.52126" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0591531, 0.0656738, 0.0656777, 0.065392, 0.063918, 0.0634986, 0.0631296", \ + "0.0574982, 0.0631791, 0.0641358, 0.0632763, 0.0618413, 0.0593791, 0.0607534", \ + "0.0573964, 0.0619832, 0.0635579, 0.0621413, 0.0612005, 0.0587736, 0.0599557", \ + "0.0581116, 0.0610707, 0.0611377, 0.0622265, 0.0601094, 0.0579667, 0.0654925", \ + "0.0609094, 0.0617372, 0.0621063, 0.060924, 0.0604342, 0.0648451, 0.0605887", \ + "0.0688734, 0.0660149, 0.0658998, 0.0666919, 0.0675778, 0.0614864, 0.0639418", \ + "0.0856605, 0.0767823, 0.0769798, 0.0772088, 0.0771881, 0.0779677, 0.0711795" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0571342, 0.063638, 0.0637347, 0.0636036, 0.062507, 0.0603496, 0.0561112", \ + "0.0552992, 0.0620498, 0.0623954, 0.0620535, 0.0611475, 0.0592516, 0.0554035", \ + "0.0553581, 0.0604802, 0.0618643, 0.0612742, 0.0608932, 0.0583902, 0.0544257", \ + "0.0566546, 0.0605022, 0.0605581, 0.0613079, 0.0603144, 0.0583223, 0.0561535", \ + "0.0598832, 0.0617692, 0.0618695, 0.0618333, 0.0605528, 0.0654453, 0.0557562", \ + "0.0676673, 0.064363, 0.0651125, 0.0654934, 0.0642692, 0.0582687, 0.0580175", \ + "0.0845351, 0.0758235, 0.0760746, 0.0761618, 0.0748601, 0.0728423, 0.0679908" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0159939; + rise_capacitance : 0.0163141; + rise_capacitance_range (0.0163141, 0.0163141); + fall_capacitance : 0.0156737; + fall_capacitance_range (0.0156737, 0.0156737); + } + } + cell (sg13g2_buf_2) { + area : 9.072; + cell_footprint : "BU"; + cell_leakage_power : 882.319; + leakage_power () { + value : 1067.14; + when : "!A&!X"; + } + leakage_power () { + value : 697.498; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0842437, 0.193425, 0.261583, 0.373704, 0.561497, 0.873983, 1.39462", \ + "0.12809, 0.237607, 0.305845, 0.418263, 0.605948, 0.918367, 1.43907", \ + "0.161533, 0.272805, 0.340938, 0.453206, 0.641257, 0.953705, 1.47435", \ + "0.211927, 0.326787, 0.394475, 0.506667, 0.694028, 1.00631, 1.52728", \ + "0.282463, 0.406905, 0.47434, 0.585824, 0.772579, 1.08472, 1.60511", \ + "0.375628, 0.522622, 0.58906, 0.699387, 0.886358, 1.19665, 1.71679", \ + "0.498839, 0.678944, 0.750527, 0.859967, 1.04524, 1.35486, 1.87385" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.023226, 0.160418, 0.257475, 0.417826, 0.686781, 1.13543, 1.88277", \ + "0.0258962, 0.160657, 0.257476, 0.418475, 0.687135, 1.13544, 1.88278", \ + "0.0303452, 0.161328, 0.257687, 0.418476, 0.6873, 1.13571, 1.88279", \ + "0.038805, 0.163677, 0.258855, 0.418645, 0.687301, 1.13636, 1.8828", \ + "0.0542844, 0.169046, 0.261948, 0.420449, 0.688616, 1.13637, 1.88281", \ + "0.07759, 0.184115, 0.26994, 0.424347, 0.690787, 1.13785, 1.88305", \ + "0.112538, 0.216562, 0.293498, 0.437084, 0.69723, 1.14176, 1.88661" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0902344, 0.189827, 0.248213, 0.343832, 0.503381, 0.769041, 1.21201", \ + "0.131625, 0.231858, 0.290217, 0.385873, 0.546539, 0.811249, 1.25418", \ + "0.165048, 0.267108, 0.325538, 0.421094, 0.580895, 0.846761, 1.2893", \ + "0.215405, 0.321976, 0.380464, 0.476225, 0.635817, 0.90152, 1.34437", \ + "0.284726, 0.398701, 0.457031, 0.551677, 0.711119, 0.976317, 1.41932", \ + "0.369722, 0.500461, 0.559269, 0.65436, 0.811756, 1.07228, 1.51306", \ + "0.475693, 0.634791, 0.696436, 0.791893, 0.949199, 1.2114, 1.64892" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0245444, 0.133737, 0.210276, 0.337842, 0.552052, 0.909294, 1.50464", \ + "0.026093, 0.133957, 0.210277, 0.338675, 0.553209, 0.909306, 1.50478", \ + "0.0298849, 0.134989, 0.210897, 0.338676, 0.55321, 0.909686, 1.5052", \ + "0.0379388, 0.137962, 0.212769, 0.339111, 0.553211, 0.909889, 1.50641", \ + "0.0510743, 0.145642, 0.217617, 0.341878, 0.554657, 0.910707, 1.50642", \ + "0.073697, 0.158793, 0.226364, 0.347608, 0.558354, 0.913765, 1.50672", \ + "0.108519, 0.190206, 0.250245, 0.361719, 0.566076, 0.917883, 1.51053" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00772902, 0.00846162, 0.00847796, 0.00839143, 0.00821249, 0.00822043, 0.00808171", \ + "0.00743347, 0.00814282, 0.008179, 0.0081583, 0.00796506, 0.00763899, 0.00776118", \ + "0.00741547, 0.00796548, 0.00807205, 0.00797036, 0.00789416, 0.00759527, 0.00777508", \ + "0.00746348, 0.00782072, 0.00779564, 0.00801316, 0.00767545, 0.00747507, 0.00754908", \ + "0.00779987, 0.00797647, 0.00806141, 0.00792231, 0.00782793, 0.00843005, 0.00775969", \ + "0.00875822, 0.00866888, 0.00859185, 0.00865048, 0.00877608, 0.00809981, 0.00887782", \ + "0.0109032, 0.0101231, 0.0102074, 0.0101825, 0.0101718, 0.0101585, 0.00962723" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0075269, 0.00832292, 0.00832569, 0.00826888, 0.00812039, 0.00785334, 0.00735408", \ + "0.00726397, 0.00816825, 0.00808511, 0.00814184, 0.00807831, 0.00768996, 0.0072405", \ + "0.00724457, 0.00787209, 0.00800959, 0.00804832, 0.00795469, 0.00763562, 0.00714748", \ + "0.0073854, 0.00790804, 0.00791324, 0.00799096, 0.0079856, 0.00767757, 0.0073449", \ + "0.00774915, 0.00804114, 0.00806897, 0.00796568, 0.00775707, 0.00788769, 0.00717233", \ + "0.00872932, 0.00846737, 0.00851412, 0.00862854, 0.00853731, 0.00766496, 0.00746", \ + "0.0108634, 0.00995545, 0.0100645, 0.00999142, 0.01003, 0.0097637, 0.00925799" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00245273; + rise_capacitance : 0.00250227; + rise_capacitance_range (0.00250227, 0.00250227); + fall_capacitance : 0.00240319; + fall_capacitance_range (0.00240319, 0.00240319); + } + } + cell (sg13g2_buf_4) { + area : 14.5152; + cell_footprint : "BU"; + cell_leakage_power : 1653.21; + leakage_power () { + value : 1257.51; + when : "A&X"; + } + leakage_power () { + value : 2048.91; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 1.2; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.108037, 0.225528, 0.294072, 0.406555, 0.594364, 0.906912, 1.42771", \ + "0.154308, 0.272207, 0.340641, 0.453222, 0.640917, 0.95371, 1.47418", \ + "0.192736, 0.31254, 0.381021, 0.493331, 0.680853, 0.993922, 1.51432", \ + "0.252461, 0.378054, 0.446164, 0.5586, 0.745925, 1.05833, 1.57887", \ + "0.339512, 0.477069, 0.54322, 0.654606, 0.841288, 1.15282, 1.67239", \ + "0.464962, 0.62421, 0.690528, 0.79982, 0.986301, 1.29634, 1.81514", \ + "0.63533, 0.830223, 0.904195, 1.01399, 1.19957, 1.50646, 2.0232" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0302896, 0.165134, 0.261253, 0.421348, 0.68979, 1.13702, 1.88323", \ + "0.0322339, 0.16532, 0.261295, 0.421349, 0.689933, 1.13743, 1.88368", \ + "0.0368622, 0.166299, 0.261651, 0.42136, 0.692841, 1.13792, 1.88374", \ + "0.0464333, 0.169377, 0.26325, 0.422176, 0.692842, 1.13793, 1.88375", \ + "0.0633233, 0.178284, 0.267918, 0.424775, 0.692843, 1.13794, 1.8838", \ + "0.091189, 0.196598, 0.27981, 0.430868, 0.695093, 1.14024, 1.88474", \ + "0.134825, 0.238088, 0.310614, 0.448453, 0.704435, 1.14567, 1.88831" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0915792, 0.195019, 0.253814, 0.349939, 0.510381, 0.777451, 1.22213", \ + "0.133956, 0.237928, 0.296604, 0.392793, 0.553147, 0.820174, 1.26508", \ + "0.168467, 0.274062, 0.33286, 0.429042, 0.589483, 0.856605, 1.30125", \ + "0.22048, 0.330736, 0.389529, 0.485646, 0.646047, 0.912943, 1.3577", \ + "0.291837, 0.41047, 0.46888, 0.564266, 0.723914, 0.99055, 1.4348", \ + "0.379119, 0.514854, 0.573741, 0.668453, 0.824584, 1.08987, 1.53243", \ + "0.481099, 0.646392, 0.708837, 0.803447, 0.959781, 1.22225, 1.66344" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0253969, 0.136271, 0.212922, 0.341129, 0.556259, 0.915793, 1.5132", \ + "0.026879, 0.136391, 0.21305, 0.341414, 0.556539, 0.915794, 1.51333", \ + "0.0308944, 0.137445, 0.213518, 0.341415, 0.556667, 0.915795, 1.51396", \ + "0.0396492, 0.140606, 0.215532, 0.342281, 0.557175, 0.915796, 1.51575", \ + "0.053879, 0.149148, 0.220849, 0.345249, 0.558506, 0.91622, 1.51576", \ + "0.078994, 0.164136, 0.231012, 0.351837, 0.563008, 0.919504, 1.51577", \ + "0.11925, 0.199371, 0.256656, 0.36713, 0.57213, 0.924756, 1.51941" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0140492, 0.0155023, 0.0155741, 0.0154944, 0.0151729, 0.0151172, 0.0147595", \ + "0.0136986, 0.0149787, 0.015143, 0.0150286, 0.0148117, 0.0142194, 0.014392", \ + "0.0136858, 0.0146963, 0.0148601, 0.0149161, 0.0151189, 0.0141497, 0.0142921", \ + "0.0138841, 0.0145843, 0.0146274, 0.0150037, 0.0146041, 0.0139191, 0.0142569", \ + "0.0146472, 0.0147737, 0.0146876, 0.0145262, 0.0143372, 0.0150538, 0.0147207", \ + "0.0166679, 0.0155798, 0.0154611, 0.0152465, 0.0154526, 0.014055, 0.0146923", \ + "0.0204786, 0.0176793, 0.0177075, 0.0176098, 0.0179479, 0.0175735, 0.0154826" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0141801, 0.0157967, 0.015859, 0.0157142, 0.0154322, 0.0151128, 0.0139253", \ + "0.0138021, 0.0156689, 0.0156227, 0.0155446, 0.0152725, 0.0147411, 0.0137713", \ + "0.0137957, 0.0150576, 0.0153994, 0.0153541, 0.0151161, 0.0145835, 0.0136678", \ + "0.0140587, 0.0150603, 0.0150566, 0.0152349, 0.0150826, 0.014356, 0.0141385", \ + "0.0147179, 0.0151864, 0.0152666, 0.0150376, 0.0146592, 0.0158294, 0.0138015", \ + "0.0165782, 0.0157734, 0.0157956, 0.0157978, 0.0152559, 0.0140536, 0.0139783", \ + "0.0202288, 0.017654, 0.017639, 0.0174509, 0.0175457, 0.0170584, 0.0159936" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00348565; + rise_capacitance : 0.00365131; + rise_capacitance_range (0.00365131, 0.00365131); + fall_capacitance : 0.00331999; + fall_capacitance_range (0.00331999, 0.00331999); + } + } + cell (sg13g2_buf_8) { + area : 23.5872; + cell_footprint : "BU"; + cell_leakage_power : 3370.78; + leakage_power () { + value : 2514.38; + when : "A&X"; + } + leakage_power () { + value : 4227.18; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 2.4; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0834697, 0.196509, 0.264766, 0.377515, 0.565275, 0.878275, 1.39968", \ + "0.127497, 0.241076, 0.309372, 0.421835, 0.609719, 0.922648, 1.44446", \ + "0.160911, 0.276588, 0.34485, 0.45746, 0.64541, 0.960361, 1.48012", \ + "0.211436, 0.331455, 0.399214, 0.511732, 0.699305, 1.01174, 1.53337", \ + "0.282114, 0.412343, 0.479296, 0.590684, 0.777808, 1.08996, 1.61189", \ + "0.375295, 0.529033, 0.595701, 0.706665, 0.893103, 1.20347, 1.72391", \ + "0.498442, 0.685383, 0.757209, 0.86659, 1.05096, 1.36095, 1.87992" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0223902, 0.161468, 0.258481, 0.419145, 0.688336, 1.13736, 1.88543", \ + "0.0251448, 0.16172, 0.258485, 0.419243, 0.688337, 1.13737, 1.88544", \ + "0.0298693, 0.162407, 0.258746, 0.419268, 0.688383, 1.13881, 1.88545", \ + "0.0386683, 0.164812, 0.260023, 0.419948, 0.688628, 1.13882, 1.88546", \ + "0.0548358, 0.170645, 0.263558, 0.421881, 0.689774, 1.13883, 1.88547", \ + "0.07967, 0.186634, 0.272251, 0.426535, 0.692522, 1.13985, 1.88565", \ + "0.11737, 0.220951, 0.29714, 0.440191, 0.699894, 1.14435, 1.8892" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0928532, 0.198197, 0.257054, 0.353607, 0.514534, 0.782044, 1.22809", \ + "0.134612, 0.240447, 0.299384, 0.395852, 0.556592, 0.824479, 1.27055", \ + "0.168982, 0.276748, 0.335695, 0.432132, 0.593123, 0.860785, 1.30659", \ + "0.221111, 0.333692, 0.392788, 0.48924, 0.649972, 0.917631, 1.3637", \ + "0.29349, 0.414317, 0.473459, 0.5697, 0.730034, 0.997591, 1.44279", \ + "0.384194, 0.52215, 0.581993, 0.677042, 0.835919, 1.09793, 1.54182", \ + "0.500333, 0.666441, 0.729284, 0.824514, 0.983745, 1.24565, 1.68988" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0250464, 0.136767, 0.213595, 0.342142, 0.557811, 0.917599, 1.51727", \ + "0.0264775, 0.136974, 0.21366, 0.342143, 0.557812, 0.917814, 1.51733", \ + "0.0304822, 0.138061, 0.214241, 0.34227, 0.557932, 0.917815, 1.51734", \ + "0.0390557, 0.141331, 0.216311, 0.343254, 0.558644, 0.917816, 1.51735", \ + "0.0528629, 0.149409, 0.221504, 0.346394, 0.560228, 0.919068, 1.51836", \ + "0.076934, 0.163784, 0.23192, 0.353019, 0.564691, 0.922231, 1.51955", \ + "0.114438, 0.197902, 0.256641, 0.368277, 0.573312, 0.927845, 1.52374" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0292486, 0.032412, 0.0324199, 0.0323173, 0.0316255, 0.0303679, 0.031012", \ + "0.0283645, 0.0312976, 0.0315738, 0.0311304, 0.0304457, 0.0293156, 0.0300293", \ + "0.0283493, 0.0305833, 0.0310874, 0.0307973, 0.0302287, 0.0300099, 0.0295662", \ + "0.028642, 0.029971, 0.0300443, 0.0310089, 0.0296835, 0.0285438, 0.0291098", \ + "0.0300379, 0.0305266, 0.0306916, 0.0301831, 0.0298146, 0.0290204, 0.0299516", \ + "0.0340164, 0.0328642, 0.0326501, 0.0332343, 0.0333031, 0.0309699, 0.0335402", \ + "0.0424251, 0.03796, 0.0382324, 0.038192, 0.0381268, 0.0379836, 0.0358731" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0282498, 0.0315345, 0.0315237, 0.0314622, 0.0309942, 0.0298596, 0.0277581", \ + "0.0272793, 0.0311004, 0.0311202, 0.0305946, 0.0302463, 0.0292842, 0.0273379", \ + "0.0273204, 0.0298482, 0.0305853, 0.0303223, 0.0299209, 0.028841, 0.0269502", \ + "0.027974, 0.0299007, 0.0299326, 0.0303008, 0.0299061, 0.0286315, 0.0269897", \ + "0.0295589, 0.0301604, 0.0304855, 0.0304541, 0.0298255, 0.0324672, 0.0275975", \ + "0.0334778, 0.0317802, 0.0322257, 0.0323169, 0.031684, 0.0288924, 0.0279156", \ + "0.041912, 0.0375083, 0.0373452, 0.0368153, 0.0370704, 0.0357188, 0.0333877" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00802637; + rise_capacitance : 0.00818514; + rise_capacitance_range (0.00818514, 0.00818514); + fall_capacitance : 0.00786761; + fall_capacitance_range (0.00786761, 0.00786761); + } + } + cell (sg13g2_decap_4) { + area : 7.2576; + cell_footprint : "DECAP"; + dont_touch : true; + dont_use : true; + is_decap_cell : true; + cell_leakage_power : 98.6355; + } + cell (sg13g2_decap_8) { + area : 12.7008; + cell_footprint : "DECAP"; + dont_touch : true; + dont_use : true; + is_decap_cell : true; + cell_leakage_power : 197.301; + } + cell (sg13g2_dfrbp_1) { + area : 47.1744; + cell_footprint : "dffrr"; + cell_leakage_power : 2501.97; + leakage_power () { + value : 2204.98; + when : "!CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 2242.69; + when : "!CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 2077.23; + when : "CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 2114.93; + when : "CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 2984.47; + when : "!CLK&!D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 2297.83; + when : "!CLK&!D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 2745.41; + when : "!CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 2895.46; + when : "!CLK&D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 2708.94; + when : "CLK&!D&RESET_B&Q*!Q_N"; + } + leakage_power () { + value : 2201.46; + when : "CLK&!D&RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 2846.23; + when : "CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 2704.01; + when : "CLK&D&RESET_B&!Q&Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.305138, 0.397599, 0.464908, 0.576476, 0.763469, 1.07517, 1.59508", \ + "0.349007, 0.441651, 0.508731, 0.620792, 0.807222, 1.11902, 1.63906", \ + "0.383664, 0.476053, 0.543332, 0.654936, 0.842913, 1.15407, 1.67342", \ + "0.437648, 0.530207, 0.59727, 0.708767, 0.895755, 1.20762, 1.72768", \ + "0.517009, 0.609371, 0.676492, 0.788029, 0.974955, 1.28685, 1.80669", \ + "0.6269, 0.719228, 0.786347, 0.897895, 1.08486, 1.3967, 1.91637", \ + "0.778439, 0.869413, 0.936416, 1.04782, 1.23482, 1.54672, 2.06634" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0321192, 0.160615, 0.256847, 0.416556, 0.685021, 1.13149, 1.87652", \ + "0.0321527, 0.160816, 0.25707, 0.417083, 0.685022, 1.13156, 1.87653", \ + "0.0321757, 0.160817, 0.257071, 0.417084, 0.685363, 1.13198, 1.87673", \ + "0.0321884, 0.160818, 0.257072, 0.417085, 0.685364, 1.13199, 1.87714", \ + "0.032292, 0.160819, 0.257073, 0.417086, 0.685365, 1.132, 1.87715", \ + "0.032484, 0.16082, 0.257074, 0.417087, 0.685366, 1.13201, 1.87716", \ + "0.033335, 0.160821, 0.257075, 0.417088, 0.685367, 1.13202, 1.87717" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.294402, 0.379416, 0.436788, 0.531833, 0.690868, 0.956, 1.39793", \ + "0.338497, 0.423271, 0.480805, 0.575638, 0.734762, 1.00018, 1.44201", \ + "0.373538, 0.458191, 0.515766, 0.61069, 0.76975, 1.03504, 1.47746", \ + "0.426931, 0.511843, 0.569324, 0.664296, 0.823343, 1.08857, 1.53039", \ + "0.503469, 0.588309, 0.645823, 0.740744, 0.899758, 1.16487, 1.60671", \ + "0.605277, 0.689996, 0.747444, 0.842437, 1.00147, 1.26655, 1.70838", \ + "0.734663, 0.819329, 0.876785, 0.97179, 1.13088, 1.39599, 1.83787" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0277255, 0.131521, 0.207893, 0.335142, 0.54895, 0.905512, 1.50063", \ + "0.0277265, 0.131522, 0.20832, 0.335146, 0.548961, 0.905673, 1.50083", \ + "0.0277589, 0.131523, 0.208321, 0.335317, 0.548999, 0.905688, 1.50084", \ + "0.0277599, 0.131524, 0.208322, 0.335366, 0.549, 0.906705, 1.50085", \ + "0.0277609, 0.131525, 0.208323, 0.335367, 0.549001, 0.906706, 1.50086", \ + "0.027824, 0.131526, 0.208324, 0.335368, 0.549175, 0.906707, 1.50087", \ + "0.027825, 0.131527, 0.208325, 0.335369, 0.549363, 0.906708, 1.50088" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.390009, 0.474497, 0.531886, 0.627007, 0.786123, 1.05149, 1.49341", \ + "0.434617, 0.519159, 0.576672, 0.671689, 0.830961, 1.09624, 1.53817", \ + "0.477975, 0.562427, 0.619979, 0.715108, 0.874381, 1.13972, 1.58144", \ + "0.552244, 0.636697, 0.694241, 0.789242, 0.948485, 1.21374, 1.65691", \ + "0.668271, 0.752742, 0.810209, 0.905282, 1.06442, 1.32946, 1.7715", \ + "0.830985, 0.915348, 0.972855, 1.06781, 1.22705, 1.49221, 1.93416", \ + "1.05876, 1.143, 1.2004, 1.2954, 1.45473, 1.71987, 2.16189" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0273724, 0.131259, 0.20775, 0.335092, 0.548914, 0.905555, 1.50041", \ + "0.0273734, 0.131261, 0.207751, 0.335098, 0.548915, 0.905556, 1.50042", \ + "0.0273744, 0.131262, 0.207752, 0.335461, 0.549288, 0.906227, 1.50043", \ + "0.0273772, 0.131263, 0.207753, 0.335462, 0.549289, 0.90716, 1.50172", \ + "0.027421, 0.131264, 0.207754, 0.335463, 0.54929, 0.907161, 1.50173", \ + "0.027553, 0.131265, 0.207755, 0.335464, 0.549291, 0.907162, 1.50174", \ + "0.027782, 0.1313, 0.207756, 0.335465, 0.549292, 0.907163, 1.50175" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0243866, 0.038036, 0.0472066, 0.0622696, 0.0874368, 0.129206, 0.198959", \ + "0.0240086, 0.0376955, 0.0468603, 0.0619558, 0.0870213, 0.128854, 0.198584", \ + "0.0239041, 0.0376172, 0.0467416, 0.0617994, 0.0870445, 0.1288, 0.198466", \ + "0.0238685, 0.0374733, 0.0466596, 0.0618802, 0.0868283, 0.128692, 0.198485", \ + "0.0240545, 0.0376775, 0.0468621, 0.0618665, 0.0870888, 0.128903, 0.200017", \ + "0.0246873, 0.0382504, 0.0474585, 0.0626033, 0.0878184, 0.129466, 0.199644", \ + "0.0264852, 0.0399602, 0.049115, 0.0643065, 0.0895781, 0.131548, 0.201192" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.02377, 0.03736, 0.0464471, 0.0614341, 0.0864053, 0.127957, 0.197332", \ + "0.0234907, 0.0370959, 0.0461851, 0.0610976, 0.0860943, 0.127672, 0.197051", \ + "0.0234339, 0.0370459, 0.0461762, 0.0611799, 0.0860405, 0.127635, 0.197066", \ + "0.023533, 0.037081, 0.0462069, 0.0613923, 0.0861096, 0.127834, 0.197051", \ + "0.0238665, 0.0374611, 0.0466885, 0.0615731, 0.0864846, 0.128539, 0.19742", \ + "0.0246378, 0.0382339, 0.0473051, 0.0624798, 0.0877158, 0.128999, 0.198823", \ + "0.0265334, 0.0400654, 0.0491989, 0.0642129, 0.0894235, 0.13162, 0.201356" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0158072, 0.0293412, 0.0384573, 0.053445, 0.0784282, 0.120013, 0.189332", \ + "0.0156757, 0.0292078, 0.0383314, 0.0533003, 0.078311, 0.119884, 0.189123", \ + "0.0155975, 0.0292786, 0.0382586, 0.0532736, 0.0782593, 0.11983, 0.189121", \ + "0.0155786, 0.0291083, 0.0382821, 0.0533912, 0.0782879, 0.119852, 0.189356", \ + "0.0157164, 0.0292684, 0.0383945, 0.0533058, 0.0785443, 0.12003, 0.189192", \ + "0.0160235, 0.0295616, 0.0386721, 0.0538252, 0.0788095, 0.120292, 0.19169", \ + "0.0168006, 0.030209, 0.0394156, 0.054397, 0.0798024, 0.121823, 0.191106" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.225784, 0.355868, 0.426235, 0.539152, 0.726637, 1.03894, 1.55917", \ + "0.269811, 0.399793, 0.470272, 0.582909, 0.770999, 1.0831, 1.60325", \ + "0.305003, 0.435019, 0.505435, 0.618181, 0.805795, 1.11817, 1.63761", \ + "0.358211, 0.488203, 0.558615, 0.671329, 0.859033, 1.17151, 1.69228", \ + "0.434616, 0.564752, 0.635128, 0.747964, 0.93558, 1.24772, 1.76752", \ + "0.53749, 0.667578, 0.737996, 0.850755, 1.03833, 1.35073, 1.87041", \ + "0.669966, 0.799733, 0.870155, 0.983136, 1.17063, 1.48284, 2.00269" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0450526, 0.178232, 0.268476, 0.42357, 0.689258, 1.13584, 1.88011", \ + "0.0450536, 0.178233, 0.268477, 0.423572, 0.689578, 1.13597, 1.88024", \ + "0.0450546, 0.178234, 0.268478, 0.423573, 0.691235, 1.13598, 1.88095", \ + "0.0450556, 0.178235, 0.268479, 0.423574, 0.691236, 1.13643, 1.88138", \ + "0.0450566, 0.178248, 0.268563, 0.423575, 0.691237, 1.13644, 1.88139", \ + "0.04519, 0.178413, 0.268766, 0.423583, 0.691238, 1.13645, 1.8814", \ + "0.045191, 0.17843, 0.268767, 0.423667, 0.691239, 1.13646, 1.88141" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.228481, 0.36384, 0.428529, 0.527415, 0.687986, 0.954457, 1.39791", \ + "0.272407, 0.407896, 0.472495, 0.571236, 0.731822, 0.998459, 1.44203", \ + "0.307052, 0.442546, 0.507225, 0.606041, 0.766661, 1.03302, 1.47725", \ + "0.360902, 0.496329, 0.561103, 0.659736, 0.820524, 1.08699, 1.53063", \ + "0.440103, 0.575723, 0.640488, 0.739442, 0.900058, 1.16651, 1.61004", \ + "0.548574, 0.68481, 0.749704, 0.848812, 1.00946, 1.276, 1.7195", \ + "0.697528, 0.836987, 0.902134, 1.00125, 1.16222, 1.42878, 1.87259" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0500404, 0.164662, 0.232776, 0.35157, 0.559643, 0.914811, 1.51064", \ + "0.0500854, 0.164663, 0.232891, 0.351571, 0.559645, 0.915037, 1.51068", \ + "0.0500864, 0.164664, 0.232892, 0.351572, 0.559656, 0.915214, 1.51137", \ + "0.0504207, 0.164739, 0.232893, 0.351573, 0.559657, 0.915519, 1.51138", \ + "0.0507721, 0.16498, 0.233019, 0.351574, 0.559908, 0.91552, 1.5115", \ + "0.052888, 0.16566, 0.233277, 0.351575, 0.559945, 0.915521, 1.51151", \ + "0.058769, 0.1677, 0.234538, 0.352324, 0.559946, 0.915522, 1.51152" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.322133, 0.448809, 0.518623, 0.631348, 0.818854, 1.13112, 1.6513", \ + "0.366827, 0.493388, 0.563397, 0.676128, 0.863707, 1.17589, 1.69578", \ + "0.410211, 0.53676, 0.606622, 0.719249, 0.906999, 1.21916, 1.73919", \ + "0.484476, 0.611051, 0.680919, 0.793624, 0.981144, 1.29334, 1.8135", \ + "0.60031, 0.727006, 0.796782, 0.909329, 1.097, 1.40921, 1.92942", \ + "0.762488, 0.889632, 0.959444, 1.07213, 1.25972, 1.5719, 2.09167", \ + "0.989373, 1.11742, 1.18727, 1.29994, 1.48775, 1.79986, 2.31965" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0453917, 0.175276, 0.266438, 0.422749, 0.68911, 1.13579, 1.88017", \ + "0.0453927, 0.175277, 0.266439, 0.422804, 0.68938, 1.1358, 1.88043", \ + "0.0453937, 0.175278, 0.26644, 0.423609, 0.689393, 1.13594, 1.88044", \ + "0.0454453, 0.175279, 0.266441, 0.42361, 0.689394, 1.13595, 1.88045", \ + "0.04587, 0.175423, 0.266442, 0.423611, 0.689395, 1.13596, 1.88139", \ + "0.046978, 0.175692, 0.266473, 0.423612, 0.689396, 1.13597, 1.8814", \ + "0.048903, 0.176296, 0.266772, 0.423613, 0.689397, 1.13598, 1.88141" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0237712, 0.0374058, 0.0465649, 0.0616356, 0.0867325, 0.128595, 0.198232", \ + "0.0234788, 0.0371105, 0.0462533, 0.0613185, 0.0864761, 0.128306, 0.197965", \ + "0.0234417, 0.0371283, 0.0462845, 0.0612793, 0.0866487, 0.128245, 0.198007", \ + "0.0235129, 0.03712, 0.0463116, 0.0615045, 0.0866032, 0.128353, 0.198198", \ + "0.0238562, 0.037511, 0.0466927, 0.0616691, 0.0868821, 0.128876, 0.198812", \ + "0.0246768, 0.0383022, 0.0475048, 0.0625733, 0.0877827, 0.129457, 0.199684", \ + "0.0265534, 0.0401415, 0.0492778, 0.0644564, 0.0896658, 0.131654, 0.201149" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0243562, 0.0379645, 0.0470765, 0.0620743, 0.0870651, 0.128631, 0.19797", \ + "0.0239973, 0.0376024, 0.0467091, 0.0616848, 0.0866733, 0.128304, 0.197647", \ + "0.0238941, 0.0375128, 0.0468567, 0.0618617, 0.0865952, 0.128188, 0.197557", \ + "0.0238264, 0.0373741, 0.046514, 0.0617202, 0.0865877, 0.128212, 0.197438", \ + "0.0240501, 0.0376255, 0.0468643, 0.0617792, 0.0867643, 0.128976, 0.197741", \ + "0.0246906, 0.0382158, 0.0473503, 0.0625472, 0.0877708, 0.129101, 0.198873", \ + "0.0264575, 0.0398865, 0.0489866, 0.0641294, 0.0893016, 0.131418, 0.201114" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0158109, 0.0294361, 0.038598, 0.0536683, 0.0788112, 0.120641, 0.190214", \ + "0.0156965, 0.0292876, 0.0384673, 0.0535115, 0.0786679, 0.120503, 0.190241", \ + "0.0156083, 0.0292195, 0.0384177, 0.0535588, 0.0785936, 0.1204, 0.190154", \ + "0.0155937, 0.0291827, 0.0384201, 0.0534545, 0.0787608, 0.120419, 0.190097", \ + "0.0157282, 0.029341, 0.0384682, 0.0535716, 0.078971, 0.120499, 0.190243", \ + "0.0160354, 0.0296187, 0.0388118, 0.0539479, 0.0789108, 0.121027, 0.19057", \ + "0.0168026, 0.0303165, 0.0395387, 0.0546486, 0.079916, 0.121816, 0.191386" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0025715; + rise_capacitance : 0.00271976; + rise_capacitance_range (0.00271976, 0.00271976); + fall_capacitance : 0.00234912; + fall_capacitance_range (0.00234912, 0.00234912); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.173492, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.212402, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00938069, 0.0090176, 0.0089808, 0.0089307, 0.00921494, 0.00990563, 0.0115973" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0170561, 0.0166965, 0.0166103, 0.0166213, 0.0169153, 0.017535, 0.0193243" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0155552, 0.0152082, 0.0151096, 0.0151208, 0.0154148, 0.0160346, 0.0178245" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00909901, 0.00875688, 0.00872369, 0.00870196, 0.00895235, 0.0096388, 0.0113291" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00899274, 0.00869585, 0.00863944, 0.00868342, 0.00898642, 0.00960569, 0.0113785" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0234071, 0.0246488, 0.0246834, 0.0244464, 0.0244866, 0.0251445, 0.0269908" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00901179, 0.0086557, 0.0086164, 0.00857974, 0.00884104, 0.00953176, 0.0112326" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00895005, 0.00862196, 0.00857835, 0.00861378, 0.00891972, 0.00954582, 0.0113193" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0089739, 0.00863469, 0.00860188, 0.00857494, 0.00882521, 0.00951382, 0.0112019" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00896527, 0.00865853, 0.00861425, 0.00865455, 0.00895677, 0.00957635, 0.0113483" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00909901, 0.00875688, 0.00872369, 0.00870196, 0.00895235, 0.0096388, 0.0113291" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0155552, 0.0152082, 0.0151096, 0.0151208, 0.0154148, 0.0160346, 0.0178245" \ + ); + } + } + } + pin (D) { + direction : "input"; + nextstate_type : "data"; + max_transition : 2.5074; + capacitance : 0.00167758; + rise_capacitance : 0.00155442; + rise_capacitance_range (0.00155442, 0.00155442); + fall_capacitance : 0.00180073; + fall_capacitance_range (0.00180073, 0.00180073); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0978076, -0.0152214, 0.0292886, 0.0774245", \ + "-0.279286, -0.196077, -0.14851, -0.0956173", \ + "-0.40734, -0.339258, -0.291423, -0.236005", \ + "-0.533448, -0.481673, -0.441983, -0.389603" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0464586, 0.104578, 0.204169, 0.309484", \ + "-0.249336, -0.0993119, 0.00621186, 0.116056", \ + "-0.404768, -0.268453, -0.172695, -0.0608579", \ + "-0.557733, -0.454183, -0.368535, -0.259735" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.183389, 0.092592, 0.045293, -0.00726698", \ + "0.391598, 0.290296, 0.237672, 0.183585", \ + "0.571934, 0.462512, 0.402056, 0.340528", \ + "0.773602, 0.652111, 0.588881, 0.522422" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.178499, 0.00773397, -0.101298, -0.209644", \ + "0.406573, 0.236821, 0.122286, 0.00764929", \ + "0.613082, 0.4494, 0.337296, 0.219055", \ + "0.838363, 0.6796, 0.574756, 0.46044" \ + ); + } + } + internal_power () { + when : "CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00159105, 0.00147737, 0.00145873, 0.00148715, 0.00159773, 0.00187574, 0.00254363" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00128742, 0.00115098, 0.00113722, 0.00116348, 0.00128105, 0.00158571, 0.00222984" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00815938, 0.00811609, 0.00806893, 0.00807996, 0.00815878, 0.00839222, 0.00909317" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00684485, 0.00678988, 0.00671838, 0.00671695, 0.00679211, 0.00706622, 0.00774762" \ + ); + } + } + internal_power () { + when : "(!CLK * !RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "9.40433e-05, 9.37858e-05, 9.57425e-05, 9.62521e-05, 9.65394e-05, 9.82015e-05, 9.84585e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-1.58722e-06, 3.81204e-06, 2.85481e-06, 2.65435e-06, 3.086e-06, 5.45863e-06, 5.36251e-06" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00159105, 0.00147737, 0.00145873, 0.00148715, 0.00159773, 0.00187574, 0.00254363" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00128742, 0.00115098, 0.00113722, 0.00116348, 0.00128105, 0.00158571, 0.00222984" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00609676; + rise_capacitance : 0.0060584; + rise_capacitance_range (0.0060584, 0.0060584); + fall_capacitance : 0.00612417; + fall_capacitance_range (0.00612417, 0.00612417); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.195615, 0.107567, 0.0581518, 0.00622484", \ + "0.39659, 0.297936, 0.248162, 0.194581", \ + "0.582221, 0.478246, 0.423643, 0.368778", \ + "0.81138, 0.701592, 0.642555, 0.578501" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.166273, -0.0801129, -0.0298623, 0.0207588", \ + "-0.361648, -0.264832, -0.214071, -0.161593", \ + "-0.5385, -0.43891, -0.383168, -0.329228", \ + "-0.749317, -0.643863, -0.588881, -0.531277" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.163116, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00362679, 0.00354754, 0.0035252, 0.00350401, 0.00353854, 0.00368361, 0.00416653" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0217103, 0.0213298, 0.021151, 0.0210352, 0.0211981, 0.0217692, 0.0234805" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00170783, 0.00170348, 0.00170563, 0.00170281, 0.00170338, 0.0017029, 0.00170401" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00118631, -0.00129721, -0.00132827, -0.00135368, -0.00137657, -0.0013953, -0.00141447" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0105255, 0.0104103, 0.0103399, 0.010306, 0.0103208, 0.0105315, 0.0112755" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00648163, 0.00621573, 0.00611772, 0.00599512, 0.00600702, 0.00623423, 0.00712907" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00173289, 0.00173555, 0.00173831, 0.00173423, 0.00173712, 0.00173891, 0.00173606" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00126467, -0.00135497, -0.00138306, -0.00140851, -0.00142689, -0.00144171, -0.00145841" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00362679, 0.00354754, 0.0035252, 0.00350401, 0.00353854, 0.00368361, 0.00416653" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00648163, 0.00621573, 0.00611772, 0.00599512, 0.00600702, 0.00623423, 0.00712907" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clocked_on : "CLK"; + next_state : "D"; + } + } + cell (sg13g2_dfrbp_2) { + area : 54.432; + cell_footprint : "dffrr"; + cell_leakage_power : 3213.96; + leakage_power () { + value : 2889.53; + when : "!CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 2927.18; + when : "!CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 2762.66; + when : "CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 2800.31; + when : "CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 3740.72; + when : "!CLK&!D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 2981.54; + when : "!CLK&!D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 3499.94; + when : "!CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 3625.03; + when : "!CLK&D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 3463.32; + when : "CLK&!D&RESET_B&Q*!Q_N"; + } + leakage_power () { + value : 2886.88; + when : "CLK&!D&RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 3601.05; + when : "CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 3389.38; + when : "CLK&D&RESET_B&!Q&Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.377662, 0.462698, 0.528387, 0.639481, 0.826996, 1.14031, 1.66274", \ + "0.421416, 0.506087, 0.571974, 0.683407, 0.870762, 1.18376, 1.70646", \ + "0.456586, 0.541263, 0.607192, 0.718216, 0.905811, 1.21911, 1.74174", \ + "0.510125, 0.59499, 0.660878, 0.771895, 0.959274, 1.27281, 1.79498", \ + "0.589456, 0.674255, 0.740094, 0.851103, 1.03853, 1.35171, 1.87428", \ + "0.700892, 0.785878, 0.851555, 0.962611, 1.14998, 1.46312, 1.98592", \ + "0.855678, 0.93966, 1.00514, 1.11621, 1.30357, 1.61692, 2.13924" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0355282, 0.163232, 0.258966, 0.419165, 0.688361, 1.13752, 1.88492", \ + "0.0355292, 0.163233, 0.258967, 0.419385, 0.688523, 1.13753, 1.88573", \ + "0.0355302, 0.163234, 0.258967, 0.419432, 0.688755, 1.13754, 1.88574", \ + "0.0355312, 0.163235, 0.258968, 0.419433, 0.688756, 1.13845, 1.88575", \ + "0.035605, 0.163236, 0.258969, 0.419434, 0.688757, 1.13846, 1.88576", \ + "0.035688, 0.163258, 0.25897, 0.419435, 0.688758, 1.13847, 1.88577", \ + "0.036018, 0.163337, 0.259025, 0.419436, 0.688759, 1.13848, 1.88578" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.329633, 0.4137, 0.47081, 0.565739, 0.725047, 0.99079, 1.43404", \ + "0.373339, 0.457562, 0.514674, 0.609663, 0.768857, 1.03481, 1.47803", \ + "0.408179, 0.492122, 0.549219, 0.644089, 0.803514, 1.06932, 1.51252", \ + "0.461162, 0.545504, 0.602419, 0.697488, 0.856822, 1.12274, 1.5658", \ + "0.537068, 0.62107, 0.678172, 0.773094, 0.932412, 1.19815, 1.64125", \ + "0.639724, 0.723737, 0.78081, 0.875733, 1.03503, 1.30081, 1.7439", \ + "0.76988, 0.853927, 0.910999, 1.00592, 1.16531, 1.431, 1.87437" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0301577, 0.135672, 0.211474, 0.338719, 0.552971, 0.910642, 1.50687", \ + "0.0301587, 0.135825, 0.211475, 0.339003, 0.553052, 0.910702, 1.50688", \ + "0.0301597, 0.135826, 0.211476, 0.339004, 0.553053, 0.910703, 1.50696", \ + "0.0301607, 0.135827, 0.211477, 0.339005, 0.553279, 0.911791, 1.50764", \ + "0.030247, 0.135828, 0.211478, 0.339006, 0.55328, 0.911792, 1.50765", \ + "0.030248, 0.135829, 0.211479, 0.339007, 0.553357, 0.911793, 1.50766", \ + "0.030249, 0.13583, 0.21148, 0.339008, 0.553358, 0.911794, 1.50767" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.441312, 0.5252, 0.582473, 0.677432, 0.837016, 1.10279, 1.54604", \ + "0.4863, 0.570258, 0.627202, 0.721984, 0.881403, 1.14713, 1.59082", \ + "0.530258, 0.614336, 0.671368, 0.766514, 0.92598, 1.19235, 1.63606", \ + "0.606202, 0.690344, 0.747468, 0.842499, 1.00214, 1.26798, 1.71116", \ + "0.725675, 0.809329, 0.86648, 0.961498, 1.1211, 1.38694, 1.83042", \ + "0.894684, 0.97831, 1.03544, 1.13047, 1.28992, 1.55582, 1.99901", \ + "1.13078, 1.21437, 1.27137, 1.36629, 1.52598, 1.79173, 2.23511" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0295922, 0.135453, 0.2113, 0.338606, 0.552947, 0.910741, 1.50702", \ + "0.0295932, 0.135454, 0.211301, 0.338612, 0.552969, 0.910742, 1.50703", \ + "0.0295942, 0.135455, 0.211302, 0.338798, 0.553149, 0.91091, 1.50704", \ + "0.0295952, 0.135456, 0.211303, 0.338799, 0.55315, 0.911409, 1.50705", \ + "0.029619, 0.135458, 0.211304, 0.3388, 0.553151, 0.91141, 1.50706", \ + "0.029771, 0.135459, 0.211363, 0.338801, 0.553152, 0.911411, 1.50707", \ + "0.029909, 0.135552, 0.211364, 0.338802, 0.553153, 0.911412, 1.50769" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0295636, 0.0573456, 0.0757238, 0.105869, 0.156242, 0.239967, 0.379187", \ + "0.0292187, 0.0569451, 0.0753125, 0.1055, 0.155861, 0.239476, 0.378857", \ + "0.0291067, 0.0569139, 0.0752708, 0.10546, 0.155845, 0.239387, 0.378909", \ + "0.0290668, 0.0567462, 0.0752016, 0.105418, 0.156045, 0.239649, 0.378728", \ + "0.029341, 0.0570302, 0.0754236, 0.105531, 0.155987, 0.239558, 0.381621", \ + "0.0300845, 0.0576251, 0.0760887, 0.106414, 0.156691, 0.240278, 0.380997", \ + "0.032068, 0.0593464, 0.0777737, 0.108125, 0.158797, 0.242724, 0.381827" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0301143, 0.0579545, 0.0762156, 0.106186, 0.156147, 0.23928, 0.378005", \ + "0.0297892, 0.0576869, 0.0759531, 0.105924, 0.155838, 0.239044, 0.377688", \ + "0.0297336, 0.0577284, 0.0759542, 0.105901, 0.15579, 0.238847, 0.377561", \ + "0.0298372, 0.057718, 0.0759181, 0.106302, 0.156082, 0.239247, 0.377685", \ + "0.0301773, 0.0580515, 0.0765532, 0.106275, 0.156244, 0.241297, 0.378068", \ + "0.0310483, 0.0589652, 0.0772075, 0.107462, 0.15805, 0.240358, 0.380124", \ + "0.0330444, 0.0608792, 0.0789912, 0.10926, 0.159571, 0.243907, 0.383297" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.022296, 0.0500157, 0.0682889, 0.0982897, 0.1483, 0.231391, 0.369914", \ + "0.0221802, 0.0499159, 0.0681436, 0.0980843, 0.148042, 0.23118, 0.369804", \ + "0.0221074, 0.0499157, 0.0680773, 0.0981075, 0.148105, 0.231349, 0.370139", \ + "0.0220909, 0.049849, 0.0682499, 0.099109, 0.14833, 0.23142, 0.369896", \ + "0.0221868, 0.0499198, 0.0681825, 0.0980711, 0.148662, 0.23147, 0.369971", \ + "0.0225221, 0.0501423, 0.0685019, 0.0985666, 0.148702, 0.231715, 0.374132", \ + "0.0232859, 0.050744, 0.0691842, 0.099197, 0.149878, 0.233859, 0.372063" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.22017, 0.36369, 0.435435, 0.548813, 0.736735, 1.04907, 1.56954", \ + "0.263865, 0.407415, 0.479048, 0.592435, 0.780568, 1.09288, 1.61285", \ + "0.298602, 0.442363, 0.514039, 0.627505, 0.815239, 1.12876, 1.64781", \ + "0.351623, 0.495252, 0.566998, 0.680281, 0.868233, 1.18086, 1.70083", \ + "0.427444, 0.571081, 0.642753, 0.756109, 0.943956, 1.2563, 1.77634", \ + "0.530116, 0.673706, 0.745422, 0.858979, 1.04664, 1.3591, 1.87911", \ + "0.660667, 0.804009, 0.875771, 0.989428, 1.17698, 1.48942, 2.00935" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0411133, 0.181108, 0.271172, 0.424946, 0.690214, 1.13655, 1.88142", \ + "0.0411143, 0.181109, 0.271173, 0.424947, 0.690337, 1.13656, 1.88145", \ + "0.0411153, 0.181135, 0.271174, 0.42585, 0.690658, 1.13757, 1.88203", \ + "0.0411163, 0.181193, 0.271175, 0.425851, 0.690659, 1.13758, 1.88259", \ + "0.0411173, 0.181194, 0.271176, 0.425852, 0.69066, 1.13759, 1.8826", \ + "0.0411183, 0.181347, 0.271288, 0.425853, 0.690661, 1.1376, 1.88261", \ + "0.0411193, 0.181349, 0.271344, 0.425854, 0.690662, 1.13761, 1.88262" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.24488, 0.406051, 0.475079, 0.577081, 0.739008, 1.00591, 1.44941", \ + "0.288722, 0.449659, 0.518722, 0.620534, 0.782914, 1.04966, 1.49292", \ + "0.323697, 0.484738, 0.55397, 0.655695, 0.817953, 1.08536, 1.52828", \ + "0.377332, 0.538389, 0.607474, 0.70925, 0.871621, 1.13825, 1.58166", \ + "0.456728, 0.617693, 0.686868, 0.788602, 0.951003, 1.21766, 1.66104", \ + "0.567208, 0.728179, 0.797425, 0.899291, 1.06165, 1.32856, 1.77182", \ + "0.720002, 0.882718, 0.952102, 1.05405, 1.21649, 1.48352, 1.92698" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0541595, 0.181054, 0.248912, 0.364211, 0.568951, 0.921373, 1.51571", \ + "0.0543109, 0.181459, 0.248913, 0.364212, 0.569318, 0.921553, 1.51588", \ + "0.0543265, 0.18146, 0.248914, 0.364302, 0.569815, 0.922586, 1.51589", \ + "0.0544204, 0.181461, 0.24899, 0.364303, 0.569816, 0.922587, 1.51652", \ + "0.0546078, 0.181462, 0.248991, 0.364361, 0.569817, 0.922588, 1.51653", \ + "0.055622, 0.181589, 0.249274, 0.364531, 0.569818, 0.922589, 1.51654", \ + "0.060197, 0.183, 0.250153, 0.364869, 0.569819, 0.92259, 1.51655" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.334193, 0.473647, 0.544623, 0.657972, 0.845863, 1.15845, 1.67851", \ + "0.379151, 0.518509, 0.5896, 0.702749, 0.890722, 1.20323, 1.72377", \ + "0.423141, 0.562589, 0.633681, 0.747025, 0.934918, 1.2483, 1.76803", \ + "0.498878, 0.638563, 0.709503, 0.822921, 1.01071, 1.32333, 1.84379", \ + "0.618115, 0.757649, 0.828688, 0.941994, 1.1299, 1.44224, 1.96252", \ + "0.786592, 0.926511, 0.997668, 1.11108, 1.29884, 1.61129, 2.13123", \ + "1.02151, 1.16258, 1.23368, 1.34705, 1.53486, 1.84739, 2.36741" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0412065, 0.177983, 0.268775, 0.424041, 0.690064, 1.13648, 1.88111", \ + "0.041219, 0.178036, 0.268888, 0.424042, 0.690065, 1.13649, 1.8814", \ + "0.0412665, 0.178037, 0.268889, 0.424063, 0.690066, 1.13728, 1.88176", \ + "0.0412675, 0.178038, 0.26889, 0.424083, 0.690067, 1.13729, 1.88177", \ + "0.041662, 0.178282, 0.268891, 0.424084, 0.690068, 1.1373, 1.88201", \ + "0.042786, 0.178387, 0.269068, 0.424085, 0.690069, 1.13731, 1.88202", \ + "0.044766, 0.179088, 0.269326, 0.424152, 0.69007, 1.13732, 1.88203" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0301188, 0.0580192, 0.0763841, 0.106498, 0.156877, 0.240493, 0.379854", \ + "0.0297998, 0.0577501, 0.0760612, 0.106185, 0.15652, 0.240074, 0.379583", \ + "0.0297548, 0.0577789, 0.0761063, 0.106344, 0.156584, 0.240423, 0.379488", \ + "0.0298357, 0.0577317, 0.0761289, 0.106481, 0.156655, 0.240222, 0.37977", \ + "0.0301901, 0.0580945, 0.0765422, 0.106472, 0.156986, 0.240598, 0.382098", \ + "0.0310702, 0.0589839, 0.0774072, 0.107628, 0.158024, 0.241301, 0.381651", \ + "0.0330653, 0.0609393, 0.0792146, 0.109561, 0.160059, 0.244125, 0.382861" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0295627, 0.0571297, 0.0754494, 0.105425, 0.155446, 0.238628, 0.377434", \ + "0.0292055, 0.0568932, 0.0750376, 0.105052, 0.155136, 0.238297, 0.376815", \ + "0.0291172, 0.056849, 0.0750137, 0.105002, 0.155208, 0.23856, 0.377032", \ + "0.029053, 0.0565685, 0.0749197, 0.105441, 0.155005, 0.238155, 0.376892", \ + "0.0293462, 0.0568663, 0.0753323, 0.10526, 0.155277, 0.240253, 0.377361", \ + "0.0300624, 0.0575031, 0.0758021, 0.106065, 0.156701, 0.239364, 0.378981", \ + "0.0320577, 0.0592367, 0.0774998, 0.107865, 0.158124, 0.242553, 0.381878" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0223351, 0.0501241, 0.0684465, 0.0986777, 0.148981, 0.232636, 0.371891", \ + "0.0222268, 0.0499592, 0.0682959, 0.0984893, 0.148712, 0.232489, 0.371831", \ + "0.0221593, 0.0500211, 0.068448, 0.0984865, 0.148831, 0.232745, 0.371918", \ + "0.0220961, 0.0498871, 0.0683738, 0.098576, 0.148859, 0.232544, 0.371937", \ + "0.02222, 0.049965, 0.0682449, 0.0985061, 0.149071, 0.23285, 0.371887", \ + "0.0225403, 0.0502375, 0.068641, 0.0988956, 0.148889, 0.23305, 0.372225", \ + "0.0233098, 0.0509321, 0.0692967, 0.099664, 0.150121, 0.233801, 0.373176" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0027943; + rise_capacitance : 0.00296739; + rise_capacitance_range (0.00296739, 0.00296739); + fall_capacitance : 0.00253466; + fall_capacitance_range (0.00253466, 0.00253466); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.21759, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.220184, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00916453, 0.00878955, 0.00875645, 0.00873493, 0.00903943, 0.0097801, 0.0116399" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018096, 0.0177364, 0.0176189, 0.017687, 0.0179509, 0.0186292, 0.0204351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0168393, 0.0164999, 0.0163674, 0.0164363, 0.0167033, 0.0173775, 0.0191827" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00957729, 0.0092254, 0.00919776, 0.00916118, 0.00945134, 0.0102047, 0.0120307" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00876033, 0.00843752, 0.00838543, 0.00846227, 0.00875668, 0.00945074, 0.0112758" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0262451, 0.0299153, 0.0299801, 0.0298248, 0.0298341, 0.0305902, 0.0324143" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00910041, 0.00872461, 0.0086925, 0.00866049, 0.00896212, 0.00971586, 0.0115655" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00872041, 0.00837522, 0.00832234, 0.00841637, 0.00869584, 0.00939217, 0.0112084" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00949089, 0.00911257, 0.00908647, 0.00906092, 0.00934827, 0.01012, 0.0119277" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00875915, 0.00841221, 0.00836598, 0.0084344, 0.0087329, 0.00942758, 0.0112515" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00949089, 0.00911257, 0.00908647, 0.00906092, 0.00934827, 0.01012, 0.0119277" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0168393, 0.0164999, 0.0163674, 0.0164363, 0.0167033, 0.0173775, 0.0191827" \ + ); + } + } + } + pin (D) { + direction : "input"; + nextstate_type : "data"; + max_transition : 2.5074; + capacitance : 0.00155295; + rise_capacitance : 0.0014297; + rise_capacitance_range (0.0014297, 0.0014297); + fall_capacitance : 0.00167619; + fall_capacitance_range (0.00167619, 0.00167619); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0953624, -0.0152214, 0.0344321, 0.0882179", \ + "-0.274294, -0.190985, -0.143266, -0.0818723", \ + "-0.402196, -0.331391, -0.28063, -0.219055", \ + "-0.522655, -0.473426, -0.433509, -0.368942" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0464586, 0.102082, 0.199026, 0.29869", \ + "-0.249336, -0.101858, 0.000967038, 0.110558", \ + "-0.40734, -0.273698, -0.178092, -0.0665078", \ + "-0.565828, -0.456932, -0.374185, -0.26859" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.195615, 0.10008, 0.0504365, -0.00726698", \ + "0.404077, 0.297936, 0.240295, 0.180836", \ + "0.584792, 0.470379, 0.404755, 0.337703", \ + "0.787094, 0.663107, 0.594531, 0.519471" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.190725, 0.0177173, -0.0884395, -0.198851", \ + "0.416556, 0.247007, 0.135398, 0.0186453", \ + "0.623369, 0.459889, 0.350787, 0.23318", \ + "0.851855, 0.693345, 0.586056, 0.475198" \ + ); + } + } + internal_power () { + when : "CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00150905, 0.00139758, 0.00138037, 0.0014156, 0.00152833, 0.00181904, 0.00248948" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00116384, 0.00103438, 0.0010239, 0.00105331, 0.00117418, 0.00147632, 0.00213626" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00956147, 0.00954347, 0.00947987, 0.00949519, 0.00956521, 0.00977285, 0.0104572" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00742616, 0.00737216, 0.00729736, 0.00727257, 0.0073469, 0.00762644, 0.00830561" \ + ); + } + } + internal_power () { + when : "(!CLK * !RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.55525e-06, -8.63999e-06, -7.83466e-06, -7.51463e-06, -7.86857e-06, -1.00802e-05, -1.02484e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.55525e-06, 8.63999e-06, 7.83466e-06, 7.51463e-06, 7.86857e-06, 1.00802e-05, 1.02484e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00150905, 0.00139758, 0.00138037, 0.0014156, 0.00152833, 0.00181904, 0.00248948" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00116384, 0.00103438, 0.0010239, 0.00105331, 0.00117418, 0.00147632, 0.00213626" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00561726; + rise_capacitance : 0.0055752; + rise_capacitance_range (0.0055752, 0.0055752); + fall_capacitance : 0.0056473; + fall_capacitance_range (0.0056473, 0.0056473); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.205396, 0.112559, 0.0632954, 0.00622484", \ + "0.404077, 0.303029, 0.250785, 0.191832", \ + "0.59508, 0.483491, 0.426342, 0.363128", \ + "0.824871, 0.70709, 0.64538, 0.57555" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.176054, -0.0876004, -0.0401494, 0.0153621", \ + "-0.371631, -0.275018, -0.221938, -0.167091", \ + "-0.553931, -0.4494, -0.391263, -0.332053", \ + "-0.768206, -0.657609, -0.597356, -0.534228" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.176086, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00331565, 0.00323413, 0.0032132, 0.00320255, 0.00323044, 0.00336941, 0.00389492" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0291739, 0.028821, 0.0286586, 0.0285192, 0.0286822, 0.0292536, 0.0309793" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00139996, 0.00140309, 0.00140408, 0.0014022, 0.00140298, 0.00140471, 0.00140419" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000896576, -0.00100712, -0.00103685, -0.00106188, -0.00108359, -0.00110285, -0.00112153" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.01173, 0.0115815, 0.0114988, 0.0114736, 0.0114893, 0.0116774, 0.0124287" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0072773, 0.0070237, 0.00692048, 0.00682799, 0.00685388, 0.00706536, 0.007962" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00137079, 0.00137034, 0.00137693, 0.00137207, 0.00137433, 0.00137775, 0.0013751" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00111218, -0.0011972, -0.00122459, -0.00124277, -0.00125859, -0.00127349, -0.00128861" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00331565, 0.00323413, 0.0032132, 0.00320255, 0.00323044, 0.00336941, 0.00389492" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0072773, 0.0070237, 0.00692048, 0.00682799, 0.00685388, 0.00706536, 0.007962" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clocked_on : "CLK"; + next_state : "D"; + } + } + cell (sg13g2_dlhq_1) { + area : 30.8448; + cell_footprint : "DLHQ"; + cell_leakage_power : 1694.91; + leakage_power () { + value : 1645.04; + when : "!D&!GATE&!Q"; + } + leakage_power () { + value : 1392.37; + when : "!D&!GATE&Q"; + } + leakage_power () { + value : 1857.03; + when : "!D&GATE&!Q"; + } + leakage_power () { + value : 2124.8; + when : "D&!GATE&!Q"; + } + leakage_power () { + value : 1535.38; + when : "D&!GATE&Q"; + } + leakage_power () { + value : 1614.81; + when : "D&GATE&Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.272951, 0.37627, 0.44421, 0.556208, 0.743411, 1.05566, 1.57526", \ + "0.313557, 0.416798, 0.484697, 0.596675, 0.784544, 1.09621, 1.61568", \ + "0.343287, 0.446734, 0.514681, 0.626646, 0.813883, 1.12701, 1.64586", \ + "0.388343, 0.491699, 0.559672, 0.67158, 0.85881, 1.17081, 1.69167", \ + "0.453063, 0.556425, 0.624386, 0.736363, 0.923605, 1.23541, 1.75501", \ + "0.541943, 0.645387, 0.713408, 0.825358, 1.01262, 1.32452, 1.84417", \ + "0.664942, 0.768395, 0.836359, 0.948394, 1.13568, 1.44755, 1.9672" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0266411, 0.158877, 0.255376, 0.415396, 0.683436, 1.13012, 1.87507", \ + "0.0266421, 0.158879, 0.255385, 0.415397, 0.683855, 1.13031, 1.87508", \ + "0.0266431, 0.158936, 0.255389, 0.415398, 0.684621, 1.13127, 1.87509", \ + "0.0266441, 0.158937, 0.25539, 0.415452, 0.684622, 1.13128, 1.87602", \ + "0.0266451, 0.158938, 0.255426, 0.415453, 0.684623, 1.13129, 1.87603", \ + "0.02669, 0.158939, 0.255427, 0.415454, 0.684624, 1.1313, 1.87604", \ + "0.027078, 0.158946, 0.25548, 0.415455, 0.684625, 1.13131, 1.87605" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.241181, 0.333672, 0.391144, 0.486124, 0.644876, 0.908859, 1.34902", \ + "0.280863, 0.373229, 0.430877, 0.525753, 0.684563, 0.948553, 1.38874", \ + "0.310784, 0.403229, 0.460857, 0.55575, 0.714339, 0.978516, 1.4197", \ + "0.355861, 0.448234, 0.505854, 0.600729, 0.759312, 1.02351, 1.46371", \ + "0.417896, 0.510325, 0.567993, 0.662874, 0.821497, 1.08555, 1.52579", \ + "0.495767, 0.588242, 0.645876, 0.740811, 0.899394, 1.16353, 1.60365", \ + "0.595388, 0.68796, 0.74565, 0.840523, 0.999175, 1.26333, 1.70356" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0251001, 0.129279, 0.2054, 0.332314, 0.545392, 0.900719, 1.49299", \ + "0.0251011, 0.12928, 0.205401, 0.332475, 0.54569, 0.90072, 1.49346", \ + "0.0251021, 0.129281, 0.205435, 0.332476, 0.545691, 0.900721, 1.49439", \ + "0.0251031, 0.129282, 0.205436, 0.332477, 0.545761, 0.900722, 1.4944", \ + "0.0251262, 0.129283, 0.205437, 0.332478, 0.545762, 0.900806, 1.49441", \ + "0.025246, 0.129307, 0.205438, 0.332479, 0.545763, 0.900807, 1.49442", \ + "0.025518, 0.129363, 0.205439, 0.33253, 0.545764, 0.900808, 1.49443" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.232601, 0.336396, 0.404447, 0.516378, 0.70356, 1.01574, 1.53533", \ + "0.273078, 0.376822, 0.444885, 0.557474, 0.744037, 1.05587, 1.57536", \ + "0.303021, 0.406791, 0.474778, 0.586761, 0.773939, 1.086, 1.60658", \ + "0.349569, 0.453318, 0.52121, 0.633156, 0.820329, 1.13227, 1.65233", \ + "0.414696, 0.518526, 0.586486, 0.698585, 0.885713, 1.19749, 1.71707", \ + "0.504132, 0.607965, 0.675953, 0.787958, 0.97518, 1.28701, 1.80662", \ + "0.619488, 0.723656, 0.791657, 0.903625, 1.09092, 1.40281, 1.92283" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0267863, 0.158944, 0.25536, 0.41529, 0.683434, 1.13014, 1.87465", \ + "0.0267902, 0.158945, 0.255486, 0.416128, 0.683435, 1.13015, 1.8748", \ + "0.0268401, 0.158946, 0.255487, 0.416129, 0.683536, 1.13016, 1.8759", \ + "0.0268411, 0.158947, 0.255488, 0.41613, 0.683537, 1.13017, 1.87591", \ + "0.0269476, 0.158948, 0.255489, 0.416131, 0.683538, 1.13018, 1.87592", \ + "0.027533, 0.159022, 0.25549, 0.416132, 0.683539, 1.13019, 1.87593", \ + "0.028661, 0.159123, 0.255532, 0.416133, 0.68354, 1.1302, 1.87594" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.25026, 0.3426, 0.400098, 0.494986, 0.653648, 0.917865, 1.35796", \ + "0.29186, 0.383981, 0.441626, 0.536453, 0.695037, 0.959231, 1.39982", \ + "0.322142, 0.414355, 0.472035, 0.566877, 0.725491, 0.989626, 1.4299", \ + "0.36792, 0.46017, 0.517795, 0.612669, 0.77127, 1.03543, 1.47572", \ + "0.430013, 0.522232, 0.579851, 0.674731, 0.833355, 1.09744, 1.5376", \ + "0.510489, 0.602672, 0.660282, 0.755128, 0.913775, 1.17792, 1.61801", \ + "0.609219, 0.701453, 0.759071, 0.85394, 1.0126, 1.27669, 1.71688" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0248357, 0.129215, 0.205431, 0.332314, 0.545391, 0.900671, 1.49244", \ + "0.0248367, 0.129216, 0.205432, 0.332329, 0.545392, 0.900672, 1.49268", \ + "0.0248377, 0.129217, 0.205433, 0.332375, 0.547005, 0.900854, 1.49269", \ + "0.0248632, 0.129218, 0.205434, 0.332474, 0.547006, 0.900908, 1.49458", \ + "0.0248642, 0.129219, 0.205435, 0.332475, 0.547007, 0.900909, 1.49459", \ + "0.0248652, 0.12922, 0.205436, 0.332476, 0.547008, 0.90091, 1.4946", \ + "0.024889, 0.129232, 0.205437, 0.332477, 0.547009, 0.901009, 1.49461" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115379, 0.0118383, 0.011846, 0.0118156, 0.0117249, 0.0115807, 0.0116704", \ + "0.0114917, 0.0117725, 0.0117855, 0.0117639, 0.0117344, 0.0115521, 0.0115814", \ + "0.0114601, 0.0118176, 0.0118204, 0.0117593, 0.0117471, 0.0116048, 0.0116028", \ + "0.0114112, 0.011687, 0.0117149, 0.0118296, 0.011611, 0.0114512, 0.0115608", \ + "0.0113971, 0.0117091, 0.0117421, 0.0116715, 0.0116742, 0.0116807, 0.0116859", \ + "0.0113475, 0.0116647, 0.0116874, 0.0117177, 0.0117128, 0.0113842, 0.0115992", \ + "0.0112935, 0.011604, 0.0116011, 0.0116709, 0.0116601, 0.0116508, 0.0112915" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119129, 0.0122863, 0.0122821, 0.0122513, 0.0121894, 0.0120376, 0.0117513", \ + "0.0119088, 0.0122867, 0.0122808, 0.0122517, 0.0121963, 0.0120373, 0.0117483", \ + "0.0118801, 0.0122622, 0.0123555, 0.0122872, 0.0121469, 0.0120105, 0.0118298", \ + "0.011893, 0.0122468, 0.0122487, 0.0122851, 0.0121905, 0.0120063, 0.0117482", \ + "0.0118407, 0.0122127, 0.0122463, 0.0122086, 0.0120994, 0.0124138, 0.0120033", \ + "0.0117758, 0.0121675, 0.0121725, 0.0122124, 0.0122062, 0.011878, 0.0119707", \ + "0.0117654, 0.0121116, 0.0121127, 0.0121502, 0.012127, 0.0121913, 0.0121493" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00926931, 0.00957881, 0.00960701, 0.00956891, 0.00948421, 0.00933391, 0.00937971", \ + "0.00922306, 0.00953436, 0.00955136, 0.00957856, 0.00942766, 0.00928136, 0.00927446", \ + "0.00916074, 0.00950714, 0.00949224, 0.00950344, 0.00938184, 0.00923224, 0.00931954", \ + "0.00912886, 0.00942826, 0.00943976, 0.00954926, 0.00934606, 0.00918216, 0.00919096", \ + "0.00905755, 0.00938145, 0.00942735, 0.00937965, 0.00936365, 0.00924545, 0.00951425", \ + "0.00901458, 0.00932968, 0.00935088, 0.00935428, 0.00934038, 0.00911348, 0.00952688", \ + "0.00911083, 0.00940863, 0.00941273, 0.00948773, 0.00947993, 0.00949303, 0.00917813" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101625, 0.0105393, 0.0105387, 0.0105049, 0.0104354, 0.0102921, 0.0100012", \ + "0.0102116, 0.0105736, 0.0105858, 0.0105429, 0.0104664, 0.0103375, 0.0100802", \ + "0.0102069, 0.0105813, 0.0106696, 0.0105593, 0.0105839, 0.0103451, 0.0100823", \ + "0.0102609, 0.0106314, 0.0106141, 0.0106362, 0.0105305, 0.0103722, 0.0101996", \ + "0.0102996, 0.0106885, 0.0107218, 0.0107087, 0.0105553, 0.010624, 0.0102693", \ + "0.0103198, 0.0106827, 0.0107261, 0.0107436, 0.0107508, 0.0105906, 0.0102097", \ + "0.0103778, 0.010739, 0.0107865, 0.0107231, 0.0108059, 0.0108245, 0.0107636" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00213246; + rise_capacitance : 0.00216625; + rise_capacitance_range (0.00216625, 0.00216625); + fall_capacitance : 0.00209867; + fall_capacitance_range (0.00209867, 0.00209867); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.146711, -0.10008, -0.0710107, -0.0359068", \ + "-0.304244, -0.2521, -0.22456, -0.189083", \ + "-0.415055, -0.357615, -0.3292, -0.295329", \ + "-0.536146, -0.473426, -0.436334, -0.410264" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0611298, 0.0945949, 0.201598, 0.306785", \ + "-0.211899, -0.0509292, 0.0586601, 0.168287", \ + "-0.317328, -0.150445, -0.0377771, 0.0747398", \ + "-0.41472, -0.245259, -0.128414, -0.0118062" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.158937, 0.130029, 0.145592, 0.187015", \ + "0.316723, 0.280111, 0.287498, 0.321035", \ + "0.427914, 0.383839, 0.385866, 0.419627", \ + "0.549638, 0.500916, 0.495657, 0.519471" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0758009, -0.0821157, -0.186167, -0.287897", \ + "0.224378, 0.0636615, -0.045548, -0.151793", \ + "0.330187, 0.160934, 0.0512689, -0.060615", \ + "0.43091, 0.259004, 0.142539, 0.0265638" \ + ); + } + } + internal_power () { + when : "(!GATE * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0033852, 0.00316506, 0.00311597, 0.00317188, 0.00337258, 0.00392178, 0.00519326" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00286953, 0.00270728, 0.00269119, 0.00275776, 0.00300974, 0.0035401, 0.00479305" \ + ); + } + } + internal_power () { + when : "(!GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00276747, 0.00257483, 0.00253678, 0.00260376, 0.00282159, 0.00337896, 0.00464937" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00308277, 0.00290073, 0.00287339, 0.00291591, 0.00316318, 0.00367493, 0.00489983" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00276747, 0.00257483, 0.00253678, 0.00260376, 0.00282159, 0.00337896, 0.00464937" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00308277, 0.00290073, 0.00287339, 0.00291591, 0.00316318, 0.00367493, 0.00489983" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0021272; + rise_capacitance : 0.00241484; + rise_capacitance_range (0.00241484, 0.00241484); + fall_capacitance : 0.00155191; + fall_capacitance_range (0.00155191, 0.00155191); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.121613, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00722939, 0.00694134, 0.00689466, 0.00691104, 0.00716795, 0.00786252, 0.00946887" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0117568, 0.0121193, 0.0120766, 0.0121329, 0.0123676, 0.0130524, 0.0146973" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00722939, 0.00694134, 0.00689466, 0.00691104, 0.00716795, 0.00786252, 0.00946887" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0117568, 0.0121193, 0.0120766, 0.0121329, 0.0123676, 0.0130524, 0.0146973" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dlhr_1) { + area : 32.6592; + cell_footprint : "DLHR"; + cell_leakage_power : 2357.27; + leakage_power () { + value : 2052.81; + when : "!RESET_B*!Q*Q_N*!D*!GATE"; + } + leakage_power () { + value : 2217.51; + when : "!RESET_B*!Q*Q_N*!D*GATE"; + } + leakage_power () { + value : 2529.49; + when : "!RESET_B*!Q*Q_N*D*!GATE"; + } + leakage_power () { + value : 2126.38; + when : "!RESET_B*!Q*Q_N*D*GATE"; + } + leakage_power () { + value : 2146.71; + when : "RESET_B*!GATE*!D*!Q*!Q_N"; + } + leakage_power () { + value : 2146.71; + when : "RESET_B*!GATE*!D*!Q*Q_N"; + } + leakage_power () { + value : 2146.71; + when : "RESET_B*!GATE*!D*Q*!Q_N"; + } + leakage_power () { + value : 2146.71; + when : "RESET_B*!GATE*!D*Q*Q_N"; + } + leakage_power () { + value : 2623.4; + when : "RESET_B*!GATE*D*!Q*!Q_N"; + } + leakage_power () { + value : 2623.4; + when : "RESET_B*!GATE*D*!Q*Q_N"; + } + leakage_power () { + value : 2623.4; + when : "RESET_B*!GATE*D*Q*!Q_N"; + } + leakage_power () { + value : 2623.4; + when : "RESET_B*!GATE*D*Q*Q_N"; + } + leakage_power () { + value : 2640.92; + when : "D*GATE*RESET_B*Q*!Q_N"; + } + leakage_power () { + value : 2354.25; + when : "!D*GATE*RESET_B*!Q*Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.313958, 0.436594, 0.505245, 0.617554, 0.804989, 1.1172, 1.63709", \ + "0.354538, 0.476777, 0.545852, 0.658202, 0.845766, 1.15802, 1.67775", \ + "0.383658, 0.506042, 0.575038, 0.687402, 0.874841, 1.18707, 1.70677", \ + "0.428092, 0.550364, 0.619325, 0.731742, 0.91912, 1.23118, 1.7516", \ + "0.491394, 0.613771, 0.682687, 0.795003, 0.982542, 1.29441, 1.81417", \ + "0.578473, 0.70076, 0.769763, 0.882032, 1.06942, 1.38147, 1.90116", \ + "0.698904, 0.821288, 0.890237, 1.00267, 1.19013, 1.50214, 2.02192" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0391044, 0.168729, 0.261153, 0.418612, 0.686157, 1.13323, 1.8775", \ + "0.0391054, 0.169463, 0.261466, 0.418613, 0.686158, 1.13324, 1.87751", \ + "0.0391064, 0.169464, 0.261467, 0.418614, 0.686159, 1.13325, 1.87788", \ + "0.0391795, 0.169465, 0.261468, 0.418708, 0.68616, 1.13634, 1.87816", \ + "0.0391805, 0.169466, 0.261469, 0.418709, 0.686161, 1.13635, 1.87817", \ + "0.039231, 0.169467, 0.26147, 0.41871, 0.686162, 1.13636, 1.87818", \ + "0.039299, 0.169468, 0.261471, 0.418711, 0.686163, 1.13637, 1.87819" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.265736, 0.367441, 0.425755, 0.520989, 0.680238, 0.945446, 1.38704", \ + "0.305052, 0.406832, 0.465153, 0.560528, 0.719527, 0.984935, 1.42662", \ + "0.334481, 0.436299, 0.494601, 0.589705, 0.748997, 1.01422, 1.45614", \ + "0.379169, 0.480822, 0.539123, 0.634351, 0.79352, 1.05877, 1.50042", \ + "0.440199, 0.541888, 0.600189, 0.69544, 0.854642, 1.1197, 1.56142", \ + "0.517003, 0.618776, 0.677102, 0.772394, 0.931586, 1.1968, 1.63828", \ + "0.615457, 0.717279, 0.775652, 0.87086, 1.03015, 1.29521, 1.73714" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.028941, 0.133986, 0.208014, 0.334105, 0.547387, 0.90378, 1.49786", \ + "0.028942, 0.134149, 0.208051, 0.334162, 0.547413, 0.903795, 1.49787", \ + "0.028943, 0.13415, 0.208088, 0.334163, 0.547761, 0.903796, 1.49833", \ + "0.028944, 0.134151, 0.208089, 0.334164, 0.547762, 0.904877, 1.49847", \ + "0.028983, 0.134152, 0.20809, 0.334165, 0.547763, 0.904878, 1.49863", \ + "0.029037, 0.134153, 0.208104, 0.334166, 0.547764, 0.904879, 1.49864", \ + "0.029224, 0.134154, 0.208105, 0.334167, 0.547765, 0.90488, 1.49865" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.286834, 0.409688, 0.478628, 0.591084, 0.778544, 1.09047, 1.61007", \ + "0.32767, 0.450483, 0.51952, 0.63182, 0.819104, 1.13157, 1.6512", \ + "0.35798, 0.480837, 0.54982, 0.662165, 0.849604, 1.1619, 1.6815", \ + "0.404562, 0.527494, 0.596478, 0.708829, 0.89634, 1.20815, 1.72939", \ + "0.470921, 0.593848, 0.662816, 0.775176, 0.962528, 1.27443, 1.7943", \ + "0.560921, 0.683914, 0.752965, 0.865267, 1.05259, 1.36486, 1.8844", \ + "0.676706, 0.800025, 0.869042, 0.981439, 1.16889, 1.48086, 2.00071" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0394713, 0.16883, 0.26117, 0.418694, 0.686032, 1.13315, 1.87781", \ + "0.0394723, 0.168835, 0.261203, 0.418761, 0.686174, 1.13316, 1.87813", \ + "0.0394733, 0.168836, 0.261204, 0.418762, 0.686175, 1.13317, 1.87814", \ + "0.0395155, 0.168837, 0.261205, 0.418764, 0.686176, 1.13439, 1.87989", \ + "0.0396114, 0.168859, 0.261237, 0.418766, 0.686177, 1.1344, 1.8799", \ + "0.039815, 0.168893, 0.261281, 0.418767, 0.686178, 1.13441, 1.87991", \ + "0.040537, 0.16911, 0.261299, 0.418768, 0.68619, 1.13442, 1.87992" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.278597, 0.380457, 0.438834, 0.534342, 0.693171, 0.95865, 1.40029", \ + "0.320702, 0.422621, 0.481013, 0.576153, 0.735373, 1.00063, 1.44238", \ + "0.351375, 0.453397, 0.511631, 0.606868, 0.766094, 1.03134, 1.47306", \ + "0.398348, 0.500353, 0.558633, 0.653897, 0.8131, 1.07828, 1.52105", \ + "0.462089, 0.564083, 0.622421, 0.717668, 0.876808, 1.14187, 1.58358", \ + "0.544113, 0.646101, 0.704387, 0.799666, 0.958861, 1.22392, 1.66562", \ + "0.645937, 0.747888, 0.806166, 0.90145, 1.06063, 1.32569, 1.76734" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0294236, 0.133976, 0.208075, 0.334106, 0.547386, 0.903773, 1.49791", \ + "0.029457, 0.134068, 0.208172, 0.334107, 0.547387, 0.903865, 1.49792", \ + "0.029458, 0.134069, 0.208173, 0.334108, 0.547401, 0.904281, 1.49793", \ + "0.029459, 0.134077, 0.208174, 0.334117, 0.547674, 0.904282, 1.49981", \ + "0.02946, 0.134078, 0.208175, 0.334118, 0.547675, 0.904283, 1.49982", \ + "0.029461, 0.134079, 0.208176, 0.33413, 0.547676, 0.904284, 1.49983", \ + "0.029466, 0.13408, 0.208177, 0.334131, 0.547677, 0.904345, 1.49984" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.10653, 0.207982, 0.266268, 0.361728, 0.521013, 0.78638, 1.22819", \ + "0.149175, 0.250923, 0.309414, 0.40482, 0.564162, 0.830044, 1.2715", \ + "0.185089, 0.288784, 0.347382, 0.442938, 0.602383, 0.867652, 1.30979", \ + "0.240242, 0.349078, 0.407987, 0.503689, 0.663114, 0.928469, 1.37041", \ + "0.316818, 0.436518, 0.496144, 0.591897, 0.751604, 1.01705, 1.45892", \ + "0.41291, 0.551536, 0.612788, 0.708757, 0.868522, 1.13395, 1.57605", \ + "0.533572, 0.707184, 0.772881, 0.870287, 1.02963, 1.2949, 1.73714" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0281833, 0.134095, 0.208486, 0.334519, 0.547816, 0.904188, 1.49837", \ + "0.0289225, 0.134187, 0.208487, 0.33452, 0.547856, 0.904812, 1.49838", \ + "0.0317801, 0.135155, 0.20894, 0.334525, 0.547967, 0.904813, 1.49838", \ + "0.0381116, 0.137947, 0.210431, 0.335534, 0.548426, 0.904814, 1.50056", \ + "0.0486809, 0.144527, 0.214472, 0.337644, 0.549513, 0.904965, 1.50057", \ + "0.066442, 0.157678, 0.222905, 0.341912, 0.551661, 0.90726, 1.50058", \ + "0.093525, 0.185832, 0.241783, 0.351863, 0.556221, 0.909679, 1.50231" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00361495, 0.00392825, 0.00394765, 0.00395095, 0.00390145, 0.00384785, 0.00363765", \ + "0.0034891, 0.0038192, 0.0038455, 0.0038181, 0.0038024, 0.0036965, 0.003541", \ + "0.00345745, 0.00380355, 0.00387585, 0.00380675, 0.00376775, 0.00368155, 0.00353475", \ + "0.0034365, 0.0037284, 0.0037849, 0.0037926, 0.0037507, 0.0038573, 0.0035159", \ + "0.00342055, 0.00374065, 0.00378135, 0.00374555, 0.00375255, 0.00367155, 0.00429255", \ + "0.0033847, 0.003696, 0.0037504, 0.0037738, 0.0037471, 0.0035669, 0.0038314", \ + "0.00334695, 0.00366285, 0.00368575, 0.00373035, 0.00372975, 0.00373585, 0.00347075" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0020056, 0.0022752, 0.0022863, 0.0022303, 0.0021091, 0.00189468, 0.00155383", \ + "0.00043196, 0.00071385, 0.00070585, 0.00067645, 0.00054095, 0.00033171, -1.09095e-05", \ + "0.00024727, 0.000573101, 0.0006215, 0.0005508, 0.0003541, 0.00013858, -0.00017218", \ + "0.000214131, 0.0004876, 0.000507601, 0.000601701, 0.000402801, 0.00015652, -0.00024254", \ + "0.0001996, 0.0005253, 0.0005362, 0.0004485, 0.0003626, 0.0004282, 0.0001373", \ + "0.00013055, 0.000447749, 0.000479749, 0.000484049, 0.00044405, 7.54492e-05, 0.00055505", \ + "9.83002e-05, 0.0003564, 0.000365401, 0.000405501, 0.0003851, 0.000468301, 0.000140601" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00790105, 0.00821295, 0.00824455, 0.00823425, 0.00820635, 0.00813565, 0.00796665", \ + "0.0078609, 0.008175, 0.0082086, 0.0082143, 0.0081715, 0.0081262, 0.0079421", \ + "0.00783077, 0.00816537, 0.00819587, 0.00818177, 0.00813747, 0.00805337, 0.00796197", \ + "0.0077926, 0.00809349, 0.0081418, 0.00823949, 0.00809209, 0.00807879, 0.0080224", \ + "0.00776497, 0.00809008, 0.00813498, 0.00810997, 0.00809698, 0.00800977, 0.00794327", \ + "0.0077487, 0.00807101, 0.00812691, 0.0081447, 0.00813551, 0.00795081, 0.00807591", \ + "0.00777864, 0.00808994, 0.00811394, 0.00816424, 0.00818704, 0.00816754, 0.00793054" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00790975, 0.00818945, 0.00819775, 0.00814475, 0.00801565, 0.00780895, 0.00747535", \ + "0.0079323, 0.0082387, 0.0082302, 0.0081604, 0.0080391, 0.0078386, 0.0074935", \ + "0.00793227, 0.00824787, 0.00833157, 0.00825897, 0.00804737, 0.00783057, 0.00750277", \ + "0.0079561, 0.00823699, 0.008247, 0.00829479, 0.00808129, 0.0078725, 0.0076088", \ + "0.00798117, 0.00831717, 0.00836268, 0.00828177, 0.00811927, 0.00829768, 0.00760807", \ + "0.00800201, 0.0083192, 0.0083291, 0.00837781, 0.00839471, 0.00794741, 0.0078341", \ + "0.00800694, 0.00829524, 0.00834884, 0.00829424, 0.00834314, 0.00841374, 0.00823274" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00597831, 0.00625462, 0.00625044, 0.00619184, 0.0060673, 0.00585607, 0.00550657", \ + "0.00588136, 0.00618336, 0.00615767, 0.00611388, 0.00596882, 0.00582547, 0.00544991", \ + "0.00584762, 0.00609683, 0.00617793, 0.00605841, 0.00594608, 0.00573325, 0.00538607", \ + "0.00587249, 0.00611995, 0.0060934, 0.00615382, 0.00598997, 0.00574595, 0.00554428", \ + "0.00602571, 0.00620012, 0.00627954, 0.00621815, 0.00610923, 0.00658493, 0.00559768", \ + "0.00640307, 0.00647734, 0.00651004, 0.00658741, 0.00651735, 0.00618433, 0.00658434", \ + "0.00735171, 0.00725865, 0.00730096, 0.00732459, 0.00734008, 0.00738615, 0.00703218" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.326469, 0.422959, 0.490526, 0.602418, 0.789482, 1.10134, 1.62119", \ + "0.365562, 0.462237, 0.529889, 0.641569, 0.828819, 1.14085, 1.66047", \ + "0.395029, 0.491749, 0.559327, 0.671012, 0.85816, 1.17033, 1.68989", \ + "0.439657, 0.536274, 0.603944, 0.715667, 0.902876, 1.21468, 1.73446", \ + "0.500681, 0.597385, 0.664945, 0.776648, 0.963815, 1.27554, 1.79528", \ + "0.577543, 0.67425, 0.741931, 0.853687, 1.04069, 1.35248, 1.87213", \ + "0.675244, 0.771836, 0.839382, 0.951214, 1.1385, 1.45032, 1.96993" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0255245, 0.159603, 0.256253, 0.416236, 0.684233, 1.1315, 1.87599", \ + "0.0255255, 0.159604, 0.256254, 0.416262, 0.684337, 1.13157, 1.876", \ + "0.0255523, 0.159638, 0.256255, 0.416263, 0.684402, 1.13158, 1.87606", \ + "0.0255533, 0.159639, 0.256274, 0.416264, 0.684403, 1.13172, 1.87607", \ + "0.0255543, 0.15964, 0.256275, 0.416265, 0.684516, 1.13173, 1.87689", \ + "0.0255553, 0.159641, 0.256326, 0.416309, 0.684517, 1.13174, 1.8769", \ + "0.025596, 0.159642, 0.256327, 0.41631, 0.684518, 1.13175, 1.87691" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.381954, 0.466553, 0.523734, 0.618872, 0.777933, 1.0432, 1.48518", \ + "0.422602, 0.50686, 0.564319, 0.659606, 0.818589, 1.08378, 1.5257", \ + "0.451701, 0.536017, 0.593512, 0.68862, 0.847707, 1.11291, 1.5548", \ + "0.496164, 0.580451, 0.637943, 0.733053, 0.892073, 1.15731, 1.59899", \ + "0.55947, 0.643773, 0.701276, 0.796257, 0.955346, 1.22035, 1.66212", \ + "0.646541, 0.730863, 0.788319, 0.883376, 1.04242, 1.30753, 1.7492", \ + "0.766377, 0.850627, 0.908214, 1.00335, 1.16247, 1.4274, 1.86927" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0262262, 0.13087, 0.207589, 0.334893, 0.548698, 0.905315, 1.49934", \ + "0.0262272, 0.130973, 0.20759, 0.335119, 0.548716, 0.905316, 1.49935", \ + "0.0262282, 0.130987, 0.207592, 0.335594, 0.548997, 0.905317, 1.49936", \ + "0.0262292, 0.130988, 0.207593, 0.335595, 0.548998, 0.905955, 1.50033", \ + "0.0262302, 0.130989, 0.207594, 0.335596, 0.548999, 0.905956, 1.50034", \ + "0.0262312, 0.13099, 0.207595, 0.335597, 0.549, 0.905957, 1.50035", \ + "0.0262322, 0.130991, 0.207596, 0.335598, 0.549001, 0.905958, 1.50036" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.339363, 0.436117, 0.503576, 0.615637, 0.802516, 1.1144, 1.63464", \ + "0.38142, 0.477923, 0.545919, 0.657337, 0.844668, 1.15672, 1.67646", \ + "0.412128, 0.508835, 0.576271, 0.688223, 0.875249, 1.18942, 1.70696", \ + "0.459103, 0.555719, 0.623408, 0.735105, 0.922231, 1.23406, 1.75505", \ + "0.52284, 0.619511, 0.687267, 0.798945, 0.98609, 1.29785, 1.8175", \ + "0.605017, 0.701617, 0.769351, 0.881062, 1.06806, 1.38004, 1.89973", \ + "0.707371, 0.804065, 0.87167, 0.983562, 1.17058, 1.48241, 2.00206" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0255843, 0.159599, 0.256237, 0.416264, 0.684322, 1.1315, 1.87574", \ + "0.0255853, 0.159796, 0.256611, 0.416265, 0.684328, 1.13151, 1.87575", \ + "0.0255863, 0.159797, 0.256612, 0.416336, 0.684481, 1.13331, 1.87576", \ + "0.0255873, 0.159798, 0.256613, 0.416337, 0.684482, 1.13332, 1.87787", \ + "0.0255883, 0.159799, 0.256614, 0.416338, 0.684483, 1.13333, 1.87788", \ + "0.0255893, 0.1598, 0.256615, 0.416339, 0.684484, 1.13334, 1.87789", \ + "0.0255903, 0.159801, 0.256616, 0.41634, 0.684485, 1.13335, 1.8779" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.355214, 0.439587, 0.497204, 0.592259, 0.751435, 1.01647, 1.45836", \ + "0.395978, 0.480314, 0.537959, 0.633008, 0.792223, 1.05726, 1.49919", \ + "0.426475, 0.510721, 0.568251, 0.663237, 0.822413, 1.08805, 1.53018", \ + "0.473117, 0.557388, 0.614816, 0.709891, 0.868989, 1.13412, 1.57571", \ + "0.539452, 0.623751, 0.681304, 0.776401, 0.935442, 1.20043, 1.64215", \ + "0.629272, 0.713531, 0.770975, 0.866078, 1.02519, 1.29033, 1.73198", \ + "0.7454, 0.829502, 0.887015, 0.982159, 1.14123, 1.4062, 1.84808" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0261879, 0.130852, 0.207589, 0.334888, 0.548699, 0.905222, 1.50038", \ + "0.026214, 0.131024, 0.20759, 0.334889, 0.5487, 0.905225, 1.50039", \ + "0.0262164, 0.131025, 0.207591, 0.33489, 0.549687, 0.906143, 1.5004", \ + "0.0262672, 0.131026, 0.207592, 0.334938, 0.549688, 0.907061, 1.50041", \ + "0.0262682, 0.131027, 0.207593, 0.334939, 0.549689, 0.907062, 1.50042", \ + "0.026283, 0.131028, 0.207594, 0.33494, 0.54969, 0.907063, 1.50043", \ + "0.026369, 0.131029, 0.207599, 0.334941, 0.549691, 0.907064, 1.50044" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.166595, 0.263556, 0.331102, 0.442893, 0.630127, 0.94175, 1.46161", \ + "0.209541, 0.306382, 0.374064, 0.485793, 0.673026, 0.984798, 1.50459", \ + "0.247334, 0.343322, 0.411162, 0.522854, 0.710066, 1.02185, 1.54214", \ + "0.307223, 0.401864, 0.469243, 0.581064, 0.768143, 1.08028, 1.60103", \ + "0.392884, 0.484021, 0.551125, 0.662815, 0.849899, 1.16158, 1.68139", \ + "0.504094, 0.590243, 0.656944, 0.768197, 0.955242, 1.26688, 1.78636", \ + "0.649946, 0.728626, 0.793676, 0.904532, 1.09045, 1.40311, 1.92267" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0254194, 0.159583, 0.256248, 0.41627, 0.684331, 1.13091, 1.87545", \ + "0.0255387, 0.159586, 0.25628, 0.416352, 0.684332, 1.13127, 1.87583", \ + "0.0258693, 0.159644, 0.256345, 0.416353, 0.684396, 1.13128, 1.87598", \ + "0.0268149, 0.159728, 0.256346, 0.416403, 0.684397, 1.13195, 1.87718", \ + "0.0291617, 0.1599, 0.256427, 0.416404, 0.684398, 1.13196, 1.87719", \ + "0.033344, 0.160496, 0.256678, 0.416405, 0.684399, 1.13197, 1.8772", \ + "0.042029, 0.161869, 0.25716, 0.416863, 0.684459, 1.13198, 1.87721" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0020209, 0.0023453, 0.0023769, 0.0023636, 0.0023219, 0.0022454, 0.0020553", \ + "0.000440051, 0.00077295, 0.00079025, 0.00078975, 0.00075345, 0.00069725, 0.00050835", \ + "0.00025451, 0.0006227, 0.0006379, 0.0005985, 0.0005661, 0.0004484, 0.0003483", \ + "0.000223801, 0.000559, 0.0006001, 0.0006039, 0.0005873, 0.0004789, 0.000284", \ + "0.0002081, 0.000557601, 0.0005704, 0.0005535, 0.0006063, 0.0003936, 0.0003647", \ + "0.00013805, 0.00048735, 0.000550349, 0.000553849, 0.00047345, 0.00043905, 0.000419649", \ + "0.000102401, 0.000426101, 0.0004729, 0.000502201, 0.0004957, 0.000474, 0.000376601" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00360695, 0.00388865, 0.00388445, 0.00384435, 0.00373535, 0.00353255, 0.00322085", \ + "0.0034873, 0.0037555, 0.0037683, 0.003737, 0.0036262, 0.0034045, 0.00309212", \ + "0.00345195, 0.00377825, 0.00375805, 0.00374815, 0.00360645, 0.00340355, 0.00311269", \ + "0.0034327, 0.0037042, 0.0037188, 0.0038095, 0.0036505, 0.0034046, 0.00310406", \ + "0.00341675, 0.00370885, 0.00376725, 0.00370715, 0.00357415, 0.00364705, 0.00308858", \ + "0.003378, 0.0036786, 0.0036862, 0.0037377, 0.0037398, 0.0033684, 0.0032653", \ + "0.00332925, 0.00361535, 0.00361285, 0.00364285, 0.00361095, 0.00369605, 0.00356555" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114877, 0.0118377, 0.011854, 0.011854, 0.0118011, 0.0117362, 0.011553", \ + "0.0113735, 0.0117308, 0.0117602, 0.0117297, 0.0116856, 0.0115841, 0.0114463", \ + "0.011349, 0.0117334, 0.0117592, 0.0117156, 0.0116902, 0.0116945, 0.0114187", \ + "0.0113824, 0.0117212, 0.011754, 0.011762, 0.0117084, 0.011682, 0.0115821", \ + "0.011532, 0.0118953, 0.0119257, 0.0118859, 0.0119145, 0.0118151, 0.0124261", \ + "0.0118842, 0.0122444, 0.0122869, 0.0122952, 0.0122841, 0.0121043, 0.0121983", \ + "0.0127133, 0.013071, 0.0130843, 0.0131174, 0.0131345, 0.0131148, 0.0129247" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00788715, 0.00817805, 0.00819085, 0.00815185, 0.00804665, 0.00783905, 0.00754875", \ + "0.0078534, 0.0081398, 0.0081487, 0.0081089, 0.0080094, 0.0078079, 0.0075091", \ + "0.00782317, 0.00814977, 0.00812297, 0.00808657, 0.00803477, 0.00781077, 0.00754497", \ + "0.0077859, 0.0080654, 0.0080817, 0.00815219, 0.0079833, 0.00781509, 0.0074289", \ + "0.00775687, 0.00806388, 0.00812917, 0.00802317, 0.00791677, 0.00813567, 0.00739678", \ + "0.00775051, 0.0080651, 0.00806501, 0.00810941, 0.0081411, 0.0077704, 0.0076806", \ + "0.00776564, 0.00805704, 0.00807654, 0.00807604, 0.00807334, 0.00815024, 0.00798124" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00597764, 0.0063183, 0.00633348, 0.00632725, 0.00626838, 0.00616861, 0.00600223", \ + "0.00587988, 0.00622091, 0.00624458, 0.00624616, 0.00619403, 0.00610554, 0.00597453", \ + "0.00584531, 0.00616599, 0.00619747, 0.00626954, 0.00615722, 0.006049, 0.00591596", \ + "0.0058636, 0.0061368, 0.00618282, 0.00625482, 0.00622535, 0.00613338, 0.00603213", \ + "0.00602137, 0.00623028, 0.00627406, 0.00626492, 0.00632129, 0.00625519, 0.00613954", \ + "0.00638453, 0.00649911, 0.00654994, 0.00658138, 0.0065767, 0.00654968, 0.0064664", \ + "0.00733609, 0.00727323, 0.0072908, 0.00735971, 0.00737535, 0.00739505, 0.0073061" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00193058; + rise_capacitance : 0.00211115; + rise_capacitance_range (0.00211115, 0.00211115); + fall_capacitance : 0.00175002; + fall_capacitance_range (0.00175002, 0.00175002); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.141821, -0.0876004, -0.0504365, -0.0143199", \ + "-0.299253, -0.236821, -0.203581, -0.164342", \ + "-0.40734, -0.339258, -0.302217, -0.267079", \ + "-0.522655, -0.451434, -0.413734, -0.377797" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0709105, 0.092099, 0.201598, 0.312182", \ + "-0.219386, -0.0534757, 0.0612825, 0.173785", \ + "-0.325043, -0.153067, -0.0350787, 0.0803897", \ + "-0.422815, -0.248008, -0.128414, -0.00590308" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.166273, 0.122542, 0.125018, 0.14654", \ + "0.321715, 0.272471, 0.274386, 0.296294", \ + "0.427914, 0.375972, 0.366978, 0.388552", \ + "0.549638, 0.487171, 0.475883, 0.489955" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0904721, -0.0771241, -0.186167, -0.293294", \ + "0.236857, 0.0687544, -0.045548, -0.157291", \ + "0.343045, 0.168801, 0.0512689, -0.0634399", \ + "0.441704, 0.264502, 0.142539, 0.0236123" \ + ); + } + } + internal_power () { + when : "(!GATE * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00277881, 0.00257437, 0.00253134, 0.00259043, 0.00280622, 0.00336991, 0.00464915" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00535599, 0.00520264, 0.00518472, 0.00525079, 0.00551794, 0.006049, 0.00729675" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0132063, 0.0132346, 0.0132305, 0.0132972, 0.0135285, 0.014128, 0.0154449" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161516, 0.0191345, 0.0194302, 0.0195548, 0.019769, 0.0203591, 0.0216576" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0132063, 0.0132346, 0.0132305, 0.0132972, 0.0135285, 0.014128, 0.0154449" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161516, 0.0191345, 0.0194302, 0.0195548, 0.019769, 0.0203591, 0.0216576" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00208993; + rise_capacitance : 0.00239867; + rise_capacitance_range (0.00239867, 0.00239867); + fall_capacitance : 0.00154964; + fall_capacitance_range (0.00154964, 0.00154964); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.139771, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00944133, 0.00907936, 0.00899366, 0.00896129, 0.00920464, 0.00988027, 0.0115911" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00975034, 0.00942275, 0.00932827, 0.00939413, 0.00968091, 0.0103459, 0.0121233" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0117289, 0.012087, 0.0120434, 0.0121396, 0.0123285, 0.0129923, 0.0147233" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0071519, 0.00688059, 0.00683146, 0.00684061, 0.00709765, 0.00775819, 0.00940192" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0117726, 0.0121353, 0.0121003, 0.0121879, 0.0123686, 0.0130424, 0.0147736" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0071519, 0.00688059, 0.00683146, 0.00684061, 0.00709765, 0.00775819, 0.00940192" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0117289, 0.012087, 0.0120434, 0.0121396, 0.0123285, 0.0129923, 0.0147233" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0028825; + rise_capacitance : 0.00294048; + rise_capacitance_range (0.00294048, 0.00294048); + fall_capacitance : 0.00284772; + fall_capacitance_range (0.00284772, 0.00284772); + timing () { + related_pin : "GATE"; + timing_type : recovery_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.00733557, -0.147007, -0.240174, -0.333769", \ + "0.119553, -0.033104, -0.142577, -0.256255", \ + "0.206741, 0.0534153, -0.0620624, -0.179263", \ + "0.301389, 0.151793, 0.0351904, -0.0855946" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : removal_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0342327, 0.191932, 0.307041, 0.428212", \ + "-0.0846116, 0.0789403, 0.20027, 0.32498", \ + "-0.165593, -0.000967038, 0.121426, 0.249887", \ + "-0.258215, -0.0995617, 0.0241335, 0.15348" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.300598, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.85361e-05, 1.38847e-05, 1.37717e-05, 8.68188e-06, 1.12116e-05, 1.46636e-05, 1.4058e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000278681, 0.000222783, 0.000203696, 0.000187338, 0.000176094, 0.000167528, 0.000156789" \ + ); + } + } + internal_power () { + when : "(!D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.82537e-05, 1.34783e-05, 1.33917e-05, 8.36195e-06, 1.1115e-05, 1.43899e-05, 1.37162e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000277925, 0.00022107, 0.00020325, 0.000186983, 0.000175715, 0.000167024, 0.000156549" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.82537e-05, 1.34783e-05, 1.33917e-05, 8.36195e-06, 1.1115e-05, 1.43899e-05, 1.37162e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000277925, 0.00022107, 0.00020325, 0.000186983, 0.000175715, 0.000167024, 0.000156549" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dlhrq_1) { + area : 27.216; + cell_footprint : "DLHRQ"; + cell_leakage_power : 1833.49; + leakage_power () { + value : 1556.96; + when : "!RESET_B*!Q*!D*!GATE"; + } + leakage_power () { + value : 1721.72; + when : "!RESET_B*!Q*!D*GATE"; + } + leakage_power () { + value : 2033.58; + when : "!RESET_B*!Q*D*!GATE"; + } + leakage_power () { + value : 1631.79; + when : "!RESET_B*!Q*D*GATE"; + } + leakage_power () { + value : 1651.54; + when : "RESET_B*!GATE*!D*!Q"; + } + leakage_power () { + value : 1651.54; + when : "RESET_B*!GATE*!D*Q"; + } + leakage_power () { + value : 2128.17; + when : "RESET_B*!GATE*D*!Q"; + } + leakage_power () { + value : 2128.17; + when : "RESET_B*!GATE*D*Q"; + } + leakage_power () { + value : 1972.33; + when : "D*GATE*RESET_B*Q"; + } + leakage_power () { + value : 1859.13; + when : "!D*GATE*RESET_B*!Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.290052, 0.400564, 0.468716, 0.580644, 0.767812, 1.07958, 1.59865", \ + "0.33103, 0.441307, 0.509449, 0.621854, 0.808748, 1.1208, 1.64004", \ + "0.360539, 0.471128, 0.539388, 0.651387, 0.838527, 1.15101, 1.66954", \ + "0.405807, 0.516163, 0.584505, 0.696534, 0.883735, 1.19548, 1.71525", \ + "0.470348, 0.580716, 0.64889, 0.760925, 0.948049, 1.25957, 1.77875", \ + "0.559277, 0.669659, 0.73795, 0.849913, 1.03704, 1.34872, 1.8678", \ + "0.681089, 0.791675, 0.859917, 0.971868, 1.15906, 1.47064, 1.98983" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0339723, 0.163386, 0.258601, 0.418004, 0.685676, 1.1319, 1.87545", \ + "0.0339733, 0.164094, 0.258838, 0.418451, 0.685677, 1.13215, 1.87585", \ + "0.0339743, 0.164095, 0.258839, 0.419649, 0.685715, 1.13251, 1.87639", \ + "0.0339753, 0.164096, 0.25884, 0.41965, 0.685716, 1.13252, 1.87666", \ + "0.0339763, 0.164097, 0.258841, 0.419651, 0.685717, 1.13253, 1.87667", \ + "0.0339773, 0.164098, 0.258842, 0.419652, 0.685718, 1.13254, 1.87668", \ + "0.034088, 0.164099, 0.258843, 0.419653, 0.685719, 1.13255, 1.87669" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.256329, 0.35067, 0.408837, 0.50447, 0.664302, 0.930413, 1.37408", \ + "0.296019, 0.390315, 0.448479, 0.54433, 0.703848, 0.970488, 1.41362", \ + "0.32614, 0.420337, 0.478492, 0.574129, 0.734025, 1.00014, 1.4443", \ + "0.371309, 0.465622, 0.523755, 0.619386, 0.779205, 1.0454, 1.48875", \ + "0.433616, 0.527884, 0.586091, 0.681681, 0.841495, 1.10757, 1.55109", \ + "0.5121, 0.606459, 0.66465, 0.760286, 0.920095, 1.18622, 1.62962", \ + "0.612752, 0.707275, 0.765416, 0.861084, 1.02097, 1.28711, 1.7308" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0266022, 0.13153, 0.207917, 0.335806, 0.550568, 0.908501, 1.50593", \ + "0.0266032, 0.131531, 0.208188, 0.336043, 0.550804, 0.908518, 1.50594", \ + "0.0266042, 0.131532, 0.208189, 0.336044, 0.550805, 0.909029, 1.50595", \ + "0.0266052, 0.131533, 0.20819, 0.336045, 0.550806, 0.90903, 1.50596", \ + "0.026614, 0.131534, 0.208191, 0.336046, 0.550807, 0.909031, 1.50597", \ + "0.026712, 0.131561, 0.208192, 0.336047, 0.550808, 0.909032, 1.50598", \ + "0.026905, 0.131614, 0.208193, 0.336048, 0.550809, 0.909033, 1.50599" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.261467, 0.372505, 0.44071, 0.552721, 0.739868, 1.05148, 1.57099", \ + "0.302462, 0.41346, 0.481792, 0.593715, 0.780798, 1.09282, 1.61156", \ + "0.333145, 0.444069, 0.512284, 0.624264, 0.811419, 1.12436, 1.64232", \ + "0.380189, 0.49118, 0.559432, 0.671393, 0.858497, 1.17005, 1.69046", \ + "0.446984, 0.557964, 0.626211, 0.738289, 0.925324, 1.23684, 1.75591", \ + "0.53706, 0.648169, 0.716332, 0.828421, 1.01556, 1.3271, 1.84618", \ + "0.652471, 0.763945, 0.832206, 0.944273, 1.13149, 1.44307, 1.96223" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0342509, 0.163499, 0.258732, 0.41796, 0.685661, 1.13176, 1.87585", \ + "0.0343022, 0.1635, 0.258733, 0.417961, 0.685716, 1.13196, 1.87586", \ + "0.0343032, 0.163501, 0.258734, 0.418678, 0.685717, 1.13302, 1.87623", \ + "0.0343142, 0.163502, 0.258735, 0.418679, 0.685718, 1.13303, 1.87702", \ + "0.0344004, 0.163503, 0.258736, 0.41868, 0.685719, 1.13304, 1.87703", \ + "0.034824, 0.163562, 0.258737, 0.418681, 0.68572, 1.13305, 1.87704", \ + "0.035651, 0.163829, 0.258916, 0.418682, 0.685721, 1.13306, 1.87705" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.26892, 0.363602, 0.42159, 0.517387, 0.677187, 0.943294, 1.38687", \ + "0.311036, 0.40573, 0.463906, 0.559432, 0.7192, 0.985475, 1.42915", \ + "0.342211, 0.436858, 0.494993, 0.590603, 0.75049, 1.0166, 1.46119", \ + "0.389381, 0.483973, 0.542145, 0.637751, 0.79755, 1.06371, 1.50725", \ + "0.453629, 0.548213, 0.606329, 0.701964, 0.861767, 1.12788, 1.57128", \ + "0.536757, 0.631363, 0.689467, 0.785113, 0.944912, 1.21101, 1.65444", \ + "0.640437, 0.735044, 0.793177, 0.888817, 1.0487, 1.3148, 1.75827" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0271438, 0.131589, 0.208098, 0.335822, 0.550486, 0.9085, 1.50502", \ + "0.0271448, 0.13159, 0.20837, 0.335823, 0.550487, 0.908501, 1.50507", \ + "0.0271458, 0.131591, 0.208371, 0.335824, 0.550634, 0.908601, 1.5072", \ + "0.0271548, 0.131592, 0.208372, 0.336039, 0.550635, 0.908867, 1.50721", \ + "0.0271558, 0.131593, 0.208373, 0.33604, 0.550636, 0.908868, 1.50722", \ + "0.0271568, 0.131594, 0.208374, 0.336041, 0.550637, 0.908869, 1.50723", \ + "0.027167, 0.131599, 0.208375, 0.336042, 0.550638, 0.9091, 1.50724" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0976229, 0.191965, 0.250227, 0.345911, 0.505961, 0.772275, 1.21581", \ + "0.139386, 0.234163, 0.292519, 0.388335, 0.548301, 0.814756, 1.25839", \ + "0.173666, 0.269969, 0.328447, 0.424411, 0.584467, 0.850869, 1.29445", \ + "0.225651, 0.325579, 0.38444, 0.480466, 0.640613, 0.906953, 1.35065", \ + "0.297847, 0.405722, 0.464585, 0.560686, 0.720846, 0.987325, 1.43103", \ + "0.387689, 0.508941, 0.568421, 0.664917, 0.825112, 1.09151, 1.5354", \ + "0.502218, 0.6492, 0.711346, 0.808547, 0.968437, 1.23567, 1.67856" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0257235, 0.131807, 0.208475, 0.336307, 0.550878, 0.908816, 1.50557", \ + "0.026774, 0.131995, 0.208476, 0.336641, 0.550926, 0.908817, 1.50559", \ + "0.0296771, 0.132864, 0.208923, 0.336642, 0.550927, 0.908818, 1.50628", \ + "0.0354715, 0.13495, 0.210367, 0.337427, 0.551872, 0.909151, 1.50751", \ + "0.0451051, 0.140346, 0.213274, 0.33904, 0.552734, 0.910087, 1.50752", \ + "0.062021, 0.149577, 0.21932, 0.342639, 0.555204, 0.912538, 1.50753", \ + "0.086541, 0.172025, 0.234356, 0.351233, 0.559371, 0.915131, 1.50974" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0007743, 0.001061, 0.0010743, 0.0010483, 0.000972999, 0.0007933, 0.0008483", \ + "0.000500101, 0.0008003, 0.0007928, 0.0007984, 0.0006969, 0.0005612, 0.000521201", \ + "0.0004278, 0.0007532, 0.000749799, 0.000814999, 0.0006593, 0.0005322, 0.0005691", \ + "0.0004001, 0.000665399, 0.0007007, 0.0007534, 0.000647199, 0.0004469, 0.000473999", \ + "0.000360699, 0.0006565, 0.0006981, 0.000612, 0.000632999, 0.0005228, 0.0005129", \ + "0.0003004, 0.0005992, 0.0006401, 0.0006585, 0.000622, 0.0003667, 0.0005401", \ + "0.000201099, 0.0005043, 0.0005039, 0.0005643, 0.000559399, 0.000556599, 0.0002355" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.0007743, -0.001061, -0.0010743, -0.0010483, -0.000972999, -0.0007933, -0.0008483", \ + "-0.000500101, -0.0008003, -0.0007928, -0.0007984, -0.0006969, -0.0005612, -0.000521201", \ + "-0.0004278, -0.0007532, -0.000749799, -0.000814999, -0.0006593, -0.0005322, -0.0005691", \ + "-0.0004001, -0.000665399, -0.0007007, -0.0007534, -0.000647199, -0.0004469, -0.000473999", \ + "-0.000360699, -0.0006565, -0.0006981, -0.000612, -0.000632999, -0.0005228, -0.0005129", \ + "-0.0003004, -0.0005992, -0.0006401, -0.0006585, -0.000622, -0.0003667, -0.0005401", \ + "-0.000201099, -0.0005043, -0.0005039, -0.0005643, -0.000559399, -0.000556599, -0.0002355" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00955923, 0.00985973, 0.00987753, 0.00985243, 0.00978063, 0.00962063, 0.00968853", \ + "0.00949993, 0.00980363, 0.00981303, 0.00978233, 0.00972123, 0.00956483, 0.00958583", \ + "0.00945444, 0.00979564, 0.00980964, 0.00977194, 0.00966674, 0.00958234, 0.00960274", \ + "0.00939875, 0.00967395, 0.00970465, 0.00978495, 0.00969655, 0.00944285, 0.00954625", \ + "0.00934118, 0.00964478, 0.00968588, 0.00961108, 0.00958538, 0.00951748, 0.00984718", \ + "0.00933485, 0.00963535, 0.00967105, 0.00967165, 0.00968605, 0.00942255, 0.00974785", \ + "0.00938239, 0.00967699, 0.00967729, 0.00975889, 0.00974759, 0.00974509, 0.00942189" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00955803, 0.00993593, 0.00993213, 0.00992653, 0.00983803, 0.00969353, 0.00940103", \ + "0.00959533, 0.00996693, 0.00999743, 0.00995043, 0.00987533, 0.00973473, 0.00947583", \ + "0.00960874, 0.00999214, 0.0100916, 0.0100241, 0.00990724, 0.00974844, 0.00961034", \ + "0.00965825, 0.0100113, 0.0100198, 0.0100513, 0.00992955, 0.00977655, 0.00950875", \ + "0.00971668, 0.0101196, 0.0101513, 0.0101422, 0.00996188, 0.0100767, 0.00960058", \ + "0.00974705, 0.0101233, 0.0101474, 0.0101876, 0.0102007, 0.00991935, 0.00973205", \ + "0.00975379, 0.0101237, 0.0101761, 0.0101257, 0.0101863, 0.0102235, 0.0101214" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00592986, 0.00628244, 0.00627573, 0.00624866, 0.00617704, 0.00603059, 0.00573223", \ + "0.00575351, 0.00614593, 0.00612316, 0.00612811, 0.00603531, 0.00589943, 0.00564198", \ + "0.00572583, 0.00601547, 0.00609651, 0.00605165, 0.00598214, 0.00584253, 0.00558012", \ + "0.00580336, 0.00607129, 0.00607143, 0.00612346, 0.00608261, 0.00587696, 0.00578026", \ + "0.00609419, 0.00634007, 0.00639225, 0.00640595, 0.00629192, 0.00661045, 0.005996", \ + "0.00678847, 0.00683872, 0.00688922, 0.00697195, 0.00698638, 0.00673755, 0.00687569", \ + "0.00850625, 0.00837249, 0.0083316, 0.00840163, 0.00843978, 0.00862102, 0.00846842" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00198419; + rise_capacitance : 0.0022023; + rise_capacitance_range (0.0022023, 0.0022023); + fall_capacitance : 0.00176608; + fall_capacitance_range (0.00176608, 0.00176608); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.13204, -0.0776171, -0.0401494, -0.000828112", \ + "-0.291765, -0.231728, -0.193091, -0.153346", \ + "-0.399625, -0.336636, -0.29682, -0.25578", \ + "-0.519956, -0.448685, -0.410909, -0.368942" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0684653, 0.0945949, 0.206741, 0.317579", \ + "-0.219386, -0.0534757, 0.0612825, 0.176534", \ + "-0.325043, -0.153067, -0.0350787, 0.0832146", \ + "-0.425513, -0.250757, -0.128414, -0.00590308" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.154047, 0.115054, 0.114731, 0.138445", \ + "0.309236, 0.264832, 0.266519, 0.285298", \ + "0.420199, 0.368105, 0.361581, 0.380077", \ + "0.541543, 0.484422, 0.470233, 0.481101" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0855817, -0.0821157, -0.191311, -0.29869", \ + "0.234361, 0.066208, -0.0507929, -0.16004", \ + "0.340474, 0.168801, 0.0485706, -0.0662649", \ + "0.444402, 0.267251, 0.145364, 0.0236123" \ + ); + } + } + internal_power () { + when : "(!GATE * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00110765, 0.000906548, 0.000855364, 0.000915209, 0.00112405, 0.00168634, 0.00294827" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00377217, 0.00361333, 0.0035969, 0.00365805, 0.00391388, 0.00443922, 0.00567539" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0135593, 0.0136135, 0.0136091, 0.0136657, 0.0139057, 0.0144884, 0.0158076" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0164697, 0.0194102, 0.0196882, 0.0198053, 0.0200345, 0.0206051, 0.0218821" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0135593, 0.0136135, 0.0136091, 0.0136657, 0.0139057, 0.0144884, 0.0158076" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0164697, 0.0194102, 0.0196882, 0.0198053, 0.0200345, 0.0206051, 0.0218821" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00204303; + rise_capacitance : 0.00242286; + rise_capacitance_range (0.00242286, 0.00242286); + fall_capacitance : 0.00156825; + fall_capacitance_range (0.00156825, 0.00156825); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.126801, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00972164, 0.0093724, 0.00929837, 0.00925104, 0.00948575, 0.0101562, 0.0118698" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00952784, 0.00920535, 0.00911081, 0.00916815, 0.00945961, 0.0101199, 0.0118961" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0118787, 0.0122873, 0.0122609, 0.0123181, 0.0125363, 0.0132297, 0.0148968" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00743307, 0.00715247, 0.00710006, 0.00710855, 0.00735762, 0.00801715, 0.00964601" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0119229, 0.0123408, 0.0123083, 0.0123776, 0.0125818, 0.0132995, 0.0148779" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00743307, 0.00715247, 0.00710006, 0.00710855, 0.00735762, 0.00801715, 0.00964601" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0118787, 0.0122873, 0.0122609, 0.0123181, 0.0125363, 0.0132297, 0.0148968" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00272174; + rise_capacitance : 0.00274536; + rise_capacitance_range (0.00274536, 0.00274536); + fall_capacitance : 0.00270402; + fall_capacitance_range (0.00270402, 0.00270402); + timing () { + related_pin : "GATE"; + timing_type : recovery_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0220067, -0.166974, -0.265892, -0.363451", \ + "0.0846116, -0.0713009, -0.184536, -0.300239", \ + "0.14759, -0.00952261, -0.126823, -0.247062", \ + "0.220438, 0.0665737, -0.055208, -0.177092" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : removal_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0464586, 0.206907, 0.327615, 0.452497", \ + "-0.0546617, 0.109498, 0.231739, 0.357968", \ + "-0.116729, 0.0488588, 0.172695, 0.303561", \ + "-0.188057, -0.0253387, 0.100407, 0.23022" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.290222, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000108162, 0.000105333, 0.000104304, 0.000102559, 0.000102589, 0.000105877, 0.000103704" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000201593, 0.000141532, 0.000125311, 0.000110025, 9.58386e-05, 8.68479e-05, 7.54454e-05" \ + ); + } + } + internal_power () { + when : "(!D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000107316, 0.000104036, 0.000103925, 0.000102341, 0.000102378, 0.000105692, 0.000103706" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000201057, 0.00014216, 0.000125437, 0.000110015, 9.5573e-05, 8.6997e-05, 7.52432e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000107316, 0.000104036, 0.000103925, 0.000102341, 0.000102378, 0.000105692, 0.000103706" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000201057, 0.00014216, 0.000125437, 0.000110015, 9.5573e-05, 8.6997e-05, 7.52432e-05" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dllr_1) { + area : 34.4736; + cell_footprint : "DLLR"; + cell_leakage_power : 2405.45; + leakage_power () { + value : 2260.31; + when : "!RESET_B*!Q*Q_N*!D*!GATE_N"; + } + leakage_power () { + value : 1946.83; + when : "!RESET_B*!Q*Q_N*!D*GATE_N"; + } + leakage_power () { + value : 2126.66; + when : "!RESET_B*!Q*Q_N*D*!GATE_N"; + } + leakage_power () { + value : 2529.49; + when : "!RESET_B*!Q*Q_N*D*GATE_N"; + } + leakage_power () { + value : 2354.24; + when : "RESET_B*!GATE_N*!D*!Q*!Q_N"; + } + leakage_power () { + value : 2354.24; + when : "RESET_B*!GATE_N*!D*!Q*Q_N"; + } + leakage_power () { + value : 2354.24; + when : "RESET_B*!GATE_N*!D*Q*!Q_N"; + } + leakage_power () { + value : 2354.24; + when : "RESET_B*!GATE_N*!D*Q*Q_N"; + } + leakage_power () { + value : 2656.45; + when : "RESET_B*!GATE_N*D*!Q*!Q_N"; + } + leakage_power () { + value : 2656.45; + when : "RESET_B*!GATE_N*D*!Q*Q_N"; + } + leakage_power () { + value : 2656.45; + when : "RESET_B*!GATE_N*D*Q*!Q_N"; + } + leakage_power () { + value : 2656.45; + when : "RESET_B*!GATE_N*D*Q*Q_N"; + } + leakage_power () { + value : 2623.48; + when : "D*GATE_N*RESET_B*Q*!Q_N"; + } + leakage_power () { + value : 2146.73; + when : "!D*GATE_N*RESET_B*!Q*Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.316909, 0.438675, 0.507759, 0.62004, 0.80737, 1.11958, 1.63945", \ + "0.357364, 0.479374, 0.548227, 0.66044, 0.84817, 1.1602, 1.68008", \ + "0.38635, 0.508359, 0.577473, 0.689688, 0.877114, 1.1892, 1.70905", \ + "0.430688, 0.552476, 0.621466, 0.73394, 0.921264, 1.23333, 1.75366", \ + "0.493739, 0.615613, 0.684696, 0.796926, 0.984317, 1.29616, 1.81602", \ + "0.580458, 0.702345, 0.771323, 0.883598, 1.07097, 1.38323, 1.90284", \ + "0.700284, 0.822222, 0.891201, 1.0035, 1.19102, 1.50302, 2.02279" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0396007, 0.1692, 0.261617, 0.419027, 0.686514, 1.13289, 1.87842", \ + "0.0396103, 0.169814, 0.261735, 0.419031, 0.686672, 1.13314, 1.87843", \ + "0.0396113, 0.169815, 0.261736, 0.419265, 0.686673, 1.13315, 1.87844", \ + "0.0396386, 0.169816, 0.261737, 0.419266, 0.686674, 1.13329, 1.87898", \ + "0.0396525, 0.169817, 0.261738, 0.419267, 0.686675, 1.1333, 1.87899", \ + "0.039754, 0.169818, 0.261739, 0.419268, 0.686676, 1.13331, 1.879", \ + "0.039822, 0.169819, 0.26174, 0.419269, 0.686856, 1.13332, 1.87901" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.269005, 0.370322, 0.428698, 0.523942, 0.683127, 0.948349, 1.39002", \ + "0.308188, 0.409686, 0.467908, 0.563236, 0.722354, 0.987788, 1.4294", \ + "0.337588, 0.439011, 0.497399, 0.592618, 0.751855, 1.01709, 1.45904", \ + "0.381912, 0.483303, 0.541613, 0.636832, 0.796026, 1.06131, 1.50303", \ + "0.442616, 0.544033, 0.602327, 0.697581, 0.856772, 1.12186, 1.56369", \ + "0.518798, 0.620295, 0.678622, 0.773895, 0.933081, 1.19825, 1.63992", \ + "0.617213, 0.718713, 0.777107, 0.872337, 1.03164, 1.2968, 1.73855" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0293621, 0.13437, 0.208498, 0.334391, 0.547826, 0.904248, 1.49859", \ + "0.0293631, 0.134371, 0.208499, 0.334392, 0.547843, 0.904253, 1.4986", \ + "0.029396, 0.134372, 0.2085, 0.334393, 0.548078, 0.904254, 1.49873", \ + "0.029397, 0.134373, 0.208501, 0.334394, 0.548079, 0.904987, 1.49874", \ + "0.029398, 0.134374, 0.208502, 0.334398, 0.54808, 0.904988, 1.49875", \ + "0.029448, 0.134375, 0.208507, 0.334399, 0.548081, 0.904989, 1.49876", \ + "0.02969, 0.134445, 0.208508, 0.3344, 0.548082, 0.90499, 1.49877" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.352823, 0.475135, 0.54437, 0.656624, 0.844026, 1.15586, 1.67578", \ + "0.39384, 0.516452, 0.585402, 0.697707, 0.885106, 1.19709, 1.71695", \ + "0.427931, 0.550317, 0.619164, 0.731572, 0.919066, 1.23137, 1.75104", \ + "0.479444, 0.601895, 0.670918, 0.783237, 0.970556, 1.28277, 1.80275", \ + "0.553474, 0.675962, 0.745014, 0.857208, 1.04468, 1.35649, 1.87629", \ + "0.646428, 0.768907, 0.837846, 0.950137, 1.13767, 1.44946, 1.96926", \ + "0.774408, 0.8966, 0.965636, 1.07787, 1.26529, 1.57729, 2.09697" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0399202, 0.169196, 0.261682, 0.419134, 0.68655, 1.13302, 1.87836", \ + "0.0399212, 0.169291, 0.261746, 0.419221, 0.686551, 1.13338, 1.87837", \ + "0.0399222, 0.169292, 0.261747, 0.419222, 0.686552, 1.13345, 1.87838", \ + "0.0400155, 0.169295, 0.261748, 0.419223, 0.686553, 1.13346, 1.87868", \ + "0.0400165, 0.169296, 0.261749, 0.419224, 0.686589, 1.13347, 1.87988", \ + "0.0400175, 0.169297, 0.26175, 0.419225, 0.68659, 1.13348, 1.87989", \ + "0.0400185, 0.169298, 0.261751, 0.419226, 0.686591, 1.13349, 1.8799" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.259444, 0.361296, 0.419401, 0.514704, 0.673912, 0.939154, 1.38088", \ + "0.301213, 0.402984, 0.461231, 0.556491, 0.715759, 0.981093, 1.4227", \ + "0.336051, 0.437968, 0.496155, 0.59134, 0.750698, 1.01592, 1.45798", \ + "0.391252, 0.493055, 0.551363, 0.646587, 0.805791, 1.07094, 1.51275", \ + "0.470637, 0.572421, 0.630721, 0.725991, 0.88518, 1.15028, 1.59205", \ + "0.576467, 0.678426, 0.736729, 0.832075, 0.99126, 1.2564, 1.69807", \ + "0.72368, 0.826008, 0.88439, 0.979723, 1.13897, 1.40426, 1.84597" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0298455, 0.1344, 0.208481, 0.334573, 0.547881, 0.904247, 1.49844", \ + "0.0298465, 0.134603, 0.20851, 0.334574, 0.547882, 0.904248, 1.49845", \ + "0.0298789, 0.134604, 0.208511, 0.334575, 0.548239, 0.904249, 1.49926", \ + "0.0298799, 0.134605, 0.208512, 0.334576, 0.54824, 0.904387, 1.49927", \ + "0.0300685, 0.134606, 0.208513, 0.334577, 0.548241, 0.904388, 1.49928", \ + "0.03036, 0.134607, 0.208514, 0.334578, 0.548242, 0.904389, 1.49929", \ + "0.0312, 0.134703, 0.208515, 0.334579, 0.548243, 0.90439, 1.4993" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.10661, 0.212232, 0.272945, 0.369119, 0.528004, 0.792993, 1.23453", \ + "0.149192, 0.255155, 0.315905, 0.412094, 0.571039, 0.836155, 1.27777", \ + "0.185082, 0.293149, 0.353933, 0.450051, 0.609001, 0.873898, 1.31577", \ + "0.240365, 0.354329, 0.415219, 0.511217, 0.670137, 0.935065, 1.37678", \ + "0.317418, 0.444024, 0.505194, 0.60098, 0.75987, 1.02486, 1.4664", \ + "0.414541, 0.563105, 0.624844, 0.720109, 0.878792, 1.14352, 1.58499", \ + "0.537622, 0.724062, 0.786153, 0.880023, 1.03804, 1.30255, 1.74416" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0292494, 0.140101, 0.213639, 0.33709, 0.547265, 0.902277, 1.49701", \ + "0.0302153, 0.140102, 0.21364, 0.337091, 0.547266, 0.902367, 1.49702", \ + "0.0332005, 0.140925, 0.213654, 0.337092, 0.547267, 0.902368, 1.49703", \ + "0.039857, 0.143996, 0.214883, 0.337093, 0.547361, 0.902369, 1.49839", \ + "0.0512991, 0.151125, 0.218385, 0.337979, 0.547362, 0.90237, 1.4984", \ + "0.070405, 0.165046, 0.225229, 0.339862, 0.547903, 0.902587, 1.49841", \ + "0.099452, 0.18985, 0.236896, 0.342053, 0.548004, 0.903109, 1.49842" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0075289, 0.0211786, 0.0303613, 0.0453883, 0.0705179, 0.112303, 0.182109", \ + "0.0073499, 0.0210665, 0.0301842, 0.045231, 0.0703703, 0.11211, 0.181888", \ + "0.0072297, 0.0209768, 0.0302409, 0.0451318, 0.0702675, 0.112065, 0.18168", \ + "0.0071539, 0.0208046, 0.0299994, 0.0451824, 0.0702115, 0.111972, 0.181887", \ + "0.0070996, 0.0208019, 0.029974, 0.0449694, 0.0701558, 0.111971, 0.183235", \ + "0.007043, 0.0207403, 0.0299178, 0.0450245, 0.0701819, 0.111838, 0.182208", \ + "0.0069482, 0.0206433, 0.0297779, 0.0449379, 0.0701955, 0.11212, 0.181573" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0066648, 0.0202765, 0.0293797, 0.0443199, 0.0692688, 0.110834, 0.180109", \ + "0.0018812, 0.0154944, 0.0245969, 0.0395579, 0.0644917, 0.106022, 0.175393", \ + "0.000382099, 0.0140785, 0.023232, 0.038067, 0.0630118, 0.104566, 0.173926", \ + "9.66005e-05, 0.0137021, 0.0228264, 0.0379184, 0.0627472, 0.104338, 0.173605", \ + "2.29999e-05, 0.0137355, 0.0228382, 0.037775, 0.0627802, 0.105664, 0.174313", \ + "-9.76007e-05, 0.0136069, 0.0227536, 0.0378313, 0.0629516, 0.104252, 0.175044", \ + "-0.000147501, 0.0134281, 0.0225603, 0.037678, 0.0628786, 0.105004, 0.174152" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0176456, 0.0313094, 0.0405137, 0.0555683, 0.0806809, 0.122451, 0.192222", \ + "0.017631, 0.0313059, 0.0404709, 0.0555131, 0.0806389, 0.122448, 0.19215", \ + "0.0176457, 0.0313243, 0.0406064, 0.0555388, 0.0806715, 0.12252, 0.192291", \ + "0.0176164, 0.0312872, 0.0405113, 0.0555156, 0.0810319, 0.122463, 0.192293", \ + "0.0176387, 0.0313675, 0.040521, 0.0555312, 0.080896, 0.122581, 0.192471", \ + "0.0176113, 0.0313266, 0.0405074, 0.0556193, 0.0807249, 0.122609, 0.192338", \ + "0.0175026, 0.0311723, 0.0404032, 0.0554639, 0.0807259, 0.122686, 0.19221" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0163998, 0.0300212, 0.0391325, 0.0540884, 0.0790081, 0.120578, 0.189883", \ + "0.0163932, 0.03002, 0.0391218, 0.0540262, 0.0789949, 0.120595, 0.189892", \ + "0.0162946, 0.0299771, 0.0391441, 0.053966, 0.0789265, 0.120486, 0.189872", \ + "0.0162792, 0.0298939, 0.0390385, 0.0542761, 0.0789293, 0.120475, 0.189774", \ + "0.0162054, 0.0299154, 0.0390578, 0.0539075, 0.0789828, 0.120763, 0.190367", \ + "0.0161796, 0.0298646, 0.0390633, 0.0541341, 0.0791716, 0.120593, 0.191324", \ + "0.0162133, 0.0297985, 0.0389402, 0.0541335, 0.0792958, 0.121297, 0.190443" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0175943, 0.0312372, 0.0403526, 0.0552849, 0.0802022, 0.121762, 0.190998", \ + "0.0173271, 0.0310945, 0.0402023, 0.0549962, 0.0799404, 0.121546, 0.190851", \ + "0.0171312, 0.030743, 0.0401118, 0.0548097, 0.0797474, 0.121315, 0.190685", \ + "0.0170577, 0.0306282, 0.0396936, 0.0548342, 0.0797003, 0.121221, 0.190728", \ + "0.0171709, 0.0306586, 0.039879, 0.0547881, 0.0797979, 0.121818, 0.190727", \ + "0.0177489, 0.0310575, 0.0402037, 0.0553799, 0.0804705, 0.121707, 0.192085", \ + "0.0195215, 0.032377, 0.0415445, 0.0566678, 0.0818024, 0.124002, 0.193372" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.329275, 0.425747, 0.493556, 0.60504, 0.792124, 1.10365, 1.62295", \ + "0.368491, 0.465219, 0.532687, 0.64449, 0.831527, 1.14314, 1.66221", \ + "0.397815, 0.494525, 0.562178, 0.67383, 0.860912, 1.17267, 1.69174", \ + "0.442217, 0.538793, 0.606367, 0.718012, 0.905069, 1.21662, 1.73588", \ + "0.502935, 0.599507, 0.667011, 0.778617, 0.965522, 1.27703, 1.79626", \ + "0.579122, 0.67576, 0.743283, 0.855075, 1.04192, 1.35347, 1.87259", \ + "0.676694, 0.773224, 0.840894, 0.952513, 1.13947, 1.45115, 1.9703" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0256565, 0.159578, 0.256128, 0.415944, 0.683835, 1.13002, 1.875", \ + "0.0256598, 0.159584, 0.256129, 0.416033, 0.68384, 1.13024, 1.87501", \ + "0.0256608, 0.159585, 0.25613, 0.416093, 0.684234, 1.13027, 1.87502", \ + "0.0256618, 0.159586, 0.256131, 0.416094, 0.684235, 1.13033, 1.87503", \ + "0.025665, 0.159587, 0.256144, 0.416095, 0.684236, 1.13034, 1.88221", \ + "0.025666, 0.159588, 0.256197, 0.416096, 0.684237, 1.13035, 1.88222", \ + "0.025679, 0.159589, 0.256198, 0.416097, 0.684238, 1.13036, 1.88223" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.384566, 0.468931, 0.526922, 0.621642, 0.780738, 1.04585, 1.48797", \ + "0.425013, 0.509636, 0.567132, 0.662033, 0.821483, 1.08637, 1.52853", \ + "0.454205, 0.53848, 0.596197, 0.691206, 0.850441, 1.11551, 1.55739", \ + "0.498367, 0.582826, 0.640307, 0.735387, 0.894628, 1.15965, 1.60152", \ + "0.56141, 0.645747, 0.703305, 0.798278, 0.957474, 1.22246, 1.66431", \ + "0.648135, 0.732629, 0.790086, 0.88521, 1.04422, 1.30936, 1.75111", \ + "0.767632, 0.85201, 0.909523, 1.00464, 1.16376, 1.42883, 1.87073" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0263174, 0.130936, 0.207658, 0.334973, 0.548795, 0.905356, 1.49954", \ + "0.0263184, 0.131031, 0.207659, 0.335046, 0.548824, 0.905357, 1.49982", \ + "0.0263194, 0.131032, 0.20766, 0.335967, 0.549201, 0.905358, 1.49983", \ + "0.0263204, 0.131033, 0.207661, 0.335968, 0.549202, 0.905994, 1.50059", \ + "0.0263214, 0.131034, 0.207662, 0.335969, 0.549203, 0.905995, 1.5006", \ + "0.0263224, 0.131035, 0.207663, 0.33597, 0.549204, 0.905996, 1.50061", \ + "0.026324, 0.131036, 0.207664, 0.335971, 0.549205, 0.905997, 1.50062" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.320098, 0.416707, 0.484088, 0.595877, 0.782729, 1.09442, 1.61377", \ + "0.361746, 0.458435, 0.525948, 0.637643, 0.825165, 1.13645, 1.65554", \ + "0.396574, 0.493345, 0.560782, 0.672497, 0.859561, 1.17132, 1.69048", \ + "0.451824, 0.548447, 0.615975, 0.727736, 0.914666, 1.22637, 1.74568", \ + "0.531217, 0.627894, 0.695514, 0.807234, 0.994042, 1.30556, 1.82497", \ + "0.637052, 0.733642, 0.801273, 0.912981, 1.09983, 1.41133, 1.93052", \ + "0.785833, 0.882251, 0.949723, 1.06136, 1.24854, 1.56006, 2.07923" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0256626, 0.159565, 0.256086, 0.415934, 0.684017, 1.13061, 1.875", \ + "0.0256752, 0.159566, 0.256187, 0.415935, 0.684018, 1.13062, 1.87501", \ + "0.0256762, 0.159567, 0.256188, 0.416072, 0.684019, 1.13063, 1.87502", \ + "0.0256772, 0.159568, 0.256189, 0.416073, 0.68402, 1.13064, 1.87503", \ + "0.0256782, 0.159569, 0.25619, 0.416074, 0.684021, 1.13065, 1.88056", \ + "0.025693, 0.159572, 0.256191, 0.416086, 0.684022, 1.13066, 1.88057", \ + "0.02578, 0.159577, 0.256192, 0.416087, 0.684023, 1.13067, 1.88058" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.420928, 0.505392, 0.562993, 0.658118, 0.817267, 1.08233, 1.52422", \ + "0.462032, 0.546549, 0.604033, 0.699001, 0.85821, 1.12325, 1.5652", \ + "0.496046, 0.580441, 0.637801, 0.73304, 0.892173, 1.15737, 1.59904", \ + "0.54758, 0.632008, 0.689495, 0.784672, 0.943766, 1.20899, 1.6507", \ + "0.621612, 0.706101, 0.763647, 0.858624, 1.01784, 1.28289, 1.72475", \ + "0.714595, 0.799032, 0.856564, 0.951648, 1.11069, 1.37584, 1.81765", \ + "0.840962, 0.925368, 0.982931, 1.07808, 1.23712, 1.50228, 1.94408" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0263578, 0.130999, 0.207484, 0.334973, 0.548797, 0.905356, 1.4996", \ + "0.0263588, 0.131, 0.207501, 0.334985, 0.548798, 0.905357, 1.49961", \ + "0.0263598, 0.131001, 0.207655, 0.33581, 0.54906, 0.905495, 1.49962", \ + "0.0263608, 0.131002, 0.207656, 0.335811, 0.549061, 0.90691, 1.50028", \ + "0.0263618, 0.13103, 0.207657, 0.335812, 0.549062, 0.906911, 1.50029", \ + "0.0263628, 0.131031, 0.207658, 0.335813, 0.549148, 0.906912, 1.5003", \ + "0.026364, 0.131032, 0.207659, 0.335814, 0.549149, 0.906913, 1.50031" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.167829, 0.264749, 0.33229, 0.443968, 0.630741, 0.942405, 1.46159", \ + "0.210668, 0.307507, 0.37511, 0.486843, 0.673745, 0.985591, 1.50511", \ + "0.248643, 0.344722, 0.412375, 0.524001, 0.710918, 1.02251, 1.54315", \ + "0.309031, 0.403591, 0.471034, 0.582635, 0.769674, 1.08144, 1.60169", \ + "0.395978, 0.487233, 0.554427, 0.665895, 0.853097, 1.16437, 1.68351", \ + "0.509443, 0.596161, 0.662817, 0.773831, 0.960555, 1.27199, 1.79106", \ + "0.659033, 0.738646, 0.803746, 0.913568, 1.09979, 1.41102, 1.9302" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0260024, 0.159757, 0.25625, 0.416257, 0.683786, 1.13059, 1.87455", \ + "0.0260255, 0.159764, 0.256251, 0.416261, 0.683787, 1.1306, 1.87456", \ + "0.0264122, 0.159765, 0.256254, 0.416262, 0.683815, 1.13061, 1.87595", \ + "0.0276149, 0.15987, 0.256255, 0.416263, 0.683816, 1.13084, 1.87596", \ + "0.0302924, 0.16014, 0.256429, 0.416264, 0.683817, 1.13085, 1.87597", \ + "0.035142, 0.160735, 0.256769, 0.416265, 0.683818, 1.13086, 1.87598", \ + "0.044544, 0.162608, 0.257396, 0.416414, 0.683991, 1.13087, 1.87599" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0066831, 0.020415, 0.02956, 0.0445777, 0.0696675, 0.111461, 0.181267", \ + "0.0019019, 0.0156416, 0.0247601, 0.0398041, 0.0649306, 0.106745, 0.176494", \ + "0.0004002, 0.0141809, 0.0233175, 0.0383212, 0.0634624, 0.105148, 0.174965", \ + "0.0001183, 0.0138431, 0.0230234, 0.0380668, 0.0635323, 0.104918, 0.174614", \ + "4.94998e-05, 0.0138018, 0.022954, 0.0379583, 0.0632938, 0.104824, 0.175559", \ + "-8.16993e-05, 0.0136725, 0.0228815, 0.0379571, 0.0630383, 0.104946, 0.174659", \ + "-0.0001359, 0.0135672, 0.0227544, 0.037865, 0.063093, 0.104982, 0.174704" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0075139, 0.021115, 0.0302516, 0.045192, 0.0701709, 0.111744, 0.181107", \ + "0.0073306, 0.0209488, 0.0300572, 0.0450307, 0.0701309, 0.11159, 0.181036", \ + "0.007225, 0.0208927, 0.0300536, 0.0450342, 0.0699553, 0.111451, 0.180883", \ + "0.007131, 0.0207471, 0.0298655, 0.0450853, 0.0700109, 0.111481, 0.180792", \ + "0.0070871, 0.0207249, 0.0299421, 0.0447548, 0.0698263, 0.111908, 0.18075", \ + "0.0070312, 0.0206949, 0.0298081, 0.0449159, 0.0701679, 0.111402, 0.181276", \ + "0.00692, 0.0205607, 0.029639, 0.0447514, 0.0698693, 0.112022, 0.181786" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0232309, 0.036983, 0.0461345, 0.0611631, 0.0862876, 0.128121, 0.197827", \ + "0.0229512, 0.0366855, 0.0458591, 0.0608745, 0.0859902, 0.127789, 0.19741", \ + "0.0228215, 0.0366235, 0.0457361, 0.0607428, 0.0858949, 0.127629, 0.197398", \ + "0.0228987, 0.0366509, 0.0458381, 0.0608488, 0.0864981, 0.127721, 0.197448", \ + "0.02307, 0.0368455, 0.046001, 0.0610058, 0.0863113, 0.127915, 0.198368", \ + "0.0236878, 0.0374495, 0.0466357, 0.0617523, 0.086863, 0.128643, 0.198413", \ + "0.0252928, 0.0390055, 0.0482245, 0.063285, 0.0885061, 0.130544, 0.200032" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0176268, 0.0312549, 0.0403835, 0.0553474, 0.0803235, 0.121903, 0.19125", \ + "0.017623, 0.0312653, 0.0403581, 0.0553211, 0.0803311, 0.121893, 0.191311", \ + "0.0176328, 0.0314185, 0.0403873, 0.0554279, 0.0803505, 0.121953, 0.191299", \ + "0.0175958, 0.0312156, 0.0403662, 0.0557159, 0.080428, 0.121954, 0.19127", \ + "0.0176308, 0.0313143, 0.0403764, 0.0553532, 0.0805498, 0.122646, 0.191485", \ + "0.0175991, 0.031262, 0.0404858, 0.0555726, 0.0806566, 0.121956, 0.192208", \ + "0.0175107, 0.0311434, 0.0402972, 0.0554858, 0.0806585, 0.122608, 0.191739" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0186598, 0.0324537, 0.0415801, 0.0566079, 0.0816959, 0.123492, 0.193188", \ + "0.0183808, 0.0321424, 0.0412945, 0.0563337, 0.0814261, 0.123278, 0.192948", \ + "0.018188, 0.0319343, 0.0410685, 0.0563699, 0.0812213, 0.123009, 0.192999", \ + "0.0180957, 0.0317274, 0.0409069, 0.0560457, 0.0811185, 0.122995, 0.192829", \ + "0.0182175, 0.0317593, 0.0409467, 0.0559574, 0.081286, 0.123107, 0.192862", \ + "0.01878, 0.032114, 0.0413647, 0.0564622, 0.0816189, 0.123556, 0.193648", \ + "0.0205765, 0.0335164, 0.0426651, 0.0577719, 0.0831145, 0.125007, 0.194781" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0020013; + rise_capacitance : 0.00218133; + rise_capacitance_range (0.00218133, 0.00218133); + fall_capacitance : 0.00182127; + fall_capacitance_range (0.00182127, 0.00182127); + timing () { + related_pin : "GATE_N"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.117369, 0.0346951, 0.119301, 0.193454", \ + "-0.271798, -0.117137, -0.0305019, 0.0500797", \ + "-0.37905, -0.223872, -0.134918, -0.0495581", \ + "-0.498369, -0.341474, -0.249887, -0.162335" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.136931, -0.0950879, -0.0838696, -0.081779", \ + "-0.284278, -0.239367, -0.229805, -0.22482", \ + "-0.386766, -0.336636, -0.323804, -0.317928", \ + "-0.484877, -0.426693, -0.413734, -0.407312" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.136931, -0.0197201, -0.10387, -0.177264", \ + "0.291765, 0.137509, 0.0488588, -0.0280877", \ + "0.399625, 0.244851, 0.153807, 0.0721577", \ + "0.519956, 0.363466, 0.272486, 0.185947" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.154047, 0.127534, 0.148164, 0.200507", \ + "0.299253, 0.269925, 0.284876, 0.323784", \ + "0.402196, 0.365482, 0.377771, 0.413977", \ + "0.501068, 0.456932, 0.464583, 0.49881" \ + ); + } + } + internal_power () { + when : "(GATE_N * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00282196, 0.00261652, 0.00257176, 0.00263611, 0.00284873, 0.00340902, 0.00468124" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00268095, 0.00252585, 0.00251217, 0.00257597, 0.00284555, 0.00337558, 0.00462369" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0138653, 0.0138211, 0.0138681, 0.0139748, 0.0142293, 0.0148107, 0.0161472" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0140159, 0.018623, 0.0200509, 0.0203993, 0.0206561, 0.0212298, 0.0225184" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0138653, 0.0138211, 0.0138681, 0.0139748, 0.0142293, 0.0148107, 0.0161472" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0140159, 0.018623, 0.0200509, 0.0203993, 0.0206561, 0.0212298, 0.0225184" \ + ); + } + } + } + pin (GATE_N) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00215206; + rise_capacitance : 0.00161769; + rise_capacitance_range (0.00161769, 0.00161769); + fall_capacitance : 0.00245741; + fall_capacitance_range (0.00245741, 0.00245741); + timing () { + related_pin : "GATE_N"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.183868, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0106251, 0.0103223, 0.0102244, 0.0102465, 0.0104525, 0.0110647, 0.012599" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0103604, 0.0100726, 0.0100213, 0.010106, 0.0103685, 0.0109747, 0.0125415" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0105535, 0.0120373, 0.0123247, 0.0124259, 0.0126694, 0.0133583, 0.0149983" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0116629, 0.0131505, 0.013433, 0.0135304, 0.0137761, 0.0144545, 0.0160884" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00683152, 0.00655586, 0.00652128, 0.00661128, 0.00685861, 0.0075038, 0.0091855" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0105535, 0.0120373, 0.0123247, 0.0124259, 0.0126694, 0.0133583, 0.0149983" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00683152, 0.00655586, 0.00652128, 0.00661128, 0.00685861, 0.0075038, 0.0091855" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00284408; + rise_capacitance : 0.00290437; + rise_capacitance_range (0.00290437, 0.00290437); + fall_capacitance : 0.00280791; + fall_capacitance_range (0.00280791, 0.00280791); + timing () { + related_pin : "GATE_N"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0415682, -0.194428, -0.286466, -0.376943", \ + "0.0721324, -0.0789403, -0.174046, -0.267251", \ + "0.150162, -0.00165537, -0.0944428, -0.187738", \ + "0.242025, 0.0940637, 0.00129102, -0.0914977" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0684653, 0.221882, 0.317328, 0.412022", \ + "-0.0421825, 0.112044, 0.205515, 0.300239", \ + "-0.116729, 0.0357467, 0.129521, 0.224462", \ + "-0.206946, -0.0555777, 0.0410833, 0.132819" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.300598, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.002179, 0.0021735, 0.00217263, 0.00216931, 0.0021728, 0.00217559, 0.00217318" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00030247, 0.000247745, 0.000228582, 0.000213199, 0.000200551, 0.000192792, 0.000181704" \ + ); + } + } + internal_power () { + when : "(!D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-7.30068e-07, -7.30995e-06, -6.92037e-06, -1.0558e-05, -9.77608e-06, -5.08708e-06, -6.84567e-06" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00136576, 0.00130784, 0.00128745, 0.00127233, 0.0012598, 0.00125272, 0.00124148" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-7.30068e-07, -7.30995e-06, -6.92037e-06, -1.0558e-05, -9.77608e-06, -5.08708e-06, -6.84567e-06" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00136576, 0.00130784, 0.00128745, 0.00127233, 0.0012598, 0.00125272, 0.00124148" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE_N'"; + } + } + cell (sg13g2_dllrq_1) { + area : 29.0304; + cell_footprint : "DLLRQ"; + cell_leakage_power : 1806.15; + leakage_power () { + value : 1764.41; + when : "!RESET_B*!Q*!D*!GATE_N"; + } + leakage_power () { + value : 1451.47; + when : "!RESET_B*!Q*!D*GATE_N"; + } + leakage_power () { + value : 1631.57; + when : "!RESET_B*!Q*D*!GATE_N"; + } + leakage_power () { + value : 2033.59; + when : "!RESET_B*!Q*D*GATE_N"; + } + leakage_power () { + value : 1546.33; + when : "RESET_B*GATE_N*!D*!Q"; + } + leakage_power () { + value : 1546.33; + when : "RESET_B*GATE_N*!D*Q"; + } + leakage_power () { + value : 2128.29; + when : "RESET_B*GATE_N*D*!Q"; + } + leakage_power () { + value : 2128.29; + when : "RESET_B*GATE_N*D*Q"; + } + leakage_power () { + value : 1972.2; + when : "D*!GATE_N*RESET_B*Q"; + } + leakage_power () { + value : 1859.04; + when : "!D*!GATE_N*RESET_B*!Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.288602, 0.398695, 0.467068, 0.578867, 0.766526, 1.07828, 1.59772", \ + "0.329197, 0.439348, 0.507576, 0.620246, 0.807202, 1.11942, 1.63885", \ + "0.358719, 0.468734, 0.536973, 0.649119, 0.836475, 1.1493, 1.66847", \ + "0.403488, 0.513462, 0.58171, 0.693841, 0.881191, 1.19318, 1.71365", \ + "0.467468, 0.577334, 0.645776, 0.757877, 0.94513, 1.25696, 1.77662", \ + "0.55533, 0.66523, 0.733499, 0.845677, 1.03303, 1.34493, 1.86446", \ + "0.676083, 0.786045, 0.854447, 0.966527, 1.1539, 1.46581, 1.9855" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0348454, 0.16438, 0.259779, 0.419262, 0.687254, 1.13388, 1.87817", \ + "0.0348464, 0.164752, 0.260029, 0.419837, 0.687255, 1.13413, 1.87818", \ + "0.0348474, 0.164753, 0.26003, 0.419975, 0.687256, 1.13454, 1.87841", \ + "0.0348484, 0.164754, 0.260031, 0.419976, 0.687257, 1.13455, 1.87944", \ + "0.0348494, 0.164755, 0.260032, 0.419977, 0.687258, 1.13456, 1.87945", \ + "0.034881, 0.164756, 0.260033, 0.419978, 0.687275, 1.13457, 1.87946", \ + "0.035084, 0.164757, 0.260034, 0.419979, 0.687276, 1.13458, 1.87947" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.255051, 0.348571, 0.406478, 0.501599, 0.661011, 0.926113, 1.368", \ + "0.294602, 0.388064, 0.445991, 0.541258, 0.700478, 0.966057, 1.40755", \ + "0.324332, 0.417843, 0.475731, 0.57103, 0.73031, 0.995371, 1.43784", \ + "0.369199, 0.462632, 0.520581, 0.615832, 0.774966, 1.04016, 1.48208", \ + "0.430856, 0.524325, 0.582264, 0.67749, 0.836704, 1.10179, 1.54356", \ + "0.508412, 0.601924, 0.659822, 0.755142, 0.914325, 1.17944, 1.62115", \ + "0.607623, 0.701259, 0.759188, 0.85444, 1.01376, 1.27887, 1.72083" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0271209, 0.131755, 0.207848, 0.335173, 0.548989, 0.905994, 1.50055", \ + "0.0271219, 0.131756, 0.208075, 0.335207, 0.549472, 0.905995, 1.50056", \ + "0.0271229, 0.131757, 0.208076, 0.335208, 0.549473, 0.905996, 1.50057", \ + "0.027167, 0.131758, 0.208077, 0.33539, 0.549474, 0.911306, 1.50058", \ + "0.0272421, 0.131759, 0.208078, 0.335391, 0.549475, 0.911307, 1.50059", \ + "0.027281, 0.131784, 0.208079, 0.335392, 0.549476, 0.911308, 1.5006", \ + "0.027559, 0.131838, 0.20808, 0.335393, 0.549477, 0.911309, 1.50061" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.324828, 0.435312, 0.50353, 0.615645, 0.802803, 1.11512, 1.6346", \ + "0.366042, 0.476476, 0.544768, 0.656653, 0.844139, 1.15608, 1.676", \ + "0.399695, 0.510204, 0.578701, 0.690848, 0.878282, 1.18979, 1.70983", \ + "0.45127, 0.56176, 0.630006, 0.742158, 0.929458, 1.24141, 1.76123", \ + "0.525478, 0.635934, 0.704133, 0.8162, 1.00352, 1.31534, 1.83522", \ + "0.618473, 0.728949, 0.797166, 0.909295, 1.09667, 1.40837, 1.92794", \ + "0.744216, 0.854245, 0.922463, 1.0346, 1.222, 1.53404, 2.05334" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0351519, 0.16456, 0.259859, 0.419335, 0.687254, 1.13388, 1.87805", \ + "0.0351529, 0.164561, 0.259868, 0.419392, 0.687255, 1.13402, 1.87844", \ + "0.0351775, 0.164562, 0.259873, 0.41957, 0.687302, 1.13403, 1.87845", \ + "0.0351785, 0.164563, 0.259874, 0.419571, 0.687303, 1.1341, 1.87891", \ + "0.0351795, 0.164564, 0.259875, 0.419572, 0.687304, 1.13411, 1.89705", \ + "0.0351805, 0.164565, 0.259876, 0.419573, 0.68733, 1.13412, 1.89706", \ + "0.0351815, 0.164566, 0.25988, 0.419574, 0.687331, 1.13413, 1.89707" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.244098, 0.337987, 0.395901, 0.49116, 0.650396, 0.915623, 1.35744", \ + "0.285934, 0.379758, 0.437668, 0.532905, 0.692313, 0.95725, 1.39908", \ + "0.320776, 0.414631, 0.472599, 0.56782, 0.727026, 0.992187, 1.43453", \ + "0.37588, 0.469674, 0.527591, 0.6228, 0.782025, 1.04709, 1.48909", \ + "0.454703, 0.548553, 0.606547, 0.701812, 0.860983, 1.12608, 1.56788", \ + "0.559615, 0.653692, 0.711607, 0.806895, 0.966113, 1.23125, 1.67297", \ + "0.705578, 0.800018, 0.857965, 0.953341, 1.1126, 1.3777, 1.8195" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0277554, 0.131803, 0.207883, 0.33517, 0.548991, 0.905518, 1.4996", \ + "0.0277564, 0.131804, 0.207959, 0.33519, 0.549241, 0.905519, 1.49961", \ + "0.0277574, 0.131805, 0.20796, 0.335191, 0.549242, 0.905956, 1.50044", \ + "0.0278018, 0.131806, 0.207961, 0.335206, 0.549243, 0.907476, 1.50045", \ + "0.0279972, 0.131832, 0.207988, 0.335207, 0.549246, 0.907477, 1.50046", \ + "0.028337, 0.131927, 0.207989, 0.335233, 0.549247, 0.907478, 1.50047", \ + "0.029243, 0.132138, 0.208045, 0.335234, 0.549248, 0.907479, 1.50048" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0984919, 0.192121, 0.250179, 0.34564, 0.504912, 0.770176, 1.21226", \ + "0.140359, 0.234306, 0.292504, 0.387954, 0.547298, 0.812755, 1.25463", \ + "0.174647, 0.270081, 0.3284, 0.42396, 0.583383, 0.848803, 1.29074", \ + "0.22678, 0.325786, 0.384342, 0.480005, 0.639485, 0.904943, 1.34686", \ + "0.299101, 0.405351, 0.46442, 0.560154, 0.719704, 0.985205, 1.42717", \ + "0.38917, 0.508951, 0.56881, 0.664465, 0.823728, 1.08925, 1.53145", \ + "0.504088, 0.649114, 0.711, 0.807849, 0.968099, 1.23269, 1.67455" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0263889, 0.132068, 0.20836, 0.335727, 0.549403, 0.905995, 1.50001", \ + "0.027423, 0.132239, 0.20837, 0.336566, 0.549472, 0.905996, 1.50024", \ + "0.0303351, 0.133027, 0.208882, 0.336567, 0.54951, 0.905997, 1.50087", \ + "0.0361055, 0.135269, 0.210296, 0.336696, 0.55025, 0.906072, 1.50231", \ + "0.0458265, 0.140097, 0.213215, 0.338592, 0.551391, 0.907386, 1.50232", \ + "0.062618, 0.149786, 0.219622, 0.342062, 0.553391, 0.909424, 1.50233", \ + "0.087305, 0.17218, 0.23432, 0.350709, 0.557953, 0.911844, 1.50434" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.128899, 0.238685, 0.307012, 0.418991, 0.606331, 0.918499, 1.43791", \ + "0.163089, 0.273131, 0.341444, 0.453528, 0.640843, 0.952783, 1.47358", \ + "0.191512, 0.302708, 0.37106, 0.483246, 0.670779, 0.982996, 1.50238", \ + "0.23758, 0.351739, 0.420217, 0.532755, 0.719946, 1.0321, 1.55207", \ + "0.304843, 0.425725, 0.494286, 0.60613, 0.793865, 1.1059, 1.62572", \ + "0.395261, 0.532102, 0.600796, 0.713708, 0.901005, 1.2132, 1.73306", \ + "0.51415, 0.677838, 0.751086, 0.86514, 1.05216, 1.36393, 1.88422" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0337389, 0.164293, 0.259775, 0.419395, 0.687232, 1.13387, 1.87881", \ + "0.0342367, 0.164327, 0.259856, 0.419396, 0.687297, 1.13402, 1.87882", \ + "0.0360368, 0.164905, 0.260195, 0.419397, 0.688473, 1.13422, 1.87883", \ + "0.0402134, 0.166754, 0.261125, 0.419998, 0.688474, 1.13601, 1.87894", \ + "0.049658, 0.170555, 0.263577, 0.421164, 0.688475, 1.13602, 1.87895", \ + "0.065949, 0.181062, 0.269128, 0.424205, 0.689775, 1.13603, 1.87921", \ + "0.090047, 0.201993, 0.284382, 0.433261, 0.694077, 1.138, 1.88089" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00473623, 0.00503213, 0.00504963, 0.00501123, 0.00495873, 0.00475603, 0.00478193", \ + "0.00483396, 0.00513066, 0.00515706, 0.00515636, 0.00504066, 0.00492836, 0.00485946", \ + "0.00482662, 0.00516452, 0.00513802, 0.00514782, 0.00504772, 0.00493462, 0.00491452", \ + "0.00480348, 0.00506558, 0.00510388, 0.00514048, 0.00506228, 0.00484658, 0.00487648", \ + "0.00480026, 0.00510276, 0.00514986, 0.00506326, 0.00506666, 0.00504696, 0.00538656", \ + "0.00476906, 0.00506296, 0.00510396, 0.00511296, 0.00510736, 0.00484356, 0.00502276", \ + "0.0047046, 0.0049998, 0.0050045, 0.0050663, 0.0050794, 0.0050764, 0.0047085" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0041276, 0.0044852, 0.0044922, 0.0044488, 0.0043922, 0.0042515, 0.0040043", \ + "0.0005577, 0.0009074, 0.0009332, 0.0008857, 0.000842, 0.0007063, 0.0003908", \ + "8.68002e-05, 0.0004648, 0.0005319, 0.000584099, 0.000375099, 0.0002174, -2.08998e-05", \ + "6.4997e-06, 0.0003465, 0.000352, 0.0003943, 0.000315, 0.0004454, -0.0001709", \ + "-1.63997e-05, 0.0003553, 0.0003837, 0.000341401, 0.0002237, 0.0004609, 0.0002124", \ + "-0.0001111, 0.0002671, 0.000283699, 0.000305, 0.0003033, 5.00996e-05, 0.0001873", \ + "-0.0001952, 0.0001458, 0.000152299, 0.0001844, 0.000149701, 0.0001786, 0.000163199" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0055991, 0.0058769, 0.0059011, 0.005876, 0.0057837, 0.0056318, 0.0056825", \ + "0.0049636, 0.0052098, 0.0052304, 0.0052031, 0.005132, 0.0049934, 0.0049401", \ + "0.0048944, 0.0052138, 0.0052225, 0.0052068, 0.005137, 0.0049471, 0.0049702", \ + "0.0048909, 0.0051633, 0.0052221, 0.0051734, 0.0053206, 0.0049468, 0.004931", \ + "0.0049278, 0.005233, 0.0052329, 0.0051986, 0.0052474, 0.0050018, 0.0057031", \ + "0.0048899, 0.0051991, 0.0052429, 0.0052661, 0.0051421, 0.0050658, 0.004943", \ + "0.0048274, 0.0051049, 0.0051659, 0.0051698, 0.0051854, 0.0051779, 0.0048358" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0047087, 0.0050751, 0.0050816, 0.0050581, 0.0049846, 0.0048427, 0.0045736", \ + "0.0040176, 0.0043961, 0.0043974, 0.0043625, 0.0043188, 0.0041552, 0.0038794", \ + "0.0039408, 0.0043285, 0.0043969, 0.004422, 0.0042236, 0.0040711, 0.003862", \ + "0.0039486, 0.0042862, 0.0042896, 0.0043461, 0.0043087, 0.0041548, 0.0037461", \ + "0.0038673, 0.0042509, 0.004292, 0.0042435, 0.0041883, 0.0041591, 0.0042375", \ + "0.0038607, 0.0042535, 0.0042754, 0.0042593, 0.0042997, 0.0040085, 0.004153", \ + "0.0039842, 0.0043201, 0.0043362, 0.0043924, 0.0043912, 0.0044364, 0.0042929" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00629285, 0.00661199, 0.00662847, 0.0065935, 0.00651339, 0.00634276, 0.00640194", \ + "0.00609829, 0.00641557, 0.00654955, 0.00640135, 0.00633928, 0.00616827, 0.00627042", \ + "0.00605034, 0.00632199, 0.00639808, 0.00633571, 0.0063203, 0.0061348, 0.00614725", \ + "0.00600151, 0.00625122, 0.00624723, 0.00638044, 0.00620267, 0.00614731, 0.00602929", \ + "0.00609307, 0.00627228, 0.00631598, 0.00622926, 0.00622282, 0.00615317, 0.00614693", \ + "0.00652387, 0.0066436, 0.00661521, 0.00672568, 0.00671715, 0.00653922, 0.00675377", \ + "0.00787595, 0.00779922, 0.00780812, 0.0079121, 0.00793685, 0.00795438, 0.00771024" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00499839, 0.00533367, 0.00533316, 0.00530509, 0.00523038, 0.00508566, 0.004806", \ + "0.00481793, 0.00520996, 0.00517311, 0.00520565, 0.00508206, 0.00493975, 0.00463563", \ + "0.00478865, 0.00506757, 0.00514969, 0.00510323, 0.00503633, 0.00490158, 0.00464679", \ + "0.00486536, 0.00513019, 0.00512103, 0.00517818, 0.00515741, 0.00497464, 0.00486222", \ + "0.00515607, 0.00536696, 0.00545151, 0.00546126, 0.00533643, 0.00543954, 0.00497475", \ + "0.00584, 0.00589168, 0.00598338, 0.00604208, 0.0060485, 0.00588175, 0.00592249", \ + "0.00755352, 0.00743106, 0.00739056, 0.00746386, 0.00757865, 0.00757076, 0.00746376" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00189445; + rise_capacitance : 0.00211097; + rise_capacitance_range (0.00211097, 0.00211097); + fall_capacitance : 0.00167793; + fall_capacitance_range (0.00167793, 0.00167793); + timing () { + related_pin : "GATE_N"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.105143, 0.0471742, 0.127016, 0.201549", \ + "-0.261815, -0.109498, -0.0226347, 0.0555777", \ + "-0.368763, -0.216005, -0.126823, -0.0439082", \ + "-0.490274, -0.333227, -0.241412, -0.15348" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.13204, -0.0900962, -0.0787261, -0.0709856", \ + "-0.281782, -0.236821, -0.22456, -0.216573", \ + "-0.384194, -0.334013, -0.321105, -0.315104", \ + "-0.482179, -0.426693, -0.410909, -0.404361" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.119814, -0.0321992, -0.111585, -0.185359", \ + "0.27679, 0.124777, 0.0383691, -0.0363347", \ + "0.384194, 0.234362, 0.145712, 0.0636829", \ + "0.506464, 0.35247, 0.264011, 0.177092" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.146711, 0.120046, 0.140449, 0.192412", \ + "0.294261, 0.262285, 0.274386, 0.312788", \ + "0.397053, 0.360238, 0.369676, 0.405502", \ + "0.495671, 0.451434, 0.458933, 0.489955" \ + ); + } + } + internal_power () { + when : "(GATE_N * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00105034, 0.000850406, 0.000804479, 0.000859397, 0.0010755, 0.00162268, 0.00289555" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00476982, 0.00461523, 0.00460295, 0.00466094, 0.00492439, 0.00545417, 0.00669837" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00951117, 0.00918604, 0.00912988, 0.00917772, 0.00938394, 0.00994454, 0.0112359" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0100189, 0.0134183, 0.013821, 0.0139638, 0.0141811, 0.0147323, 0.0160283" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00951117, 0.00918604, 0.00912988, 0.00917772, 0.00938394, 0.00994454, 0.0112359" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0100189, 0.0134183, 0.013821, 0.0139638, 0.0141811, 0.0147323, 0.0160283" \ + ); + } + } + } + pin (GATE_N) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00201998; + rise_capacitance : 0.00239986; + rise_capacitance_range (0.00239986, 0.00239986); + fall_capacitance : 0.00183003; + fall_capacitance_range (0.00183003, 0.00183003); + timing () { + related_pin : "GATE_N"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.16571, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0106043, 0.0102863, 0.0101836, 0.010214, 0.0104161, 0.0110278, 0.0125605" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0101408, 0.00987297, 0.00982052, 0.00991223, 0.0101613, 0.0107649, 0.0123408" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.011822, 0.0122155, 0.0121878, 0.0122771, 0.0125094, 0.0131494, 0.0147845" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00732555, 0.00706292, 0.00700345, 0.00701865, 0.00727899, 0.00796515, 0.00956288" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0118703, 0.012266, 0.0122372, 0.0123134, 0.0125653, 0.0131866, 0.0148435" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00732555, 0.00706292, 0.00700345, 0.00701865, 0.00727899, 0.00796515, 0.00956288" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.011822, 0.0122155, 0.0121878, 0.0122771, 0.0125094, 0.0131494, 0.0147845" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00271682; + rise_capacitance : 0.00274345; + rise_capacitance_range (0.00274345, 0.00274345); + fall_capacitance : 0.00269019; + fall_capacitance_range (0.00269019, 0.00269019); + timing () { + related_pin : "GATE_N"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0562394, -0.206907, -0.301897, -0.393133", \ + "0.0396867, -0.109498, -0.205515, -0.300239", \ + "0.0987266, -0.0514812, -0.145712, -0.238587", \ + "0.16647, 0.0170917, -0.0749827, -0.168238" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0782461, 0.234361, 0.330187, 0.425513", \ + "-0.0172243, 0.134962, 0.231739, 0.327729", \ + "-0.0730088, 0.0803277, 0.172695, 0.269661", \ + "-0.139487, 0.0103983, 0.106057, 0.200705" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.290222, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "9.89573e-05, 9.3048e-05, 9.52579e-05, 9.3355e-05, 9.33793e-05, 9.67978e-05, 9.43305e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000209281, 0.000150335, 0.0001336, 0.00011894, 0.000105191, 9.60362e-05, 8.36074e-05" \ + ); + } + } + internal_power () { + when : "(!D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00115213, 0.00114774, 0.00114819, 0.00114561, 0.00114565, 0.00114962, 0.00114734" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00126298, 0.00120405, 0.00118755, 0.00117194, 0.00115842, 0.00114915, 0.00113784" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00115213, 0.00114774, 0.00114819, 0.00114561, 0.00114565, 0.00114962, 0.00114734" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00126298, 0.00120405, 0.00118755, 0.00117194, 0.00115842, 0.00114915, 0.00113784" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE_N'"; + } + } + cell (sg13g2_dlygate4sd1_1) { + area : 14.5152; + cell_footprint : "DLY1"; + cell_leakage_power : 914.863; + leakage_power () { + value : 1032.12; + when : "!A&!X"; + } + leakage_power () { + value : 797.607; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.180507, 0.284454, 0.352556, 0.464403, 0.651732, 0.963446, 1.48316", \ + "0.221855, 0.326182, 0.394151, 0.506222, 0.693871, 1.0053, 1.52602", \ + "0.252354, 0.356605, 0.424513, 0.536529, 0.72379, 1.03569, 1.55541", \ + "0.299398, 0.403712, 0.471736, 0.58369, 0.7709, 1.08269, 1.60231", \ + "0.363478, 0.467746, 0.535675, 0.647635, 0.834909, 1.1466, 1.66609", \ + "0.446353, 0.550609, 0.618734, 0.730681, 0.91829, 1.22983, 1.74932", \ + "0.549375, 0.653787, 0.721866, 0.833949, 1.02137, 1.33309, 1.8528" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0275328, 0.160741, 0.257333, 0.417309, 0.685225, 1.13176, 1.87715", \ + "0.0275575, 0.160742, 0.257535, 0.417615, 0.685767, 1.13195, 1.87716", \ + "0.0275968, 0.160822, 0.257536, 0.417616, 0.685768, 1.13228, 1.87717", \ + "0.0275978, 0.160823, 0.257537, 0.417617, 0.685769, 1.13318, 1.87718", \ + "0.0277866, 0.160824, 0.257538, 0.417618, 0.68577, 1.13319, 1.87719", \ + "0.028343, 0.160862, 0.257539, 0.417619, 0.685771, 1.1332, 1.8772", \ + "0.029095, 0.160988, 0.25754, 0.41762, 0.685772, 1.13321, 1.87721" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.210212, 0.300496, 0.358476, 0.45404, 0.613662, 0.879923, 1.32321", \ + "0.253517, 0.34375, 0.401754, 0.497513, 0.656988, 0.92301, 1.3663", \ + "0.291238, 0.381482, 0.439515, 0.535172, 0.694846, 0.960848, 1.40407", \ + "0.35092, 0.44109, 0.499077, 0.59456, 0.754237, 1.02036, 1.46354", \ + "0.436694, 0.526914, 0.584988, 0.680582, 0.840285, 1.10627, 1.54953", \ + "0.553113, 0.643563, 0.701548, 0.797145, 0.95693, 1.22308, 1.66611", \ + "0.717812, 0.808815, 0.866845, 0.962552, 1.12228, 1.38838, 1.83153" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0224758, 0.129981, 0.207002, 0.335069, 0.549664, 0.907274, 1.50337", \ + "0.0224828, 0.129982, 0.207011, 0.335495, 0.549682, 0.907351, 1.50345", \ + "0.0224838, 0.129983, 0.207012, 0.335496, 0.550221, 0.907352, 1.50346", \ + "0.0225938, 0.129984, 0.20708, 0.335497, 0.550222, 0.907353, 1.50418", \ + "0.0228641, 0.129985, 0.207081, 0.335498, 0.550223, 0.907354, 1.50419", \ + "0.023202, 0.130086, 0.207082, 0.335499, 0.550224, 0.907355, 1.5042", \ + "0.024177, 0.130118, 0.207083, 0.3355, 0.550225, 0.907356, 1.50421" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0100772, 0.0103745, 0.0103802, 0.0103457, 0.0102657, 0.0100806, 0.0101889", \ + "0.00985351, 0.0101763, 0.0101813, 0.0101618, 0.0100973, 0.00990372, 0.0100066", \ + "0.00976988, 0.0100993, 0.0100826, 0.0102261, 0.00996928, 0.00980685, 0.00984157", \ + "0.00972771, 0.0100283, 0.0100489, 0.0101231, 0.0100153, 0.00980321, 0.00982514", \ + "0.00978647, 0.0101197, 0.0101526, 0.0100808, 0.0100533, 0.00991088, 0.010053", \ + "0.0100395, 0.0103464, 0.0103587, 0.0103881, 0.0104048, 0.0101078, 0.0104416", \ + "0.0107454, 0.0110251, 0.0110665, 0.0110831, 0.0111061, 0.0111079, 0.0108371" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00956276, 0.00990689, 0.0099005, 0.009874, 0.00979792, 0.00966234, 0.00939344", \ + "0.00939934, 0.00974612, 0.00974092, 0.00973338, 0.00964582, 0.00950999, 0.00926608", \ + "0.00934332, 0.00969631, 0.00977983, 0.00967131, 0.00963687, 0.00946729, 0.00921363", \ + "0.00934058, 0.00967126, 0.00966989, 0.009708, 0.00958798, 0.00943002, 0.0092044", \ + "0.00942188, 0.00976636, 0.0097901, 0.00976989, 0.00967752, 0.00995111, 0.00929826", \ + "0.00964587, 0.0100026, 0.0100126, 0.0100057, 0.0100755, 0.00974334, 0.00988845", \ + "0.0103505, 0.0106751, 0.01068, 0.0107606, 0.0107246, 0.0107884, 0.0105869" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00138488; + rise_capacitance : 0.00136992; + rise_capacitance_range (0.00136992, 0.00136992); + fall_capacitance : 0.00139984; + fall_capacitance_range (0.00139984, 0.00139984); + } + } + cell (sg13g2_dlygate4sd2_1) { + area : 14.5152; + cell_footprint : "DLY2"; + cell_leakage_power : 957.876; + leakage_power () { + value : 1075.13; + when : "!A&!X"; + } + leakage_power () { + value : 840.622; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.257427, 0.363798, 0.431829, 0.544071, 0.73136, 1.04318, 1.56274", \ + "0.300733, 0.406948, 0.475101, 0.58733, 0.774462, 1.08647, 1.60641", \ + "0.33423, 0.440753, 0.508792, 0.621035, 0.808274, 1.12012, 1.64002", \ + "0.385083, 0.49151, 0.559733, 0.671645, 0.859013, 1.1709, 1.69166", \ + "0.460375, 0.566799, 0.634938, 0.746937, 0.934348, 1.24605, 1.76577", \ + "0.560923, 0.667328, 0.735444, 0.847658, 1.03486, 1.34678, 1.86636", \ + "0.690063, 0.796817, 0.864885, 0.977092, 1.1647, 1.4764, 1.99595" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0300389, 0.162088, 0.258333, 0.418145, 0.6861, 1.13271, 1.87797", \ + "0.0300399, 0.162089, 0.258334, 0.418146, 0.686101, 1.13299, 1.87798", \ + "0.0300409, 0.16209, 0.258351, 0.418266, 0.686512, 1.133, 1.87799", \ + "0.0300419, 0.162091, 0.258352, 0.418267, 0.686513, 1.13301, 1.8785", \ + "0.0302515, 0.162135, 0.258353, 0.418268, 0.686514, 1.13302, 1.87851", \ + "0.030653, 0.162179, 0.258354, 0.418279, 0.686515, 1.13303, 1.87852", \ + "0.031584, 0.162475, 0.258408, 0.41828, 0.686516, 1.13304, 1.87853" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.293008, 0.392915, 0.451749, 0.547846, 0.707497, 0.973803, 1.41731", \ + "0.33635, 0.436635, 0.495323, 0.591301, 0.751321, 1.01739, 1.46085", \ + "0.377161, 0.477223, 0.535939, 0.631944, 0.791721, 1.05846, 1.50204", \ + "0.443252, 0.543411, 0.602078, 0.698027, 0.857913, 1.12403, 1.56736", \ + "0.54333, 0.643554, 0.702287, 0.798185, 0.958163, 1.22429, 1.66765", \ + "0.678168, 0.778419, 0.837245, 0.933166, 1.09303, 1.35933, 1.80261", \ + "0.870481, 0.971188, 1.03008, 1.12593, 1.28597, 1.55222, 1.99545" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.03125, 0.13695, 0.212618, 0.339373, 0.553629, 0.911181, 1.50844", \ + "0.031251, 0.136951, 0.212619, 0.33949, 0.553732, 0.911182, 1.50845", \ + "0.0312692, 0.136985, 0.21262, 0.339491, 0.554121, 0.912322, 1.50846", \ + "0.0313377, 0.137004, 0.212621, 0.339492, 0.554122, 0.912323, 1.50847", \ + "0.0313387, 0.137005, 0.212622, 0.339493, 0.554123, 0.912324, 1.50848", \ + "0.031769, 0.137162, 0.212623, 0.339591, 0.554124, 0.912325, 1.50849", \ + "0.032363, 0.137455, 0.212694, 0.339592, 0.554125, 0.912326, 1.5085" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0117161, 0.0120315, 0.01204, 0.0120226, 0.0119412, 0.0119042, 0.0118614", \ + "0.0115264, 0.0118483, 0.0118611, 0.0118435, 0.0117407, 0.0117497, 0.011653", \ + "0.0114522, 0.0118136, 0.0118367, 0.0117866, 0.0117121, 0.0115315, 0.011601", \ + "0.0113717, 0.011669, 0.0116997, 0.0118022, 0.0115723, 0.0114148, 0.0115789", \ + "0.0114287, 0.0117538, 0.0117905, 0.0116918, 0.011734, 0.0118253, 0.0117646", \ + "0.0116467, 0.0119493, 0.011982, 0.01201, 0.0119644, 0.011695, 0.0119326", \ + "0.0123137, 0.0126104, 0.0126087, 0.0126718, 0.0126949, 0.0126855, 0.0123272" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0113131, 0.0116853, 0.0117042, 0.0116869, 0.0116093, 0.0114785, 0.0112361", \ + "0.0111591, 0.0115095, 0.0115239, 0.0115143, 0.0114276, 0.011309, 0.0111035", \ + "0.0110477, 0.0114337, 0.0114403, 0.0114202, 0.0113674, 0.0112805, 0.0110247", \ + "0.0109903, 0.0113393, 0.0113453, 0.0114229, 0.0112879, 0.0111416, 0.0108746", \ + "0.0110959, 0.0114752, 0.0115145, 0.0114376, 0.0114165, 0.0113626, 0.011415", \ + "0.0113177, 0.0116467, 0.0117298, 0.0117598, 0.0117026, 0.011474, 0.0116963", \ + "0.0119971, 0.0123099, 0.0123472, 0.0124015, 0.0124252, 0.0124689, 0.0122783" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00139303; + rise_capacitance : 0.00137741; + rise_capacitance_range (0.00137741, 0.00137741); + fall_capacitance : 0.00140865; + fall_capacitance_range (0.00140865, 0.00140865); + } + } + cell (sg13g2_dlygate4sd3_1) { + area : 16.3296; + cell_footprint : "DLY4"; + cell_leakage_power : 1811.33; + leakage_power () { + value : 1928.58; + when : "!A&!X"; + } + leakage_power () { + value : 1694.07; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.537935, 0.662968, 0.733585, 0.847241, 1.03517, 1.34737, 1.86717", \ + "0.583493, 0.708054, 0.778418, 0.891857, 1.07972, 1.39193, 1.91119", \ + "0.622359, 0.747433, 0.818236, 0.931581, 1.11987, 1.4318, 1.95161", \ + "0.685182, 0.810335, 0.880921, 0.994447, 1.1825, 1.49446, 2.01575", \ + "0.778679, 0.903872, 0.974459, 1.08787, 1.27569, 1.58782, 2.10735", \ + "0.915824, 1.04104, 1.11155, 1.225, 1.4131, 1.72505, 2.24475", \ + "1.10643, 1.2319, 1.3025, 1.41595, 1.60391, 1.9162, 2.43583" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0457344, 0.177459, 0.270535, 0.427392, 0.693618, 1.13948, 1.88401", \ + "0.045867, 0.17789, 0.270813, 0.427725, 0.694082, 1.13978, 1.88402", \ + "0.045868, 0.177891, 0.270814, 0.427726, 0.694083, 1.13979, 1.88403", \ + "0.045869, 0.177892, 0.270815, 0.427727, 0.696061, 1.14029, 1.88514", \ + "0.04587, 0.177893, 0.270816, 0.427728, 0.696062, 1.1403, 1.88515", \ + "0.045934, 0.177894, 0.270817, 0.427809, 0.696063, 1.14031, 1.88516", \ + "0.046338, 0.177903, 0.27105, 0.428001, 0.696064, 1.14032, 1.88517" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.569203, 0.694746, 0.75845, 0.858288, 1.01994, 1.28647, 1.72994", \ + "0.612906, 0.738087, 0.80234, 0.901868, 1.06336, 1.32993, 1.77383", \ + "0.656957, 0.782089, 0.846028, 0.945679, 1.10741, 1.37392, 1.81739", \ + "0.733376, 0.858528, 0.922453, 1.02234, 1.18363, 1.45034, 1.89397", \ + "0.854511, 0.979763, 1.04398, 1.14348, 1.30514, 1.57169, 2.01545", \ + "1.02969, 1.15508, 1.21916, 1.31859, 1.48042, 1.7469, 2.19059", \ + "1.27917, 1.40475, 1.46895, 1.56857, 1.73012, 1.99696, 2.44043" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0497229, 0.161354, 0.233918, 0.356104, 0.565924, 0.919993, 1.51509", \ + "0.050011, 0.161701, 0.233993, 0.356266, 0.565925, 0.920124, 1.5151", \ + "0.050012, 0.161702, 0.233994, 0.356382, 0.565926, 0.920146, 1.51511", \ + "0.050013, 0.161703, 0.233995, 0.356383, 0.566745, 0.920489, 1.51581", \ + "0.050014, 0.161704, 0.233996, 0.356384, 0.566746, 0.92049, 1.51832", \ + "0.050246, 0.161705, 0.233997, 0.356385, 0.566747, 0.920491, 1.51833", \ + "0.050745, 0.161892, 0.234611, 0.356475, 0.566748, 0.920492, 1.51834" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0164184, 0.0166638, 0.0167188, 0.0166838, 0.0166428, 0.0164891, 0.0165728", \ + "0.0161857, 0.0163907, 0.0164276, 0.0164364, 0.0163735, 0.0163546, 0.0162311", \ + "0.0161145, 0.0163448, 0.0164177, 0.0163963, 0.0163691, 0.0163643, 0.0162332", \ + "0.015977, 0.0162466, 0.0163687, 0.0163079, 0.0163459, 0.016087, 0.0162542", \ + "0.0159361, 0.0161867, 0.0162223, 0.0162057, 0.0162694, 0.0160457, 0.0161039", \ + "0.0161026, 0.0163708, 0.0163995, 0.0164772, 0.016403, 0.0162377, 0.0168021", \ + "0.0166307, 0.0168622, 0.0169443, 0.0169263, 0.0169971, 0.0169807, 0.0165825" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0161238, 0.0164675, 0.0164956, 0.0165105, 0.0164602, 0.0163484, 0.0160498", \ + "0.0159802, 0.0162964, 0.0163171, 0.016329, 0.0162918, 0.016133, 0.0158809", \ + "0.015896, 0.0161684, 0.0162085, 0.0162318, 0.016141, 0.0160106, 0.0157481", \ + "0.0157249, 0.0160586, 0.0161281, 0.016091, 0.0160917, 0.015912, 0.015655", \ + "0.0156673, 0.0159923, 0.0160348, 0.0160361, 0.0161181, 0.0159638, 0.0157755", \ + "0.0158298, 0.0161307, 0.0161985, 0.0162316, 0.016167, 0.0159464, 0.0161136", \ + "0.0164516, 0.0167476, 0.0167596, 0.0168339, 0.0167944, 0.0168833, 0.0166171" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00141749; + rise_capacitance : 0.00140082; + rise_capacitance_range (0.00140082, 0.00140082); + fall_capacitance : 0.00143415; + fall_capacitance_range (0.00143415, 0.00143415); + } + } + cell (sg13g2_ebufn_2) { + area : 18.144; + cell_footprint : "BTL"; + cell_leakage_power : 931.975; + leakage_power () { + value : 932.336; + when : "A&TE_B"; + } + leakage_power () { + value : 765.926; + when : "!A&TE_B"; + } + leakage_power () { + value : 830.009; + when : "A&!TE_B"; + } + leakage_power () { + value : 1199.63; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 0.6; + capacitance : 0.00560564; + rise_capacitance : 0.00381388; + rise_capacitance_range (0.00381388, 0.00381388); + fall_capacitance : 0.00739739; + fall_capacitance_range (0.00739739, 0.00739739); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00481388, 0.0506139, 0.0818139, 0.133414, 0.219814, 0.363814, 0.603814"); + values ( \ + "0.0948301, 0.309723, 0.449172, 0.680869, 1.07036, 1.72044, 2.80497", \ + "0.138905, 0.355561, 0.495882, 0.727989, 1.11645, 1.76629, 2.84996", \ + "0.171992, 0.389508, 0.53006, 0.762752, 1.1513, 1.80061, 2.88507", \ + "0.222305, 0.439846, 0.581117, 0.813933, 1.20349, 1.85219, 2.9372", \ + "0.291557, 0.513451, 0.65457, 0.888555, 1.27832, 1.92857, 3.01175", \ + "0.384564, 0.616898, 0.759591, 0.991805, 1.38101, 2.03325, 3.11503", \ + "0.511526, 0.768236, 0.904465, 1.13892, 1.5276, 2.17811, 3.26255" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00481388, 0.0506139, 0.0818139, 0.133414, 0.219814, 0.363814, 0.603814"); + values ( \ + "0.0326139, 0.316613, 0.511162, 0.832897, 1.37158, 2.26939, 3.76571", \ + "0.0344845, 0.317274, 0.511295, 0.833406, 1.37182, 2.2694, 3.76572", \ + "0.0380883, 0.317275, 0.511296, 0.833671, 1.37183, 2.26977, 3.76577", \ + "0.0455925, 0.317459, 0.51142, 0.833672, 1.3779, 2.26978, 3.76706", \ + "0.0605538, 0.31913, 0.512592, 0.833673, 1.37791, 2.26979, 3.77038", \ + "0.0832, 0.323675, 0.515045, 0.83541, 1.37792, 2.2698, 3.77039", \ + "0.116486, 0.338388, 0.522521, 0.839284, 1.37793, 2.27112, 3.7704" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00839739, 0.0541974, 0.0853974, 0.136997, 0.223397, 0.367397, 0.607397"); + values ( \ + "0.0984658, 0.268389, 0.375465, 0.551803, 0.846896, 1.33801, 2.1566", \ + "0.139377, 0.309366, 0.416557, 0.593167, 0.888265, 1.37921, 2.19797", \ + "0.172642, 0.343114, 0.450368, 0.62693, 0.92206, 1.41369, 2.23249", \ + "0.222768, 0.395018, 0.50233, 0.679119, 0.974033, 1.4655, 2.28406", \ + "0.292149, 0.466992, 0.573664, 0.750195, 1.04454, 1.53556, 2.35443", \ + "0.377383, 0.563114, 0.668697, 0.842943, 1.13719, 1.62836, 2.44656", \ + "0.48226, 0.69325, 0.795085, 0.968901, 1.26017, 1.74619, 2.56186" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00839739, 0.0541974, 0.0853974, 0.136997, 0.223397, 0.367397, 0.607397"); + values ( \ + "0.029612, 0.232607, 0.373691, 0.606551, 0.997229, 1.6481, 2.73288", \ + "0.030808, 0.232608, 0.373692, 0.6068, 0.997263, 1.6488, 2.73446", \ + "0.0342666, 0.232961, 0.373693, 0.607075, 0.997276, 1.64924, 2.73447", \ + "0.0416619, 0.234082, 0.374015, 0.607338, 1.00158, 1.64926, 2.73448", \ + "0.0542299, 0.237371, 0.376211, 0.608119, 1.00159, 1.64927, 2.73449", \ + "0.07641, 0.244241, 0.380392, 0.610862, 1.0016, 1.64928, 2.7345", \ + "0.111309, 0.265185, 0.391371, 0.616191, 1.00377, 1.65212, 2.73451" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00481388, 0.0506139, 0.0818139, 0.133414, 0.219814, 0.363814, 0.603814"); + values ( \ + "0.0680109, 0.0680111, 0.0680121, 0.0680131, 0.0680141, 0.0680151, 0.0680161", \ + "0.104787, 0.104788, 0.104789, 0.10479, 0.104791, 0.104792, 0.104793", \ + "0.127756, 0.127757, 0.127758, 0.127759, 0.12776, 0.127761, 0.127762", \ + "0.156731, 0.156732, 0.156733, 0.156734, 0.156735, 0.156736, 0.156737", \ + "0.198898, 0.198899, 0.1989, 0.198901, 0.198902, 0.198903, 0.198904", \ + "0.256918, 0.256919, 0.25692, 0.256921, 0.256922, 0.256923, 0.256924", \ + "0.332821, 0.332822, 0.332823, 0.332824, 0.332825, 0.332826, 0.332827" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00481388, 0.0506139, 0.0818139, 0.133414, 0.219814, 0.363814, 0.603814"); + values ( \ + "0.0680109, 0.0680111, 0.0680121, 0.0680131, 0.0680141, 0.0680151, 0.0680161", \ + "0.104787, 0.104788, 0.104789, 0.10479, 0.104791, 0.104792, 0.104793", \ + "0.127756, 0.127757, 0.127758, 0.127759, 0.12776, 0.127761, 0.127762", \ + "0.156731, 0.156732, 0.156733, 0.156734, 0.156735, 0.156736, 0.156737", \ + "0.198898, 0.198899, 0.1989, 0.198901, 0.198902, 0.198903, 0.198904", \ + "0.256918, 0.256919, 0.25692, 0.256921, 0.256922, 0.256923, 0.256924", \ + "0.332821, 0.332822, 0.332823, 0.332824, 0.332825, 0.332826, 0.332827" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00839739, 0.0541974, 0.0853974, 0.136997, 0.223397, 0.367397, 0.607397"); + values ( \ + "0.0404323, 0.0404333, 0.0404343, 0.0404349, 0.0404383, 0.0404393, 0.0404403", \ + "0.0404333, 0.0404343, 0.0404353, 0.0404359, 0.0404393, 0.0404403, 0.0404413", \ + "0.0404343, 0.0404353, 0.0404363, 0.0404369, 0.0404403, 0.0404413, 0.0404423", \ + "0.0404353, 0.0404363, 0.0404373, 0.0404379, 0.0404413, 0.0404423, 0.0404433", \ + "0.0404363, 0.0404373, 0.0404383, 0.0404389, 0.0404423, 0.0404433, 0.0404443", \ + "0.0404373, 0.0404383, 0.0404393, 0.0404399, 0.0404433, 0.0404443, 0.0404453", \ + "0.0404383, 0.0404393, 0.0404403, 0.0404409, 0.0404443, 0.0404453, 0.0404463" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00839739, 0.0541974, 0.0853974, 0.136997, 0.223397, 0.367397, 0.607397"); + values ( \ + "0.0404323, 0.0404333, 0.0404343, 0.0404349, 0.0404383, 0.0404393, 0.0404403", \ + "0.0404333, 0.0404343, 0.0404353, 0.0404359, 0.0404393, 0.0404403, 0.0404413", \ + "0.0404343, 0.0404353, 0.0404363, 0.0404369, 0.0404403, 0.0404413, 0.0404423", \ + "0.0404353, 0.0404363, 0.0404373, 0.0404379, 0.0404413, 0.0404423, 0.0404433", \ + "0.0404363, 0.0404373, 0.0404383, 0.0404389, 0.0404423, 0.0404433, 0.0404443", \ + "0.0404373, 0.0404383, 0.0404393, 0.0404399, 0.0404433, 0.0404443, 0.0404453", \ + "0.0404383, 0.0404393, 0.0404403, 0.0404409, 0.0404443, 0.0404453, 0.0404463" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00481388, 0.0506139, 0.0818139, 0.133414, 0.219814, 0.363814, 0.603814"); + values ( \ + "0.0512184, 0.258295, 0.399726, 0.633442, 1.02402, 1.6756, 2.76253", \ + "0.0823886, 0.294538, 0.435853, 0.669985, 1.06044, 1.71319, 2.79808", \ + "0.102224, 0.328015, 0.469651, 0.70348, 1.09449, 1.74693, 2.833", \ + "0.129024, 0.389989, 0.534926, 0.769019, 1.16029, 1.81187, 2.89822", \ + "0.153873, 0.490705, 0.650538, 0.894433, 1.28815, 1.94022, 3.02658", \ + "0.153874, 0.631753, 0.828957, 1.10674, 1.52635, 2.18822, 3.27533", \ + "0.153875, 0.811038, 1.07671, 1.43407, 1.92762, 2.65388, 3.77287" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00481388, 0.0506139, 0.0818139, 0.133414, 0.219814, 0.363814, 0.603814"); + values ( \ + "0.0323603, 0.316584, 0.511331, 0.832916, 1.37186, 2.26992, 3.76655", \ + "0.0366149, 0.316659, 0.511826, 0.83413, 1.37215, 2.27005, 3.76686", \ + "0.0437968, 0.319782, 0.512059, 0.834131, 1.37216, 2.27107, 3.76687", \ + "0.0593694, 0.334764, 0.520129, 0.835179, 1.37217, 2.27108, 3.76695", \ + "0.0920243, 0.380634, 0.558322, 0.858605, 1.37999, 2.27116, 3.76696", \ + "0.153394, 0.480622, 0.658566, 0.947468, 1.43972, 2.29683, 3.77587", \ + "0.269807, 0.671483, 0.862287, 1.15769, 1.63755, 2.44616, 3.8529" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00839739, 0.0541974, 0.0853974, 0.136997, 0.223397, 0.367397, 0.607397"); + values ( \ + "0.0996752, 0.396362, 0.601063, 0.941903, 1.5147, 2.46966, 4.06219", \ + "0.136853, 0.426486, 0.628649, 0.966282, 1.53778, 2.49557, 4.09228", \ + "0.164349, 0.450876, 0.650567, 0.986758, 1.55584, 2.51163, 4.10871", \ + "0.205615, 0.489008, 0.685564, 1.01784, 1.58313, 2.53424, 4.12641", \ + "0.260702, 0.542214, 0.734657, 1.06105, 1.61952, 2.56358, 4.15088", \ + "0.326343, 0.611576, 0.79768, 1.11694, 1.66391, 2.59472, 4.16925", \ + "0.40536, 0.704484, 0.886903, 1.19589, 1.72657, 2.63647, 4.18693" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00839739, 0.0541974, 0.0853974, 0.136997, 0.223397, 0.367397, 0.607397"); + values ( \ + "0.026337, 0.232455, 0.373478, 0.606708, 0.997254, 1.6481, 2.73288", \ + "0.026433, 0.232456, 0.373488, 0.60671, 0.997255, 1.64811, 2.73468", \ + "0.0268602, 0.232586, 0.374227, 0.606711, 0.997256, 1.64812, 2.73469", \ + "0.0279472, 0.232735, 0.374228, 0.606712, 0.997257, 1.64813, 2.7347", \ + "0.0308742, 0.232838, 0.374229, 0.606713, 0.997857, 1.65038, 2.73471", \ + "0.037262, 0.233196, 0.37423, 0.607129, 0.997858, 1.65039, 2.73472", \ + "0.049441, 0.234588, 0.374459, 0.607557, 0.998475, 1.6504, 2.73473" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00481388, 0.0506139, 0.0818139, 0.133414, 0.219814, 0.363814, 0.603814"); + values ( \ + "0.00364735, 0.00406715, 0.00375675, 0.00339551, 0.00296761, 0.00279445, 0.00255489", \ + "0.00369795, 0.00442883, 0.00420463, 0.00387683, 0.00337838, 0.00307571, 0.00277729", \ + "0.00370609, 0.00442478, 0.00431928, 0.00404138, 0.00356041, 0.00319883, 0.00287862", \ + "0.00361095, 0.00422854, 0.00437504, 0.00409944, 0.00398234, 0.00329576, 0.00295315", \ + "0.00365781, 0.00408091, 0.00407881, 0.00419121, 0.00383881, 0.00349001, 0.00331441", \ + "0.00377161, 0.00382351, 0.00402721, 0.00401731, 0.00386291, 0.00428261, 0.00380801", \ + "0.00419513, 0.00369573, 0.00378073, 0.00397413, 0.00403313, 0.00356933, 0.00446023" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00839739, 0.0541974, 0.0853974, 0.136997, 0.223397, 0.367397, 0.607397"); + values ( \ + "0.0060448, 0.00710447, 0.00711938, 0.00703935, 0.00689652, 0.006589, 0.00615628", \ + "0.00589765, 0.00699046, 0.00700136, 0.00697176, 0.0068479, 0.0065406, 0.00621023", \ + "0.00585967, 0.00689079, 0.00700863, 0.00688992, 0.0067673, 0.00653607, 0.00613137", \ + "0.00591298, 0.006657, 0.00683746, 0.00687818, 0.00696376, 0.0064502, 0.00605457", \ + "0.00578808, 0.00638127, 0.00640617, 0.00646846, 0.00647343, 0.0060789, 0.0056789", \ + "0.006242, 0.00618749, 0.00630852, 0.00632792, 0.00604367, 0.00636992, 0.00630002", \ + "0.00658845, 0.00613035, 0.00619425, 0.00625725, 0.00626325, 0.00568575, 0.00504065" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00481388, 0.0506139, 0.0818139, 0.133414, 0.219814, 0.363814, 0.603814"); + values ( \ + "0.0020428, 0.0019208, 0.001872, 0.0017576, 0.0015706, 0.0016045, 0.0015684", \ + "0.00205031, 0.00195641, 0.00193001, 0.00183531, 0.00159271, 0.00159561, 0.00147571", \ + "0.00205049, 0.00193789, 0.00192749, 0.00177109, 0.00156169, 0.00160649, 0.00167509", \ + "0.0020533, 0.0019825, 0.0019783, 0.0019219, 0.0015591, 0.0015997, 0.0016602", \ + "0.0020549, 0.0020223, 0.0019464, 0.0021141, 0.001817, 0.0016418, 0.0016968", \ + "0.0020643, 0.0020552, 0.0020299, 0.0018903, 0.0019501, 0.0016276, 0.0016667", \ + "0.0020643, 0.0020785, 0.0020641, 0.0020701, 0.0018428, 0.0015353, 0.002445" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00839739, 0.0541974, 0.0853974, 0.136997, 0.223397, 0.367397, 0.607397"); + values ( \ + "0.002511, 0.0120893, 0.0202437, 0.034284, 0.0583198, 0.0985475, 0.165948", \ + "0.00233701, 0.0106082, 0.0182569, 0.0317057, 0.0553826, 0.0957135, 0.163429", \ + "0.00230039, 0.00981329, 0.0170078, 0.0300667, 0.0532868, 0.0932799, 0.160882", \ + "0.0022292, 0.0087133, 0.0155135, 0.0276785, 0.0501781, 0.0893981, 0.15634", \ + "0.002129, 0.0075394, 0.0132212, 0.0245806, 0.0457076, 0.0836559, 0.14942", \ + "0.0020341, 0.0061306, 0.0109265, 0.0206267, 0.0394281, 0.0750566, 0.138383", \ + "0.0019082, 0.0044668, 0.0083376, 0.0161802, 0.0322742, 0.0632259, 0.122973" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00244747; + rise_capacitance : 0.00249246; + rise_capacitance_range (0.00249246, 0.00249246); + fall_capacitance : 0.00240247; + fall_capacitance_range (0.00240247, 0.00240247); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00647405, 0.00624197, 0.00620162, 0.00629706, 0.00656559, 0.00727239, 0.00885487" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00282928, 0.00266269, 0.00265897, 0.00274608, 0.0031014, 0.00375158, 0.00528235" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00601162; + rise_capacitance : 0.00620691; + rise_capacitance_range (0.00620691, 0.00620691); + fall_capacitance : 0.00581632; + fall_capacitance_range (0.00581632, 0.00581632); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000558502, 0.000135994, 3.91903e-05, 6.91058e-05, 0.00028251, 0.000926618, 0.00248106" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0101465, 0.00996149, 0.00996711, 0.0100479, 0.010293, 0.011029, 0.0126309" \ + ); + } + } + } + } + cell (sg13g2_ebufn_4) { + area : 25.4016; + cell_footprint : "BTL"; + cell_leakage_power : 1399.01; + leakage_power () { + value : 1111.27; + when : "A&TE_B"; + } + leakage_power () { + value : 1066.77; + when : "!A&TE_B"; + } + leakage_power () { + value : 1195.15; + when : "A&!TE_B"; + } + leakage_power () { + value : 2222.85; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 1.2; + capacitance : 0.0109923; + rise_capacitance : 0.00755538; + rise_capacitance_range (0.00755538, 0.00755538); + fall_capacitance : 0.0144291; + fall_capacitance_range (0.0144291, 0.0144291); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00855538, 0.101155, 0.163555, 0.266755, 0.439555, 0.727555, 1.20756"); + values ( \ + "0.112329, 0.335023, 0.47576, 0.709065, 1.10078, 1.75432, 2.84468", \ + "0.161156, 0.385202, 0.526704, 0.760017, 1.15096, 1.80459, 2.89395", \ + "0.199726, 0.424529, 0.566599, 0.800209, 1.19231, 1.84455, 2.93472", \ + "0.25921, 0.485745, 0.627728, 0.863032, 1.25379, 1.90661, 2.99655", \ + "0.344874, 0.574238, 0.715757, 0.95036, 1.342, 1.99471, 3.08483", \ + "0.461464, 0.705852, 0.847786, 1.08169, 1.47039, 2.12406, 3.21327", \ + "0.621934, 0.893948, 1.02794, 1.25833, 1.65049, 2.3024, 3.39054" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00855538, 0.101155, 0.163555, 0.266755, 0.439555, 0.727555, 1.20756"); + values ( \ + "0.0366608, 0.32144, 0.517068, 0.840646, 1.38242, 2.28536, 3.79025", \ + "0.038508, 0.322144, 0.517069, 0.840647, 1.38243, 2.28537, 3.79026", \ + "0.0426849, 0.322145, 0.51707, 0.841862, 1.38336, 2.28579, 3.79027", \ + "0.0516185, 0.322379, 0.517255, 0.841863, 1.38408, 2.2858, 3.79036", \ + "0.068153, 0.324836, 0.518981, 0.841864, 1.38409, 2.28581, 3.79385", \ + "0.096713, 0.332099, 0.522287, 0.843686, 1.3841, 2.28582, 3.79386", \ + "0.140398, 0.35404, 0.53344, 0.849068, 1.38744, 2.28746, 3.79387" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154291, 0.108029, 0.170429, 0.273629, 0.446429, 0.734429, 1.21443"); + values ( \ + "0.128152, 0.308173, 0.415944, 0.592838, 0.888881, 1.38121, 2.201", \ + "0.170823, 0.350876, 0.458673, 0.63572, 0.93122, 1.42413, 2.24418", \ + "0.209494, 0.389972, 0.497754, 0.674815, 0.970464, 1.46296, 2.28332", \ + "0.27114, 0.453383, 0.560985, 0.737835, 1.03388, 1.52621, 2.34681", \ + "0.358733, 0.546836, 0.654318, 0.831059, 1.12681, 1.61899, 2.43969", \ + "0.473917, 0.674677, 0.779873, 0.952172, 1.24838, 1.7389, 2.55945", \ + "0.625796, 0.848348, 0.954786, 1.12635, 1.42065, 1.90651, 2.72055" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154291, 0.108029, 0.170429, 0.273629, 0.446429, 0.734429, 1.21443"); + values ( \ + "0.038201, 0.239571, 0.380175, 0.614037, 1.0058, 1.65846, 2.74457", \ + "0.0386915, 0.23983, 0.380176, 0.614205, 1.00581, 1.65847, 2.74629", \ + "0.0420726, 0.239904, 0.380551, 0.61531, 1.00582, 1.65848, 2.7463", \ + "0.050467, 0.241172, 0.381083, 0.615311, 1.0089, 1.65875, 2.74631", \ + "0.066742, 0.24615, 0.383221, 0.615312, 1.00891, 1.65876, 2.74632", \ + "0.091773, 0.259317, 0.390664, 0.619447, 1.00892, 1.65877, 2.74633", \ + "0.135324, 0.284204, 0.40653, 0.628136, 1.0134, 1.66242, 2.74634" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00855538, 0.101155, 0.163555, 0.266755, 0.439555, 0.727555, 1.20756"); + values ( \ + "0.0777233, 0.0777918, 0.0777928, 0.0777938, 0.0777948, 0.0777958, 0.0777968", \ + "0.117324, 0.117325, 0.117326, 0.117327, 0.117328, 0.117329, 0.11733", \ + "0.142324, 0.142326, 0.142327, 0.14233, 0.142331, 0.142332, 0.142333", \ + "0.181701, 0.181702, 0.181703, 0.181704, 0.181705, 0.181706, 0.181707", \ + "0.235388, 0.235389, 0.23539, 0.235391, 0.235392, 0.235393, 0.235394", \ + "0.303151, 0.303152, 0.303153, 0.303154, 0.303155, 0.303156, 0.303157", \ + "0.399443, 0.399444, 0.399445, 0.399446, 0.399447, 0.399448, 0.399449" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00855538, 0.101155, 0.163555, 0.266755, 0.439555, 0.727555, 1.20756"); + values ( \ + "0.0777233, 0.0777918, 0.0777928, 0.0777938, 0.0777948, 0.0777958, 0.0777968", \ + "0.117324, 0.117325, 0.117326, 0.117327, 0.117328, 0.117329, 0.11733", \ + "0.142324, 0.142326, 0.142327, 0.14233, 0.142331, 0.142332, 0.142333", \ + "0.181701, 0.181702, 0.181703, 0.181704, 0.181705, 0.181706, 0.181707", \ + "0.235388, 0.235389, 0.23539, 0.235391, 0.235392, 0.235393, 0.235394", \ + "0.303151, 0.303152, 0.303153, 0.303154, 0.303155, 0.303156, 0.303157", \ + "0.399443, 0.399444, 0.399445, 0.399446, 0.399447, 0.399448, 0.399449" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154291, 0.108029, 0.170429, 0.273629, 0.446429, 0.734429, 1.21443"); + values ( \ + "0.0414377, 0.0414387, 0.0414397, 0.0414407, 0.0414417, 0.0414427, 0.0414437", \ + "0.0414387, 0.0414397, 0.0414407, 0.0414417, 0.0414427, 0.0414437, 0.0414447", \ + "0.0414397, 0.0414407, 0.0414417, 0.0414427, 0.0414437, 0.0414447, 0.0414457", \ + "0.0414407, 0.0414417, 0.0414427, 0.0414437, 0.0414447, 0.0414457, 0.0414467", \ + "0.0414417, 0.0414427, 0.0414437, 0.0414447, 0.0414457, 0.0414467, 0.0414477", \ + "0.0414427, 0.0414437, 0.0414447, 0.0414457, 0.0414467, 0.0414477, 0.0414487", \ + "0.0414437, 0.0414447, 0.0414457, 0.0414467, 0.0414477, 0.0414487, 0.0414497" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154291, 0.108029, 0.170429, 0.273629, 0.446429, 0.734429, 1.21443"); + values ( \ + "0.0414377, 0.0414387, 0.0414397, 0.0414407, 0.0414417, 0.0414427, 0.0414437", \ + "0.0414387, 0.0414397, 0.0414407, 0.0414417, 0.0414427, 0.0414437, 0.0414447", \ + "0.0414397, 0.0414407, 0.0414417, 0.0414427, 0.0414437, 0.0414447, 0.0414457", \ + "0.0414407, 0.0414417, 0.0414427, 0.0414437, 0.0414447, 0.0414457, 0.0414467", \ + "0.0414417, 0.0414427, 0.0414437, 0.0414447, 0.0414457, 0.0414467, 0.0414477", \ + "0.0414427, 0.0414437, 0.0414447, 0.0414457, 0.0414467, 0.0414477, 0.0414487", \ + "0.0414437, 0.0414447, 0.0414457, 0.0414467, 0.0414477, 0.0414487, 0.0414497" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00855538, 0.101155, 0.163555, 0.266755, 0.439555, 0.727555, 1.20756"); + values ( \ + "0.0512926, 0.263449, 0.405723, 0.64074, 1.03402, 1.68924, 2.78132", \ + "0.080352, 0.297467, 0.43993, 0.675388, 1.06818, 1.72356, 2.81578", \ + "0.0986397, 0.330023, 0.472754, 0.708154, 1.1015, 1.75755, 2.84927", \ + "0.123223, 0.391555, 0.537649, 0.773485, 1.16713, 1.82282, 2.91515", \ + "0.144311, 0.492104, 0.653104, 0.898676, 1.29493, 1.95079, 3.0469", \ + "0.144312, 0.632801, 0.831091, 1.11047, 1.53267, 2.19843, 3.29185", \ + "0.144313, 0.811398, 1.07847, 1.43713, 1.93375, 2.66207, 3.78798" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00855538, 0.101155, 0.163555, 0.266755, 0.439555, 0.727555, 1.20756"); + values ( \ + "0.0359537, 0.32097, 0.517182, 0.840988, 1.38286, 2.28448, 3.79057", \ + "0.037281, 0.321671, 0.517183, 0.841389, 1.38303, 2.28584, 3.79128", \ + "0.0424799, 0.323878, 0.517959, 0.84139, 1.38304, 2.28683, 3.79231", \ + "0.0557837, 0.339036, 0.52603, 0.843091, 1.38305, 2.28684, 3.79232", \ + "0.0863349, 0.385116, 0.56396, 0.865925, 1.39075, 2.28705, 3.79277", \ + "0.145371, 0.484401, 0.663862, 0.95418, 1.45103, 2.3134, 3.81523", \ + "0.259066, 0.674738, 0.868715, 1.16375, 1.64747, 2.46036, 3.87632" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154291, 0.108029, 0.170429, 0.273629, 0.446429, 0.734429, 1.21443"); + values ( \ + "0.117367, 0.427188, 0.631787, 0.972471, 1.54542, 2.5031, 4.09934", \ + "0.156689, 0.459271, 0.660857, 0.99904, 1.57112, 2.52919, 4.12626", \ + "0.189037, 0.488262, 0.687838, 1.02362, 1.59294, 2.54741, 4.14614", \ + "0.23774, 0.534512, 0.731053, 1.06295, 1.62805, 2.57953, 4.17582", \ + "0.305221, 0.603091, 0.795734, 1.12184, 1.67942, 2.62366, 4.21314", \ + "0.389078, 0.6919, 0.879628, 1.19796, 1.74356, 2.67497, 4.25045", \ + "0.494038, 0.81834, 1.00355, 1.31164, 1.84176, 2.7508, 4.30043" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154291, 0.108029, 0.170429, 0.273629, 0.446429, 0.734429, 1.21443"); + values ( \ + "0.0305157, 0.23892, 0.38014, 0.613826, 1.00516, 1.65742, 2.74453", \ + "0.0305167, 0.238921, 0.380144, 0.613831, 1.00518, 1.65743, 2.74454", \ + "0.0305981, 0.238922, 0.380213, 0.613832, 1.00519, 1.65745, 2.74455", \ + "0.0316425, 0.239, 0.380214, 0.613833, 1.00573, 1.65746, 2.74456", \ + "0.034494, 0.23924, 0.380438, 0.614226, 1.00584, 1.65848, 2.74645", \ + "0.041815, 0.239662, 0.380581, 0.614227, 1.00585, 1.6585, 2.74646", \ + "0.056032, 0.241554, 0.380958, 0.614655, 1.00586, 1.65851, 2.74647" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00855538, 0.101155, 0.163555, 0.266755, 0.439555, 0.727555, 1.20756"); + values ( \ + "0.0066476, 0.0076077, 0.0070865, 0.0064617, 0.0057399, 0.0052987, 0.0048358", \ + "0.0069998, 0.0085546, 0.0081813, 0.0075534, 0.0066789, 0.006138, 0.0054828", \ + "0.007121, 0.0085498, 0.0084513, 0.0080389, 0.0072237, 0.0064556, 0.0058731", \ + "0.0072882, 0.0085427, 0.0086951, 0.0084449, 0.0077364, 0.0069263, 0.0062161", \ + "0.0074353, 0.0079782, 0.0079262, 0.0083165, 0.0078877, 0.0070107, 0.006656", \ + "0.008098, 0.0077597, 0.0080277, 0.0080199, 0.0079539, 0.0078901, 0.007335", \ + "0.0096355, 0.007562, 0.0076486, 0.0078905, 0.0081866, 0.0072346, 0.0093353" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154291, 0.108029, 0.170429, 0.273629, 0.446429, 0.734429, 1.21443"); + values ( \ + "0.0119286, 0.0141175, 0.0141482, 0.0140957, 0.0138943, 0.0133316, 0.0123744", \ + "0.0118233, 0.0139713, 0.0140758, 0.0140687, 0.013785, 0.0133479, 0.0125606", \ + "0.0118309, 0.0139058, 0.0138627, 0.0140573, 0.0136208, 0.0131866, 0.0122382", \ + "0.0118828, 0.0132148, 0.0136808, 0.0135397, 0.0138576, 0.0129962, 0.0122632", \ + "0.0122374, 0.0131566, 0.0132668, 0.0135275, 0.0133752, 0.0132566, 0.0122979", \ + "0.0131491, 0.0127519, 0.0129129, 0.0130107, 0.0126493, 0.0129311, 0.0118437", \ + "0.014567, 0.0124546, 0.0126757, 0.0126807, 0.0127596, 0.0120247, 0.0103456" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00855538, 0.101155, 0.163555, 0.266755, 0.439555, 0.727555, 1.20756"); + values ( \ + "0.0040871, 0.0038397, 0.0037502, 0.0035048, 0.0030987, 0.0031147, 0.0031831", \ + "0.0041676, 0.0040404, 0.0038226, 0.0036501, 0.0032, 0.0033024, 0.0029556", \ + "0.0040994, 0.0038679, 0.0038658, 0.0035515, 0.0031493, 0.0032261, 0.0029612", \ + "0.0041256, 0.0039983, 0.0038413, 0.0036602, 0.0031815, 0.0031676, 0.0030448", \ + "0.0041558, 0.0041048, 0.0039625, 0.0042238, 0.0036222, 0.0033143, 0.0032712", \ + "0.0041322, 0.0041016, 0.0041183, 0.0036209, 0.0037752, 0.0036979, 0.0049461", \ + "0.0041838, 0.0041924, 0.0042612, 0.0041201, 0.0036922, 0.0030683, 0.0043269" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154291, 0.108029, 0.170429, 0.273629, 0.446429, 0.734429, 1.21443"); + values ( \ + "0.0047623, 0.0233636, 0.0392784, 0.0670131, 0.114705, 0.195247, 0.330109", \ + "0.0046743, 0.0205298, 0.0352881, 0.0619564, 0.108926, 0.189097, 0.323856", \ + "0.0045932, 0.0188492, 0.0328135, 0.0584697, 0.104478, 0.183599, 0.318447", \ + "0.0044535, 0.0167185, 0.0299554, 0.0536802, 0.0979817, 0.175863, 0.30966", \ + "0.0042792, 0.0146139, 0.0255468, 0.0478833, 0.0893077, 0.164058, 0.295384", \ + "0.0040235, 0.0116706, 0.0209981, 0.03958, 0.0767454, 0.146438, 0.272572", \ + "0.0039509, 0.0086907, 0.0162192, 0.0313841, 0.0628031, 0.123464, 0.241886" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00278068; + rise_capacitance : 0.00283391; + rise_capacitance_range (0.00283391, 0.00283391); + fall_capacitance : 0.00272745; + fall_capacitance_range (0.00272745, 0.00272745); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0118776, 0.0116115, 0.0115663, 0.0115775, 0.0118998, 0.0126096, 0.0143773" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00440223, 0.00419011, 0.00417799, 0.00426478, 0.00456475, 0.00528124, 0.00697662" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00987692; + rise_capacitance : 0.0102368; + rise_capacitance_range (0.0102368, 0.0102368); + fall_capacitance : 0.009517; + fall_capacitance_range (0.009517, 0.009517); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00014732, -0.000744166, -0.000903736, -0.000975455, -0.000792367, -0.000158815, 0.00152429" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189954, 0.0186423, 0.0187138, 0.0187733, 0.0189933, 0.0197845, 0.0215548" \ + ); + } + } + } + } + cell (sg13g2_ebufn_8) { + area : 45.36; + cell_footprint : "BTL"; + cell_leakage_power : 2491.41; + leakage_power () { + value : 1744.92; + when : "A&TE_B"; + } + leakage_power () { + value : 1655.51; + when : "!A&TE_B"; + } + leakage_power () { + value : 2255.05; + when : "A&!TE_B"; + } + leakage_power () { + value : 4310.14; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 2.4; + capacitance : 0.021686; + rise_capacitance : 0.0150503; + rise_capacitance_range (0.0150503, 0.0150503); + fall_capacitance : 0.0283217; + fall_capacitance_range (0.0283217, 0.0283217); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0160503, 0.20225, 0.32705, 0.53345, 0.87905, 1.45505, 2.41505"); + values ( \ + "0.108501, 0.333355, 0.47409, 0.707989, 1.10017, 1.75465, 2.84635", \ + "0.157058, 0.383263, 0.524836, 0.758416, 1.15005, 1.8041, 2.89542", \ + "0.195359, 0.422111, 0.56458, 0.798336, 1.19125, 1.84394, 2.93592", \ + "0.253826, 0.481682, 0.625041, 0.860543, 1.25183, 1.90556, 2.99614", \ + "0.337981, 0.569965, 0.711344, 0.946073, 1.33874, 1.99419, 3.08551", \ + "0.452494, 0.699937, 0.839779, 1.07188, 1.46526, 2.1196, 3.21208", \ + "0.608225, 0.882641, 1.02029, 1.24987, 1.63997, 2.29388, 3.3882" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0160503, 0.20225, 0.32705, 0.53345, 0.87905, 1.45505, 2.41505"); + values ( \ + "0.0350119, 0.322258, 0.518394, 0.842774, 1.38589, 2.29108, 3.79973", \ + "0.0369481, 0.323234, 0.518395, 0.842775, 1.3859, 2.2911, 3.79976", \ + "0.0412517, 0.323235, 0.51853, 0.844131, 1.38691, 2.29111, 3.79977", \ + "0.0503043, 0.323236, 0.51874, 0.844132, 1.38797, 2.29112, 3.80005", \ + "0.0670939, 0.325684, 0.520098, 0.844133, 1.38798, 2.29113, 3.80139", \ + "0.096168, 0.332713, 0.523593, 0.845907, 1.38799, 2.29164, 3.8014", \ + "0.140998, 0.354518, 0.53442, 0.851322, 1.39069, 2.2935, 3.80141" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0293217, 0.215522, 0.340322, 0.546722, 0.892322, 1.46832, 2.42832"); + values ( \ + "0.123998, 0.304943, 0.412653, 0.589981, 0.885772, 1.37879, 2.20037", \ + "0.166518, 0.347136, 0.454985, 0.632361, 0.928392, 1.42179, 2.24331", \ + "0.204817, 0.386032, 0.493755, 0.671119, 0.967292, 1.4608, 2.28204", \ + "0.265279, 0.448942, 0.556533, 0.733994, 1.03002, 1.5231, 2.34508", \ + "0.351917, 0.541208, 0.648439, 0.825466, 1.12084, 1.61462, 2.43597", \ + "0.463874, 0.665127, 0.771569, 0.943291, 1.23743, 1.72935, 2.55", \ + "0.614532, 0.840256, 0.942696, 1.1141, 1.40613, 1.89505, 2.71392" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0293217, 0.215522, 0.340322, 0.546722, 0.892322, 1.46832, 2.42832"); + values ( \ + "0.0367657, 0.239853, 0.380952, 0.615673, 1.00726, 1.66001, 2.75192", \ + "0.0372992, 0.24054, 0.381168, 0.615674, 1.00801, 1.66107, 2.75193", \ + "0.0408451, 0.240541, 0.381169, 0.61701, 1.00802, 1.66108, 2.75194", \ + "0.0495126, 0.24136, 0.381749, 0.617011, 1.01105, 1.66109, 2.75195", \ + "0.0658021, 0.246583, 0.384324, 0.617012, 1.01106, 1.66117, 2.75196", \ + "0.09145, 0.258029, 0.391247, 0.620144, 1.01107, 1.66174, 2.75197", \ + "0.135377, 0.283852, 0.406834, 0.628686, 1.01578, 1.666, 2.75198" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0160503, 0.20225, 0.32705, 0.53345, 0.87905, 1.45505, 2.41505"); + values ( \ + "0.0972269, 0.0972279, 0.0972289, 0.0973184, 0.0973198, 0.0973208, 0.0973218", \ + "0.1414, 0.141421, 0.141422, 0.141423, 0.141424, 0.141428, 0.141429", \ + "0.175105, 0.175106, 0.175107, 0.175108, 0.175109, 0.17511, 0.175111", \ + "0.227463, 0.227464, 0.227465, 0.227466, 0.227467, 0.227468, 0.227469", \ + "0.309723, 0.309724, 0.309725, 0.309726, 0.309727, 0.309728, 0.309729", \ + "0.408859, 0.40886, 0.408861, 0.408862, 0.408863, 0.408864, 0.408865", \ + "0.543241, 0.543242, 0.543243, 0.543244, 0.543245, 0.543246, 0.543247" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0160503, 0.20225, 0.32705, 0.53345, 0.87905, 1.45505, 2.41505"); + values ( \ + "0.0972269, 0.0972279, 0.0972289, 0.0973184, 0.0973198, 0.0973208, 0.0973218", \ + "0.1414, 0.141421, 0.141422, 0.141423, 0.141424, 0.141428, 0.141429", \ + "0.175105, 0.175106, 0.175107, 0.175108, 0.175109, 0.17511, 0.175111", \ + "0.227463, 0.227464, 0.227465, 0.227466, 0.227467, 0.227468, 0.227469", \ + "0.309723, 0.309724, 0.309725, 0.309726, 0.309727, 0.309728, 0.309729", \ + "0.408859, 0.40886, 0.408861, 0.408862, 0.408863, 0.408864, 0.408865", \ + "0.543241, 0.543242, 0.543243, 0.543244, 0.543245, 0.543246, 0.543247" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0293217, 0.215522, 0.340322, 0.546722, 0.892322, 1.46832, 2.42832"); + values ( \ + "0.0422877, 0.0423669, 0.0425217, 0.0425227, 0.0425237, 0.0425247, 0.0425257", \ + "0.0422887, 0.0423679, 0.0425227, 0.0425237, 0.0425247, 0.0425257, 0.0425267", \ + "0.0422897, 0.0423689, 0.0425237, 0.0425247, 0.0425257, 0.0425267, 0.0425277", \ + "0.0422907, 0.0423699, 0.0425247, 0.0425257, 0.0425267, 0.0425277, 0.0425287", \ + "0.0422917, 0.0423709, 0.0425257, 0.0425267, 0.0425277, 0.0425287, 0.0425297", \ + "0.0422927, 0.0423719, 0.0425267, 0.0425277, 0.0425287, 0.0425297, 0.0425307", \ + "0.0434902, 0.0434912, 0.0434922, 0.0434932, 0.0434942, 0.0434952, 0.0434962" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0293217, 0.215522, 0.340322, 0.546722, 0.892322, 1.46832, 2.42832"); + values ( \ + "0.0422877, 0.0423669, 0.0425217, 0.0425227, 0.0425237, 0.0425247, 0.0425257", \ + "0.0422887, 0.0423679, 0.0425227, 0.0425237, 0.0425247, 0.0425257, 0.0425267", \ + "0.0422897, 0.0423689, 0.0425237, 0.0425247, 0.0425257, 0.0425267, 0.0425277", \ + "0.0422907, 0.0423699, 0.0425247, 0.0425257, 0.0425267, 0.0425277, 0.0425287", \ + "0.0422917, 0.0423709, 0.0425257, 0.0425267, 0.0425277, 0.0425287, 0.0425297", \ + "0.0422927, 0.0423719, 0.0425267, 0.0425277, 0.0425287, 0.0425297, 0.0425307", \ + "0.0434902, 0.0434912, 0.0434922, 0.0434932, 0.0434942, 0.0434952, 0.0434962" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0160503, 0.20225, 0.32705, 0.53345, 0.87905, 1.45505, 2.41505"); + values ( \ + "0.0504928, 0.265291, 0.407852, 0.643571, 1.03762, 1.69507, 2.79021", \ + "0.0793106, 0.298795, 0.441515, 0.677499, 1.07146, 1.72896, 2.82337", \ + "0.0970807, 0.330723, 0.473791, 0.709743, 1.10415, 1.76172, 2.85743", \ + "0.120541, 0.391662, 0.538129, 0.774559, 1.16903, 1.8264, 2.92136", \ + "0.138998, 0.491625, 0.653051, 0.899336, 1.29663, 1.95412, 3.04932", \ + "0.138999, 0.632549, 0.831545, 1.11132, 1.53418, 2.20181, 3.29792", \ + "0.139, 0.811072, 1.07868, 1.43796, 1.9345, 2.66363, 3.79264" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0160503, 0.20225, 0.32705, 0.53345, 0.87905, 1.45505, 2.41505"); + values ( \ + "0.034478, 0.319682, 0.518403, 0.842901, 1.38626, 2.2916, 3.80061", \ + "0.0349778, 0.319683, 0.518404, 0.843234, 1.38627, 2.29163, 3.80128", \ + "0.0398415, 0.321682, 0.519042, 0.843235, 1.38628, 2.29248, 3.80129", \ + "0.0529516, 0.33699, 0.527107, 0.845231, 1.38644, 2.29249, 3.8013", \ + "0.0833019, 0.3835, 0.565074, 0.868087, 1.39434, 2.2925, 3.80131", \ + "0.141388, 0.48352, 0.665146, 0.956321, 1.45345, 2.31855, 3.81219", \ + "0.254124, 0.673833, 0.868578, 1.16723, 1.64935, 2.46403, 3.88539" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0293217, 0.215522, 0.340322, 0.546722, 0.892322, 1.46832, 2.42832"); + values ( \ + "0.153461, 0.471255, 0.67498, 1.01546, 1.5887, 2.54675, 4.14436", \ + "0.19524, 0.506502, 0.707602, 1.04531, 1.61616, 2.57277, 4.16938", \ + "0.233596, 0.541732, 0.740765, 1.0757, 1.64383, 2.60054, 4.20052", \ + "0.295133, 0.602614, 0.798383, 1.12916, 1.69334, 2.64379, 4.23803", \ + "0.383389, 0.695363, 0.886979, 1.21217, 1.76812, 2.71056, 4.29902", \ + "0.497918, 0.824941, 1.01202, 1.33124, 1.87517, 2.80336, 4.37654", \ + "0.646213, 1.00002, 1.18417, 1.49018, 2.02035, 2.92688, 4.47364" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0293217, 0.215522, 0.340322, 0.546722, 0.892322, 1.46832, 2.42832"); + values ( \ + "0.0312583, 0.239541, 0.381142, 0.615324, 1.00745, 1.66096, 2.75017", \ + "0.0312843, 0.239734, 0.381143, 0.615331, 1.00746, 1.66097, 2.75018", \ + "0.0317808, 0.239766, 0.381443, 0.615384, 1.00747, 1.66098, 2.75019", \ + "0.0336544, 0.239792, 0.381444, 0.61557, 1.00843, 1.66098, 2.7502", \ + "0.03885, 0.240293, 0.381472, 0.615725, 1.00844, 1.66181, 2.75021", \ + "0.048506, 0.241763, 0.381993, 0.615726, 1.00845, 1.66182, 2.75583", \ + "0.06784, 0.246471, 0.382994, 0.616241, 1.00846, 1.66183, 2.75584" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0160503, 0.20225, 0.32705, 0.53345, 0.87905, 1.45505, 2.41505"); + values ( \ + "0.0130375, 0.0150943, 0.0140161, 0.0128365, 0.0114308, 0.0109456, 0.0107568", \ + "0.0137869, 0.0170556, 0.0162276, 0.0149836, 0.0132878, 0.0120818, 0.0120389", \ + "0.014094, 0.0172148, 0.0168373, 0.0160484, 0.014452, 0.0128831, 0.0129198", \ + "0.0142796, 0.0168725, 0.0174627, 0.0172448, 0.0155421, 0.0138971, 0.0136341", \ + "0.0147165, 0.015863, 0.0158802, 0.0166398, 0.0161993, 0.0148088, 0.0135516", \ + "0.0161267, 0.0155302, 0.0158912, 0.0157426, 0.0160096, 0.0167221, 0.0188741", \ + "0.0191876, 0.0149685, 0.0152326, 0.0159314, 0.0163538, 0.0145636, 0.019947" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0293217, 0.215522, 0.340322, 0.546722, 0.892322, 1.46832, 2.42832"); + values ( \ + "0.0238614, 0.0283003, 0.0283891, 0.0284374, 0.0276446, 0.0262813, 0.0247012", \ + "0.0236374, 0.0281172, 0.0282422, 0.0281708, 0.027726, 0.026616, 0.0251702", \ + "0.0236101, 0.0278997, 0.0277023, 0.0282362, 0.0272587, 0.0263335, 0.0244924", \ + "0.023793, 0.0264395, 0.0272888, 0.027047, 0.0275828, 0.0257025, 0.0244929", \ + "0.0248053, 0.0263073, 0.0264087, 0.0268668, 0.026664, 0.0267716, 0.0239852", \ + "0.0260231, 0.025203, 0.0258174, 0.0258039, 0.0247277, 0.0264707, 0.024858", \ + "0.0292264, 0.0247407, 0.0252303, 0.0249666, 0.0249786, 0.0234426, 0.0212791" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0160503, 0.20225, 0.32705, 0.53345, 0.87905, 1.45505, 2.41505"); + values ( \ + "0.0082782, 0.0079764, 0.0076974, 0.0071374, 0.0072806, 0.0062723, 0.0058764", \ + "0.0083218, 0.0080237, 0.0077182, 0.007282, 0.0063972, 0.0063129, 0.0059774", \ + "0.0083199, 0.0079584, 0.0080775, 0.0072284, 0.006436, 0.006709, 0.0059713", \ + "0.0082874, 0.0080182, 0.007945, 0.0072814, 0.0065731, 0.0062952, 0.0058287", \ + "0.0082212, 0.0079754, 0.0077074, 0.0083434, 0.0062247, 0.0061897, 0.0057927", \ + "0.0083858, 0.0083873, 0.008279, 0.0075236, 0.007865, 0.0068366, 0.0078791", \ + "0.0081936, 0.0082914, 0.0083784, 0.0084626, 0.0070756, 0.0054843, 0.0063868" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0293217, 0.215522, 0.340322, 0.546722, 0.892322, 1.46832, 2.42832"); + values ( \ + "0.0094671, 0.044559, 0.0755056, 0.129851, 0.224579, 0.384743, 0.653545", \ + "0.0091933, 0.0396255, 0.0683263, 0.120542, 0.213098, 0.372084, 0.639762", \ + "0.0090275, 0.0364148, 0.0635136, 0.113635, 0.204125, 0.361979, 0.630406", \ + "0.0088039, 0.0323831, 0.0576658, 0.104145, 0.191321, 0.344984, 0.610035", \ + "0.0084116, 0.027708, 0.0487839, 0.0922244, 0.172415, 0.320084, 0.580313", \ + "0.008204, 0.0230453, 0.0409678, 0.0773423, 0.149407, 0.286213, 0.53685", \ + "0.0079264, 0.0166769, 0.0299434, 0.0594165, 0.120661, 0.239423, 0.473725" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00541457; + rise_capacitance : 0.00552255; + rise_capacitance_range (0.00552255, 0.00552255); + fall_capacitance : 0.00530658; + fall_capacitance_range (0.00530658, 0.00530658); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0232394, 0.0227267, 0.0226331, 0.0227326, 0.0233647, 0.0248144, 0.028391" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00815473, 0.00774285, 0.00771739, 0.00790227, 0.00856188, 0.00999205, 0.0134665" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0165728; + rise_capacitance : 0.0172207; + rise_capacitance_range (0.0172207, 0.0172207); + fall_capacitance : 0.0159249; + fall_capacitance_range (0.0159249, 0.0159249); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0022915, -0.00309226, -0.00333081, -0.00352101, -0.00358158, -0.00310115, -0.0016907" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0362364, 0.0359607, 0.0359257, 0.0360213, 0.0363086, 0.0367937, 0.0384455" \ + ); + } + } + } + } + cell (sg13g2_einvn_2) { + area : 16.3296; + cell_footprint : "einvin"; + cell_leakage_power : 697.531; + leakage_power () { + value : 355.001; + when : "!A&!TE_B&Z"; + } + leakage_power () { + value : 1040.06; + when : "A&!TE_B&!Z"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 0.6; + capacitance : 0.00563601; + rise_capacitance : 0.00386138; + rise_capacitance_range (0.00386138, 0.00386138); + fall_capacitance : 0.00741064; + fall_capacitance_range (0.00741064, 0.00741064); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00486138, 0.0506614, 0.0818614, 0.133461, 0.219861, 0.363861, 0.603861"); + values ( \ + "0.0394908, 0.248224, 0.387364, 0.619531, 1.0095, 1.66112, 2.74817", \ + "0.0634314, 0.286209, 0.425889, 0.65797, 1.04766, 1.69982, 2.78649", \ + "0.0775904, 0.325213, 0.465326, 0.69741, 1.08707, 1.73861, 2.82474", \ + "0.0968486, 0.39752, 0.542844, 0.774766, 1.16427, 1.81468, 2.90177", \ + "0.120471, 0.51158, 0.679693, 0.92617, 1.31712, 1.96628, 3.05418", \ + "0.145745, 0.670343, 0.886104, 1.17964, 1.60629, 2.26681, 3.34763", \ + "0.17393, 0.879248, 1.17075, 1.56023, 2.08543, 2.82961, 3.95188" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00486138, 0.0506614, 0.0818614, 0.133461, 0.219861, 0.363861, 0.603861"); + values ( \ + "0.0268651, 0.313058, 0.5079, 0.830241, 1.36992, 2.26944, 3.76867", \ + "0.0411958, 0.313059, 0.508183, 0.830367, 1.36993, 2.26948, 3.76936", \ + "0.0560624, 0.318656, 0.509212, 0.830368, 1.36994, 2.27001, 3.76937", \ + "0.0797124, 0.344148, 0.522882, 0.833923, 1.37105, 2.27002, 3.76945", \ + "0.115913, 0.414686, 0.582556, 0.871284, 1.38339, 2.27106, 3.77055", \ + "0.172121, 0.548072, 0.725783, 1.00238, 1.47581, 2.31127, 3.78778", \ + "0.267945, 0.764601, 0.983364, 1.28726, 1.7551, 2.53013, 3.90009" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841064, 0.0542106, 0.0854106, 0.137011, 0.223411, 0.367411, 0.607411"); + values ( \ + "0.0342906, 0.195985, 0.302581, 0.47873, 0.773717, 1.26502, 2.08407", \ + "0.0581655, 0.237996, 0.344917, 0.521359, 0.816351, 1.30799, 2.12746", \ + "0.0729043, 0.279061, 0.387183, 0.564002, 0.859076, 1.35107, 2.16984", \ + "0.0927332, 0.350723, 0.469244, 0.649219, 0.943923, 1.43529, 2.2553", \ + "0.116581, 0.460597, 0.603135, 0.806908, 1.11488, 1.60793, 2.42552", \ + "0.147495, 0.618093, 0.804551, 1.05653, 1.41374, 1.94019, 2.76535", \ + "0.186089, 0.836798, 1.09383, 1.42715, 1.88283, 2.51025, 3.41875" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841064, 0.0542106, 0.0854106, 0.137011, 0.223411, 0.367411, 0.607411"); + values ( \ + "0.0184562, 0.225731, 0.366641, 0.600473, 0.991553, 1.64223, 2.72767", \ + "0.0327909, 0.227329, 0.367619, 0.600474, 0.991554, 1.64224, 2.72781", \ + "0.0459666, 0.237837, 0.37167, 0.603295, 0.991555, 1.64343, 2.72782", \ + "0.0673887, 0.272428, 0.397473, 0.613845, 0.993481, 1.64358, 2.72983", \ + "0.100732, 0.346238, 0.471883, 0.676465, 1.03079, 1.6541, 2.72984", \ + "0.154128, 0.478009, 0.614072, 0.824117, 1.16569, 1.7449, 2.76499", \ + "0.244242, 0.697397, 0.864966, 1.10392, 1.46572, 2.03676, 2.98797" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00486138, 0.0506614, 0.0818614, 0.133461, 0.219861, 0.363861, 0.603861"); + values ( \ + "0.0748881, 0.074892, 0.074893, 0.074894, 0.074895, 0.074896, 0.0749394", \ + "0.114226, 0.114227, 0.114228, 0.114229, 0.11423, 0.114231, 0.114232", \ + "0.138684, 0.138685, 0.138686, 0.138687, 0.138688, 0.138689, 0.13869", \ + "0.178364, 0.178365, 0.178366, 0.178367, 0.178368, 0.178369, 0.17837", \ + "0.235437, 0.235438, 0.235439, 0.23544, 0.235441, 0.235442, 0.235443", \ + "0.306884, 0.306885, 0.306886, 0.306887, 0.306888, 0.306889, 0.30689", \ + "0.407284, 0.407285, 0.407286, 0.407287, 0.407288, 0.407289, 0.40729" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00486138, 0.0506614, 0.0818614, 0.133461, 0.219861, 0.363861, 0.603861"); + values ( \ + "0.0748881, 0.074892, 0.074893, 0.074894, 0.074895, 0.074896, 0.0749394", \ + "0.114226, 0.114227, 0.114228, 0.114229, 0.11423, 0.114231, 0.114232", \ + "0.138684, 0.138685, 0.138686, 0.138687, 0.138688, 0.138689, 0.13869", \ + "0.178364, 0.178365, 0.178366, 0.178367, 0.178368, 0.178369, 0.17837", \ + "0.235437, 0.235438, 0.235439, 0.23544, 0.235441, 0.235442, 0.235443", \ + "0.306884, 0.306885, 0.306886, 0.306887, 0.306888, 0.306889, 0.30689", \ + "0.407284, 0.407285, 0.407286, 0.407287, 0.407288, 0.407289, 0.40729" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00486138, 0.0506614, 0.0818614, 0.133461, 0.219861, 0.363861, 0.603861"); + values ( \ + "0.0474687, 0.255441, 0.396871, 0.630871, 1.02289, 1.67531, 2.76338", \ + "0.0806337, 0.293199, 0.434795, 0.669208, 1.06065, 1.71446, 2.80149", \ + "0.101166, 0.326939, 0.468574, 0.702708, 1.09438, 1.74802, 2.83621", \ + "0.128769, 0.388994, 0.53371, 0.767894, 1.15968, 1.81275, 2.901", \ + "0.154043, 0.490074, 0.64949, 0.893338, 1.28755, 1.94044, 3.03304", \ + "0.154044, 0.631706, 0.828994, 1.10611, 1.52601, 2.18902, 3.2774", \ + "0.154045, 0.811542, 1.07726, 1.43468, 1.9289, 2.6538, 3.77492" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00486138, 0.0506614, 0.0818614, 0.133461, 0.219861, 0.363861, 0.603861"); + values ( \ + "0.0271588, 0.313033, 0.508042, 0.830591, 1.37026, 2.27, 3.76958", \ + "0.0331395, 0.313046, 0.508043, 0.830592, 1.37027, 2.27012, 3.76961", \ + "0.0415008, 0.31599, 0.508791, 0.830593, 1.37028, 2.27028, 3.76962", \ + "0.0583936, 0.331305, 0.516815, 0.832684, 1.37029, 2.27111, 3.76985", \ + "0.0918093, 0.377729, 0.555319, 0.856081, 1.37875, 2.27112, 3.77319", \ + "0.15381, 0.478322, 0.656907, 0.945157, 1.43868, 2.29707, 3.79064", \ + "0.271254, 0.670659, 0.862845, 1.15668, 1.63709, 2.4454, 3.85592" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00486138, 0.0506614, 0.0818614, 0.133461, 0.219861, 0.363861, 0.603861"); + values ( \ + "0.0041126, 0.00407093, 0.00369728, 0.00335259, 0.00295714, 0.0027828, 0.00274409", \ + "0.00384502, 0.00416957, 0.00391033, 0.00352938, 0.00310929, 0.00291069, 0.00260563", \ + "0.00391264, 0.00420438, 0.00402168, 0.00364269, 0.0032083, 0.00295156, 0.0026402", \ + "0.00419378, 0.00425496, 0.00412288, 0.00396971, 0.00345635, 0.00305216, 0.00275177", \ + "0.00495554, 0.0044355, 0.00428111, 0.00420535, 0.00368285, 0.00337487, 0.00306247", \ + "0.00670599, 0.00506548, 0.00487527, 0.00455633, 0.00432821, 0.00382585, 0.00384533", \ + "0.0104161, 0.00708421, 0.00647013, 0.00587423, 0.00525384, 0.00447651, 0.0047695" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841064, 0.0542106, 0.0854106, 0.137011, 0.223411, 0.367411, 0.607411"); + values ( \ + "0.00399698, 0.00483612, 0.00478572, 0.00469726, 0.00455289, 0.004227, 0.00371612", \ + "0.00363847, 0.00469372, 0.00474372, 0.00466742, 0.00454454, 0.0042802, 0.00382366", \ + "0.00367362, 0.00451869, 0.0045577, 0.0047126, 0.00452098, 0.00431537, 0.00376027", \ + "0.00395221, 0.00426459, 0.00446241, 0.00446056, 0.00448506, 0.00432617, 0.0038147", \ + "0.00471665, 0.00435304, 0.00439755, 0.00434619, 0.0046077, 0.00449738, 0.00370116", \ + "0.00646637, 0.00497722, 0.00481127, 0.00473532, 0.00433908, 0.00441939, 0.003701", \ + "0.0101525, 0.00712548, 0.00646711, 0.00596517, 0.00561155, 0.00466236, 0.00425276" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00486138, 0.0506614, 0.0818614, 0.133461, 0.219861, 0.363861, 0.603861"); + values ( \ + "0.00584347, 0.00573867, 0.00566797, 0.00557577, 0.00536637, 0.00541517, 0.00522597", \ + "0.00577393, 0.00568073, 0.00562543, 0.00552703, 0.00531183, 0.00535853, 0.00536713", \ + "0.00577234, 0.00567494, 0.00574474, 0.00550214, 0.00529794, 0.00535144, 0.00509074", \ + "0.00575511, 0.00568961, 0.00562851, 0.00559491, 0.00526851, 0.00535501, 0.00537031", \ + "0.00575369, 0.00570389, 0.00563039, 0.00565379, 0.00548909, 0.00531639, 0.00538059", \ + "0.00568122, 0.00567472, 0.00568282, 0.00541762, 0.00549552, 0.00525762, 0.00599042", \ + "0.00563012, 0.00563692, 0.00566482, 0.00562542, 0.00539092, 0.00503262, 0.00552812" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00389782; + rise_capacitance : 0.00497507; + rise_capacitance_range (0.00497507, 0.00497507); + fall_capacitance : 0.00282057; + fall_capacitance_range (0.00282057, 0.00282057); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00453468; + rise_capacitance : 0.00524898; + rise_capacitance_range (0.00524898, 0.00524898); + fall_capacitance : 0.00382037; + fall_capacitance_range (0.00382037, 0.00382037); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00354408, -0.00372675, -0.00378055, -0.00379934, -0.00369153, -0.00335707, -0.0025151" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00572993, 0.00566267, 0.00566066, 0.00571249, 0.00584331, 0.00630938, 0.00726308" \ + ); + } + } + } + } + cell (sg13g2_einvn_4) { + area : 23.5872; + cell_footprint : "einvin"; + cell_leakage_power : 1402.49; + leakage_power () { + value : 717.432; + when : "!A&!TE_B"; + } + leakage_power () { + value : 2087.55; + when : "A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 1.2; + capacitance : 0.0110806; + rise_capacitance : 0.00769576; + rise_capacitance_range (0.00769576, 0.00769576); + fall_capacitance : 0.0144654; + fall_capacitance_range (0.0144654, 0.0144654); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00869576, 0.101296, 0.163696, 0.266896, 0.439696, 0.727696, 1.2077"); + values ( \ + "0.0365001, 0.248467, 0.387692, 0.619985, 1.01009, 1.66186, 2.74915", \ + "0.0592623, 0.286415, 0.426208, 0.658479, 1.04825, 1.70061, 2.7868", \ + "0.0720269, 0.325579, 0.46577, 0.698125, 1.0877, 1.73946, 2.82605", \ + "0.0894465, 0.397816, 0.543202, 0.774991, 1.16491, 1.81563, 2.90288", \ + "0.110683, 0.512361, 0.67869, 0.926862, 1.31827, 1.96793, 3.05367", \ + "0.132843, 0.670657, 0.886526, 1.18053, 1.6074, 2.26864, 3.3507", \ + "0.156935, 0.879434, 1.1712, 1.56049, 2.08621, 2.83088, 3.95402" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00869576, 0.101296, 0.163696, 0.266896, 0.439696, 0.727696, 1.2077"); + values ( \ + "0.0236142, 0.313206, 0.508213, 0.830845, 1.37105, 2.27139, 3.77194", \ + "0.0381616, 0.313207, 0.508537, 0.831, 1.37106, 2.2714, 3.77195", \ + "0.0526032, 0.318766, 0.509531, 0.831001, 1.37107, 2.27201, 3.77195", \ + "0.0747558, 0.344284, 0.523215, 0.834419, 1.37227, 2.27202, 3.77286", \ + "0.109253, 0.414546, 0.583557, 0.871738, 1.38451, 2.27216, 3.77395", \ + "0.163312, 0.548311, 0.726164, 1.00289, 1.47685, 2.3141, 3.78604", \ + "0.25617, 0.764992, 0.983793, 1.29116, 1.7561, 2.53198, 3.90344" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154654, 0.108065, 0.170465, 0.273665, 0.446465, 0.734465, 1.21447"); + values ( \ + "0.0319519, 0.195874, 0.302404, 0.478509, 0.773245, 1.26427, 2.08278", \ + "0.0544797, 0.237798, 0.344671, 0.520967, 0.816015, 1.30728, 2.12611", \ + "0.0682302, 0.278956, 0.38717, 0.563699, 0.858587, 1.35013, 2.16856", \ + "0.0863936, 0.350323, 0.468703, 0.648553, 0.943753, 1.4349, 2.2545", \ + "0.107959, 0.460622, 0.603051, 0.806282, 1.11422, 1.60654, 2.42425", \ + "0.135829, 0.618152, 0.804744, 1.05697, 1.41447, 1.94238, 2.76651", \ + "0.170384, 0.836509, 1.09439, 1.42752, 1.88369, 2.51135, 3.41999" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154654, 0.108065, 0.170465, 0.273665, 0.446465, 0.734465, 1.21447"); + values ( \ + "0.0162002, 0.225597, 0.36648, 0.600324, 0.99122, 1.64171, 2.72683", \ + "0.0304352, 0.227185, 0.367818, 0.600325, 0.991221, 1.64266, 2.72684", \ + "0.0430391, 0.23771, 0.371507, 0.602848, 0.991222, 1.64267, 2.72692", \ + "0.0633899, 0.272616, 0.39738, 0.61368, 0.993114, 1.64319, 2.72784", \ + "0.095081, 0.34606, 0.471481, 0.676599, 1.03028, 1.65266, 2.72785", \ + "0.146339, 0.477937, 0.614003, 0.824142, 1.16523, 1.74426, 2.76405", \ + "0.233737, 0.697098, 0.865524, 1.10594, 1.46571, 2.03404, 2.98645" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00869576, 0.101296, 0.163696, 0.266896, 0.439696, 0.727696, 1.2077"); + values ( \ + "0.0756749, 0.075771, 0.075772, 0.075773, 0.075774, 0.075775, 0.075776", \ + "0.115062, 0.115063, 0.115064, 0.115065, 0.115066, 0.115067, 0.115068", \ + "0.139921, 0.139922, 0.139923, 0.139924, 0.139925, 0.139926, 0.139927", \ + "0.178495, 0.178496, 0.178497, 0.178498, 0.178499, 0.1785, 0.178501", \ + "0.232744, 0.232745, 0.232746, 0.232747, 0.232748, 0.232749, 0.23275", \ + "0.302996, 0.302997, 0.302998, 0.302999, 0.303, 0.303001, 0.303002", \ + "0.399391, 0.399392, 0.399393, 0.399394, 0.399395, 0.399396, 0.399397" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00869576, 0.101296, 0.163696, 0.266896, 0.439696, 0.727696, 1.2077"); + values ( \ + "0.0756749, 0.075771, 0.075772, 0.075773, 0.075774, 0.075775, 0.075776", \ + "0.115062, 0.115063, 0.115064, 0.115065, 0.115066, 0.115067, 0.115068", \ + "0.139921, 0.139922, 0.139923, 0.139924, 0.139925, 0.139926, 0.139927", \ + "0.178495, 0.178496, 0.178497, 0.178498, 0.178499, 0.1785, 0.178501", \ + "0.232744, 0.232745, 0.232746, 0.232747, 0.232748, 0.232749, 0.23275", \ + "0.302996, 0.302997, 0.302998, 0.302999, 0.303, 0.303001, 0.303002", \ + "0.399391, 0.399392, 0.399393, 0.399394, 0.399395, 0.399396, 0.399397" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00869576, 0.101296, 0.163696, 0.266896, 0.439696, 0.727696, 1.2077"); + values ( \ + "0.0449384, 0.255313, 0.396987, 0.631084, 1.02311, 1.67619, 2.76519", \ + "0.0771709, 0.292879, 0.434589, 0.669141, 1.06089, 1.71434, 2.80279", \ + "0.0967871, 0.326483, 0.46825, 0.702584, 1.09454, 1.74871, 2.83717", \ + "0.122397, 0.388366, 0.533223, 0.767616, 1.15982, 1.8131, 2.90237", \ + "0.144032, 0.4892, 0.648624, 0.892854, 1.28731, 1.94087, 3.03397", \ + "0.144033, 0.630404, 0.827586, 1.10528, 1.5254, 2.18868, 3.27841", \ + "0.144034, 0.809876, 1.07568, 1.43332, 1.92835, 2.65318, 3.77624" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00869576, 0.101296, 0.163696, 0.266896, 0.439696, 0.727696, 1.2077"); + values ( \ + "0.0240085, 0.313129, 0.508332, 0.831211, 1.37167, 2.27145, 3.77226", \ + "0.0301671, 0.313196, 0.508357, 0.831589, 1.37168, 2.27146, 3.77297", \ + "0.0384025, 0.316221, 0.509096, 0.83159, 1.37169, 2.27153, 3.77387", \ + "0.0549666, 0.331448, 0.517196, 0.833094, 1.3717, 2.27292, 3.77388", \ + "0.0875345, 0.378244, 0.555624, 0.856617, 1.37954, 2.27293, 3.77635", \ + "0.147567, 0.478634, 0.656768, 0.945746, 1.4401, 2.29958, 3.7951", \ + "0.262331, 0.671299, 0.863387, 1.15717, 1.63879, 2.44745, 3.85871" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00869576, 0.101296, 0.163696, 0.266896, 0.439696, 0.727696, 1.2077"); + values ( \ + "0.0080942, 0.00823976, 0.00752745, 0.00684377, 0.00607762, 0.00583878, 0.0057261", \ + "0.0076991, 0.00843598, 0.00791453, 0.0071862, 0.00638389, 0.00616306, 0.00587359", \ + "0.00789483, 0.00848533, 0.00810162, 0.00743727, 0.0065836, 0.00625087, 0.00546658", \ + "0.0085354, 0.00857189, 0.00845234, 0.00788062, 0.00706593, 0.00632342, 0.0056694", \ + "0.010039, 0.00883368, 0.00885322, 0.00873105, 0.00767624, 0.00697159, 0.0063025", \ + "0.013664, 0.0101905, 0.00978256, 0.00919431, 0.00908459, 0.00790418, 0.00761204", \ + "0.0213911, 0.0143715, 0.0131431, 0.0120973, 0.0107805, 0.00929737, 0.00960093" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154654, 0.108065, 0.170465, 0.273665, 0.446465, 0.734465, 1.21447"); + values ( \ + "0.00771544, 0.00960184, 0.00949447, 0.0093613, 0.00903396, 0.00829295, 0.00736876", \ + "0.00712376, 0.00924544, 0.00942598, 0.0092544, 0.0090589, 0.00853711, 0.00746611", \ + "0.00724726, 0.00895375, 0.00906048, 0.00933382, 0.00894935, 0.00842251, 0.00741252", \ + "0.00782712, 0.00854776, 0.00877341, 0.00882484, 0.0088023, 0.00852302, 0.00760363", \ + "0.00939313, 0.00857165, 0.00867654, 0.00852463, 0.00914533, 0.00823583, 0.00726288", \ + "0.0128606, 0.0097698, 0.00944351, 0.00934287, 0.00860562, 0.00891418, 0.00745859", \ + "0.020353, 0.0140916, 0.0128003, 0.01187, 0.0110068, 0.00911718, 0.00833445" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00869576, 0.101296, 0.163696, 0.266896, 0.439696, 0.727696, 1.2077"); + values ( \ + "0.0119745, 0.0117221, 0.0115814, 0.0113768, 0.0110585, 0.0110148, 0.0106793", \ + "0.0117834, 0.0115761, 0.011465, 0.0112968, 0.0108129, 0.0109012, 0.0105448", \ + "0.0117727, 0.0115767, 0.0116292, 0.0112214, 0.0107657, 0.0107891, 0.0108782", \ + "0.0117526, 0.0116172, 0.0115239, 0.0115089, 0.0107996, 0.0108101, 0.0108908", \ + "0.0117401, 0.0116234, 0.0114937, 0.0118147, 0.0111842, 0.0107982, 0.01105", \ + "0.0115844, 0.0115653, 0.0115393, 0.0110383, 0.011312, 0.0108227, 0.0120604", \ + "0.0114428, 0.0114659, 0.0115378, 0.0114408, 0.0110532, 0.0103959, 0.0117334" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00763681; + rise_capacitance : 0.00976931; + rise_capacitance_range (0.00976931, 0.00976931); + fall_capacitance : 0.00550431; + fall_capacitance_range (0.00550431, 0.00550431); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00850167; + rise_capacitance : 0.00985699; + rise_capacitance_range (0.00985699, 0.00985699); + fall_capacitance : 0.00714636; + fall_capacitance_range (0.00714636, 0.00714636); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00822597, -0.00853784, -0.00864525, -0.00866514, -0.00844463, -0.00777299, -0.00608593" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0112557, 0.0111622, 0.0111788, 0.011275, 0.0115619, 0.0124949, 0.0144388" \ + ); + } + } + } + } + cell (sg13g2_einvn_8) { + area : 39.9168; + cell_footprint : "ITL"; + cell_leakage_power : 2669.69; + leakage_power () { + value : 1299.58; + when : "!A&!TE_B"; + } + leakage_power () { + value : 4039.8; + when : "A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 2.4; + capacitance : 0.0220305; + rise_capacitance : 0.0153512; + rise_capacitance_range (0.0153512, 0.0153512); + fall_capacitance : 0.0287098; + fall_capacitance_range (0.0287098, 0.0287098); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0163512, 0.202551, 0.327351, 0.533751, 0.879351, 1.45535, 2.41535"); + values ( \ + "0.0350605, 0.248633, 0.388251, 0.621077, 1.01201, 1.66488, 2.754", \ + "0.0571069, 0.286632, 0.426686, 0.659455, 1.05031, 1.70343, 2.79154", \ + "0.0692145, 0.325826, 0.466299, 0.69901, 1.08951, 1.74259, 2.83105", \ + "0.0856497, 0.398189, 0.54362, 0.776334, 1.16564, 1.81879, 2.90796", \ + "0.1056, 0.513048, 0.681027, 0.928062, 1.32006, 1.97115, 3.06009", \ + "0.126267, 0.671619, 0.887868, 1.18183, 1.61071, 2.27162, 3.35622", \ + "0.148226, 0.881047, 1.17265, 1.56248, 2.08899, 2.83485, 3.96121" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0163512, 0.202551, 0.327351, 0.533751, 0.879351, 1.45535, 2.41535"); + values ( \ + "0.0220369, 0.31407, 0.509619, 0.83316, 1.37488, 2.27774, 3.78251", \ + "0.0367324, 0.314071, 0.509962, 0.833331, 1.37517, 2.27775, 3.78252", \ + "0.0505543, 0.319644, 0.510874, 0.833332, 1.37518, 2.27841, 3.78253", \ + "0.072155, 0.34519, 0.52471, 0.836885, 1.37564, 2.27842, 3.78351", \ + "0.105864, 0.415363, 0.584186, 0.874017, 1.3883, 2.2785, 3.78352", \ + "0.158635, 0.549007, 0.727179, 1.00524, 1.48003, 2.32001, 3.7973", \ + "0.250015, 0.765433, 0.985188, 1.29021, 1.76027, 2.53782, 3.91338" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297098, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.0314673, 0.197688, 0.304622, 0.48133, 0.777187, 1.27, 2.09151", \ + "0.0527869, 0.237964, 0.345222, 0.522203, 0.818129, 1.31115, 2.13311", \ + "0.065846, 0.279249, 0.387782, 0.564906, 0.860902, 1.35369, 2.17533", \ + "0.0831617, 0.35114, 0.469796, 0.6501, 0.945917, 1.43887, 2.26041", \ + "0.10365, 0.461372, 0.604492, 0.808456, 1.11751, 1.61165, 2.4322", \ + "0.130029, 0.619236, 0.806231, 1.05895, 1.41722, 1.94629, 2.7736", \ + "0.162246, 0.837676, 1.09568, 1.42984, 1.88693, 2.51613, 3.42736" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297098, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.015387, 0.226531, 0.368329, 0.602638, 0.995468, 1.64852, 2.73792", \ + "0.0293077, 0.227831, 0.369439, 0.602704, 0.995469, 1.64853, 2.73793", \ + "0.0415174, 0.238325, 0.372896, 0.605117, 0.99547, 1.6486, 2.73803", \ + "0.0614938, 0.273284, 0.398637, 0.616484, 0.997686, 1.64997, 2.73804", \ + "0.0923614, 0.346596, 0.472884, 0.677809, 1.03381, 1.66129, 2.73805", \ + "0.142546, 0.478663, 0.615228, 0.825598, 1.16847, 1.75048, 2.77501", \ + "0.227457, 0.698164, 0.866294, 1.1109, 1.4695, 2.04022, 2.9967" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0163512, 0.202551, 0.327351, 0.533751, 0.879351, 1.45535, 2.41535"); + values ( \ + "0.093424, 0.094409, 0.09441, 0.094411, 0.094412, 0.094413, 0.0944136", \ + "0.138709, 0.13871, 0.138711, 0.138712, 0.138713, 0.138714, 0.138715", \ + "0.173923, 0.173924, 0.173925, 0.173926, 0.173927, 0.173928, 0.173929", \ + "0.224986, 0.224987, 0.224988, 0.224989, 0.22499, 0.224991, 0.224992", \ + "0.302863, 0.302864, 0.302865, 0.302866, 0.302867, 0.302868, 0.302869", \ + "0.406332, 0.406333, 0.406334, 0.406335, 0.406336, 0.406337, 0.406338", \ + "0.539544, 0.539545, 0.539546, 0.539547, 0.539548, 0.539549, 0.53955" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0163512, 0.202551, 0.327351, 0.533751, 0.879351, 1.45535, 2.41535"); + values ( \ + "0.093424, 0.094409, 0.09441, 0.094411, 0.094412, 0.094413, 0.0944136", \ + "0.138709, 0.13871, 0.138711, 0.138712, 0.138713, 0.138714, 0.138715", \ + "0.173923, 0.173924, 0.173925, 0.173926, 0.173927, 0.173928, 0.173929", \ + "0.224986, 0.224987, 0.224988, 0.224989, 0.22499, 0.224991, 0.224992", \ + "0.302863, 0.302864, 0.302865, 0.302866, 0.302867, 0.302868, 0.302869", \ + "0.406332, 0.406333, 0.406334, 0.406335, 0.406336, 0.406337, 0.406338", \ + "0.539544, 0.539545, 0.539546, 0.539547, 0.539548, 0.539549, 0.53955" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0163512, 0.202551, 0.327351, 0.533751, 0.879351, 1.45535, 2.41535"); + values ( \ + "0.0454937, 0.25767, 0.399613, 0.6344, 1.02748, 1.68313, 2.77535", \ + "0.0772955, 0.295026, 0.436972, 0.672257, 1.06485, 1.72067, 2.81216", \ + "0.0964886, 0.328529, 0.470614, 0.705593, 1.09861, 1.75445, 2.84571", \ + "0.121286, 0.390265, 0.535428, 0.770535, 1.16376, 1.81903, 2.911", \ + "0.140393, 0.490383, 0.65025, 0.895046, 1.29052, 1.946, 3.03765", \ + "0.140394, 0.631776, 0.829077, 1.10737, 1.5284, 2.19343, 3.28594", \ + "0.140395, 0.810872, 1.07702, 1.43485, 1.93083, 2.65628, 3.78162" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0163512, 0.202551, 0.327351, 0.533751, 0.879351, 1.45535, 2.41535"); + values ( \ + "0.0226337, 0.313979, 0.509781, 0.833101, 1.37565, 2.27828, 3.78337", \ + "0.0286424, 0.31398, 0.510331, 0.833946, 1.37566, 2.27839, 3.78402", \ + "0.0367207, 0.316998, 0.51045, 0.833947, 1.37567, 2.27931, 3.7841", \ + "0.0530018, 0.332256, 0.5183, 0.835379, 1.37568, 2.27932, 3.78411", \ + "0.0856234, 0.378963, 0.556896, 0.859002, 1.38328, 2.27933, 3.78412", \ + "0.144242, 0.479191, 0.657773, 0.947676, 1.44332, 2.30499, 3.80663", \ + "0.257353, 0.670514, 0.861961, 1.16042, 1.64098, 2.45261, 3.86912" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0163512, 0.202551, 0.327351, 0.533751, 0.879351, 1.45535, 2.41535"); + values ( \ + "0.0160343, 0.0163845, 0.0150555, 0.0137724, 0.0123049, 0.0118889, 0.0115597", \ + "0.0154044, 0.0168284, 0.0158805, 0.0144432, 0.0129072, 0.0121311, 0.010883", \ + "0.0158266, 0.0170008, 0.0163796, 0.0148317, 0.0132885, 0.0123581, 0.0112399", \ + "0.0170226, 0.0172949, 0.0167819, 0.0163447, 0.0141712, 0.0128608, 0.0117294", \ + "0.0200785, 0.017798, 0.0175068, 0.0171198, 0.0153276, 0.0141189, 0.0129604", \ + "0.0271859, 0.0205638, 0.0197367, 0.0185679, 0.0181453, 0.0163561, 0.0159491", \ + "0.0425391, 0.0288746, 0.0265653, 0.0246788, 0.0220203, 0.0197474, 0.0210586" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0297098, 0.21591, 0.34071, 0.54711, 0.89271, 1.46871, 2.42871"); + values ( \ + "0.0150328, 0.0190107, 0.0188121, 0.0184887, 0.0179014, 0.0165027, 0.0143321", \ + "0.0140085, 0.0182336, 0.0186579, 0.0183389, 0.0178231, 0.0166359, 0.0146101", \ + "0.0142543, 0.0177167, 0.0180574, 0.0184208, 0.0175723, 0.0165075, 0.014676", \ + "0.0155279, 0.016734, 0.0174327, 0.0174637, 0.0173477, 0.0166708, 0.0145036", \ + "0.0186305, 0.0168864, 0.0171199, 0.0168457, 0.0180373, 0.0178883, 0.0142536", \ + "0.0255838, 0.0192419, 0.0185789, 0.0184308, 0.0168971, 0.0176003, 0.0156033", \ + "0.0406436, 0.0278029, 0.0252849, 0.0239078, 0.0216589, 0.017788, 0.0158673" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0163512, 0.202551, 0.327351, 0.533751, 0.879351, 1.45535, 2.41535"); + values ( \ + "0.0267102, 0.0262318, 0.0259925, 0.0255867, 0.0248801, 0.0249033, 0.0241452", \ + "0.0246941, 0.0242723, 0.0242254, 0.0237379, 0.0229047, 0.0228538, 0.0223564", \ + "0.0244275, 0.0241045, 0.0244421, 0.0233562, 0.0225217, 0.0227289, 0.0221092", \ + "0.0244457, 0.0241874, 0.0239182, 0.0240031, 0.0226371, 0.0224519, 0.0229738", \ + "0.0243248, 0.0241722, 0.0237535, 0.023893, 0.0232212, 0.0222705, 0.0218413", \ + "0.0244013, 0.0243608, 0.0243309, 0.0235041, 0.023869, 0.0226153, 0.0251726", \ + "0.0238679, 0.0239017, 0.0238711, 0.0241656, 0.022941, 0.0216549, 0.0222175" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0149966; + rise_capacitance : 0.01924; + rise_capacitance_range (0.01924, 0.01924); + fall_capacitance : 0.0107532; + fall_capacitance_range (0.0107532, 0.0107532); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0145066; + rise_capacitance : 0.0166423; + rise_capacitance_range (0.0166423, 0.0166423); + fall_capacitance : 0.0123708; + fall_capacitance_range (0.0123708, 0.0123708); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0179213, -0.0196017, -0.0198047, -0.0198879, -0.0201992, -0.0208174, -0.0200986" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0179213, 0.0196017, 0.0198047, 0.0198879, 0.0201992, 0.0208174, 0.0228057" \ + ); + } + } + } + } + cell (sg13g2_fill_1) { + area : 1.8144; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_2) { + area : 3.6288; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_4) { + area : 7.2576; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_8) { + area : 14.5152; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_inv_1) { + area : 5.4432; + cell_footprint : "IN"; + cell_leakage_power : 306.497; + leakage_power () { + value : 477.703; + when : "A&!Y"; + } + leakage_power () { + value : 135.291; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0282394, 0.128116, 0.195676, 0.307295, 0.494296, 0.805502, 1.32468", \ + "0.0557222, 0.172386, 0.240122, 0.351921, 0.53887, 0.850339, 1.36929", \ + "0.0717316, 0.215233, 0.286111, 0.398541, 0.58546, 0.897298, 1.41628", \ + "0.0936368, 0.285961, 0.368395, 0.488361, 0.67651, 0.987313, 1.50626", \ + "0.119945, 0.390689, 0.498487, 0.643639, 0.85158, 1.16887, 1.6865", \ + "0.146886, 0.529836, 0.683657, 0.880627, 1.14031, 1.50336, 2.04389", \ + "0.174995, 0.717653, 0.932163, 1.21439, 1.57908, 2.04745, 2.68779" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179809, 0.156333, 0.252716, 0.412588, 0.680131, 1.12693, 1.87091", \ + "0.035279, 0.159423, 0.253134, 0.413004, 0.680132, 1.12694, 1.87092", \ + "0.0514762, 0.174381, 0.262167, 0.415722, 0.68044, 1.12695, 1.87093", \ + "0.0768065, 0.212881, 0.294336, 0.435498, 0.687974, 1.12698, 1.87094", \ + "0.115701, 0.287911, 0.373326, 0.50674, 0.738561, 1.15002, 1.87476", \ + "0.174928, 0.416935, 0.518974, 0.665742, 0.889081, 1.26767, 1.93748", \ + "0.273895, 0.613923, 0.759431, 0.948861, 1.20439, 1.58158, 2.20283" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0276539, 0.112755, 0.170197, 0.265145, 0.424127, 0.689217, 1.13088", \ + "0.0547926, 0.16187, 0.220362, 0.315315, 0.47436, 0.73939, 1.18111", \ + "0.0716606, 0.205064, 0.268443, 0.365468, 0.524581, 0.789496, 1.23245", \ + "0.0946299, 0.274739, 0.35136, 0.460639, 0.624879, 0.889657, 1.33088", \ + "0.122854, 0.377878, 0.480196, 0.616114, 0.807455, 1.08974, 1.53385", \ + "0.159822, 0.526228, 0.667672, 0.85231, 1.09738, 1.4344, 1.92188", \ + "0.207577, 0.727198, 0.937752, 1.19894, 1.5373, 1.98097, 2.58054" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149505, 0.124352, 0.201664, 0.329262, 0.543113, 0.899444, 1.49421", \ + "0.0314846, 0.131857, 0.204815, 0.329815, 0.543114, 0.899457, 1.49422", \ + "0.0454455, 0.150026, 0.218104, 0.336858, 0.544983, 0.900672, 1.49471", \ + "0.0680676, 0.190556, 0.258422, 0.367935, 0.562001, 0.903809, 1.49472", \ + "0.103839, 0.266384, 0.338305, 0.450979, 0.63389, 0.950697, 1.51065", \ + "0.159872, 0.390123, 0.481, 0.604089, 0.797785, 1.10437, 1.61942", \ + "0.251495, 0.599732, 0.718622, 0.876609, 1.09682, 1.43155, 1.94025" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00131722, 0.00163415, 0.00159653, 0.00154063, 0.00145671, 0.00129057, 0.00133962", \ + "0.00118224, 0.00146392, 0.00146889, 0.00148504, 0.00138869, 0.00124417, 0.0012721", \ + "0.00122043, 0.00136522, 0.00148189, 0.00146637, 0.00134808, 0.00124752, 0.00121994", \ + "0.00138541, 0.00132461, 0.00135117, 0.00146148, 0.00133337, 0.00117941, 0.00115574", \ + "0.00184396, 0.00149607, 0.00145558, 0.00135089, 0.00138864, 0.00128214, 0.0012129", \ + "0.0027236, 0.00190843, 0.0017586, 0.00164691, 0.00139895, 0.0013106, 0.00119026", \ + "0.00475314, 0.00310134, 0.00274815, 0.00247808, 0.00216004, 0.00173233, 0.00118575" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00139599, 0.0016709, 0.00165246, 0.00160345, 0.00152707, 0.00138587, 0.00116077", \ + "0.0011947, 0.00152871, 0.0015678, 0.00153823, 0.0014743, 0.00135046, 0.00112635", \ + "0.00121408, 0.00143557, 0.00149524, 0.00151229, 0.0014685, 0.00141211, 0.0011844", \ + "0.00136542, 0.0014153, 0.00143093, 0.00144435, 0.00153808, 0.00129211, 0.00105509", \ + "0.00176601, 0.00149468, 0.00149273, 0.00147926, 0.00132757, 0.00141915, 0.00115432", \ + "0.0026902, 0.00190617, 0.00177827, 0.00161652, 0.00161733, 0.00130158, 0.00119595", \ + "0.0046743, 0.00318872, 0.00280113, 0.00238596, 0.00219602, 0.00195127, 0.0013326" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00272035; + rise_capacitance : 0.00277749; + rise_capacitance_range (0.00277749, 0.00277749); + fall_capacitance : 0.0026632; + fall_capacitance_range (0.0026632, 0.0026632); + } + } + cell (sg13g2_inv_16) { + area : 34.4736; + cell_footprint : "IN"; + cell_leakage_power : 4902.83; + leakage_power () { + value : 7643.11; + when : "A&!Y"; + } + leakage_power () { + value : 2162.56; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 4.8; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0220239, 0.127694, 0.195526, 0.307632, 0.495321, 0.808132, 1.32947", \ + "0.0434476, 0.171923, 0.239909, 0.352293, 0.540498, 0.853318, 1.37416", \ + "0.0539666, 0.214748, 0.285923, 0.398829, 0.586582, 0.899425, 1.42115", \ + "0.0684399, 0.285387, 0.3682, 0.488714, 0.677851, 0.98998, 1.51119", \ + "0.0848767, 0.389423, 0.498029, 0.643658, 0.852469, 1.17122, 1.6908", \ + "0.0996306, 0.527794, 0.682599, 0.880375, 1.1408, 1.50517, 2.0477", \ + "0.111799, 0.7146, 0.930175, 1.21402, 1.57984, 2.04949, 2.69051" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0111306, 0.155391, 0.252565, 0.413493, 0.682816, 1.13171, 1.88045", \ + "0.0271864, 0.158832, 0.253165, 0.413945, 0.683493, 1.13189, 1.88046", \ + "0.0401266, 0.173777, 0.26217, 0.416489, 0.683494, 1.1319, 1.88047", \ + "0.0611244, 0.212237, 0.294217, 0.436366, 0.690366, 1.13191, 1.88048", \ + "0.093544, 0.286917, 0.373299, 0.507589, 0.740333, 1.15512, 1.88402", \ + "0.143851, 0.415699, 0.519437, 0.666282, 0.890862, 1.27214, 1.94609", \ + "0.231414, 0.613319, 0.756621, 0.949422, 1.20522, 1.58578, 2.21196" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0218139, 0.112568, 0.170323, 0.265801, 0.425704, 0.692165, 1.13662", \ + "0.0431184, 0.161598, 0.220441, 0.315931, 0.475908, 0.74249, 1.18659", \ + "0.055495, 0.204509, 0.268438, 0.366162, 0.526168, 0.79261, 1.23781", \ + "0.0720436, 0.274095, 0.351234, 0.461187, 0.62627, 0.892514, 1.33631", \ + "0.0913659, 0.376824, 0.479856, 0.616485, 0.808817, 1.09247, 1.53901", \ + "0.116578, 0.524525, 0.666861, 0.852974, 1.0986, 1.43699, 1.92722", \ + "0.148503, 0.724311, 0.936188, 1.19886, 1.53836, 1.98293, 2.58549" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00955017, 0.124036, 0.201923, 0.330609, 0.545893, 0.904855, 1.50317", \ + "0.0242567, 0.131464, 0.205102, 0.331019, 0.545894, 0.904856, 1.50439", \ + "0.0365556, 0.150036, 0.21834, 0.338012, 0.547581, 0.906043, 1.5044", \ + "0.055456, 0.190431, 0.258436, 0.369028, 0.564279, 0.90871, 1.50441", \ + "0.0843617, 0.265984, 0.338211, 0.451899, 0.63617, 0.954921, 1.52091", \ + "0.13163, 0.38948, 0.480978, 0.605103, 0.800261, 1.11006, 1.62875", \ + "0.213005, 0.598378, 0.718274, 0.877069, 1.09942, 1.43486, 1.94962" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0179129, 0.024589, 0.0242631, 0.0233923, 0.0219362, 0.0190329, 0.0194401", \ + "0.0171802, 0.0222427, 0.0222792, 0.0225414, 0.021645, 0.018649, 0.0187129", \ + "0.0183322, 0.0206572, 0.0226424, 0.0222922, 0.0205053, 0.0181169, 0.0191422", \ + "0.0217018, 0.0199744, 0.0201309, 0.0222135, 0.0210886, 0.0176822, 0.0182344", \ + "0.0299198, 0.0227365, 0.0220683, 0.0203707, 0.0216567, 0.0174419, 0.0177621", \ + "0.0454291, 0.0294118, 0.0273902, 0.0251469, 0.0210515, 0.0199161, 0.0172524", \ + "0.0796896, 0.0487217, 0.042422, 0.0388095, 0.0334814, 0.0262061, 0.0169872" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0161574, 0.0229896, 0.0226123, 0.0218396, 0.0206536, 0.0184411, 0.0144913", \ + "0.0146151, 0.0206212, 0.0212219, 0.0206683, 0.0199011, 0.0178249, 0.0146206", \ + "0.0155935, 0.0192717, 0.0202614, 0.0210383, 0.0213724, 0.0187784, 0.0153346", \ + "0.0188269, 0.019006, 0.0190203, 0.0191839, 0.0196508, 0.0194349, 0.0131099", \ + "0.0261111, 0.0202551, 0.0199196, 0.0199418, 0.0175592, 0.0186947, 0.0142381", \ + "0.0420516, 0.0270775, 0.0248575, 0.0225334, 0.022278, 0.0172397, 0.0151717", \ + "0.0753087, 0.0474486, 0.0413202, 0.0348316, 0.0315583, 0.0267067, 0.0182578" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.043447; + rise_capacitance : 0.0443473; + rise_capacitance_range (0.0443473, 0.0443473); + fall_capacitance : 0.0425468; + fall_capacitance_range (0.0425468, 0.0425468); + } + } + cell (sg13g2_inv_2) { + area : 7.2576; + cell_footprint : "IN"; + cell_leakage_power : 612.849; + leakage_power () { + value : 955.378; + when : "A&!Y"; + } + leakage_power () { + value : 270.321; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0239448, 0.126794, 0.194379, 0.306025, 0.49318, 0.804553, 1.32375", \ + "0.0474535, 0.171072, 0.23876, 0.350665, 0.53767, 0.849322, 1.36895", \ + "0.0598501, 0.213712, 0.284782, 0.397337, 0.584435, 0.89589, 1.41537", \ + "0.0767479, 0.284226, 0.366885, 0.487036, 0.675374, 0.986274, 1.50555", \ + "0.0963621, 0.388199, 0.496594, 0.642184, 0.850515, 1.16782, 1.6852", \ + "0.11506, 0.526214, 0.680953, 0.878668, 1.13843, 1.50217, 2.04299", \ + "0.131957, 0.712282, 0.928446, 1.21132, 1.57702, 2.04595, 2.6855" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0130967, 0.154375, 0.250835, 0.410905, 0.678605, 1.12515, 1.87003", \ + "0.0297709, 0.157527, 0.251279, 0.411155, 0.678606, 1.12526, 1.87004", \ + "0.0438285, 0.172636, 0.260349, 0.413969, 0.67883, 1.12527, 1.87005", \ + "0.0664501, 0.211283, 0.293065, 0.433885, 0.686418, 1.12669, 1.87006", \ + "0.100954, 0.286031, 0.371773, 0.505658, 0.737018, 1.14879, 1.87397", \ + "0.154136, 0.414974, 0.517881, 0.66407, 0.887933, 1.26627, 1.9367", \ + "0.244904, 0.612109, 0.756185, 0.946757, 1.20124, 1.58112, 2.20289" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0236068, 0.11166, 0.169111, 0.264103, 0.42314, 0.688245, 1.13028", \ + "0.0468443, 0.160731, 0.219227, 0.314243, 0.473339, 0.738503, 1.1804", \ + "0.0608065, 0.203722, 0.267255, 0.364452, 0.523573, 0.788647, 1.23161", \ + "0.0794702, 0.273031, 0.349998, 0.459463, 0.623952, 0.888786, 1.33016", \ + "0.101675, 0.375513, 0.478391, 0.614634, 0.806501, 1.08881, 1.53302", \ + "0.130506, 0.522611, 0.665122, 0.850507, 1.09596, 1.43314, 1.92121", \ + "0.16775, 0.722146, 0.933861, 1.19608, 1.53461, 1.97915, 2.579" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.011112, 0.122829, 0.200096, 0.327901, 0.541923, 0.899084, 1.49413", \ + "0.0266016, 0.130356, 0.203281, 0.328424, 0.542044, 0.899085, 1.49414", \ + "0.0392694, 0.14877, 0.216765, 0.335574, 0.543432, 0.899086, 1.49415", \ + "0.0595672, 0.189332, 0.257167, 0.366705, 0.560893, 0.902971, 1.49416", \ + "0.0906684, 0.264451, 0.336824, 0.450007, 0.632822, 0.949912, 1.51061", \ + "0.140792, 0.388508, 0.479673, 0.602873, 0.796941, 1.10342, 1.61927", \ + "0.225995, 0.596647, 0.716309, 0.875015, 1.09689, 1.42866, 1.94068" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00219792, 0.00299171, 0.00292563, 0.00281619, 0.00261648, 0.00224773, 0.00234935", \ + "0.00203852, 0.00267438, 0.002653, 0.00268728, 0.0024898, 0.00221554, 0.00232557", \ + "0.00217369, 0.00245049, 0.00266674, 0.00267005, 0.00243256, 0.00213601, 0.0021941", \ + "0.00255379, 0.00238418, 0.00240776, 0.00254819, 0.00235584, 0.00219808, 0.0021181", \ + "0.0035398, 0.00271425, 0.00262669, 0.00245899, 0.0026006, 0.00225341, 0.00221753", \ + "0.00542395, 0.0035762, 0.00329487, 0.00300467, 0.00252158, 0.00236923, 0.00210958", \ + "0.00963256, 0.00597659, 0.00524751, 0.00468415, 0.00396339, 0.00319046, 0.00205026" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00206208, 0.00281111, 0.00275983, 0.0026804, 0.00252535, 0.00225552, 0.00185026", \ + "0.00179075, 0.00252484, 0.00260438, 0.00256603, 0.00244811, 0.00219207, 0.00172851", \ + "0.00188357, 0.00235433, 0.00246208, 0.00250566, 0.00240524, 0.0022226, 0.00178694", \ + "0.00225562, 0.00230327, 0.00236807, 0.0023465, 0.00262417, 0.0023465, 0.00158743", \ + "0.00313298, 0.00244263, 0.00242965, 0.00248061, 0.00215876, 0.00231272, 0.00183827", \ + "0.00507884, 0.0033067, 0.00302707, 0.00270306, 0.00273256, 0.00197402, 0.00183508", \ + "0.00918451, 0.0058776, 0.00508741, 0.00426913, 0.00391268, 0.00331253, 0.00227657" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00531274; + rise_capacitance : 0.00542607; + rise_capacitance_range (0.00542607, 0.00542607); + fall_capacitance : 0.0051994; + fall_capacitance_range (0.0051994, 0.0051994); + } + } + cell (sg13g2_inv_4) { + area : 10.8864; + cell_footprint : "IN"; + cell_leakage_power : 1225.71; + leakage_power () { + value : 1910.78; + when : "A&!Y"; + } + leakage_power () { + value : 540.642; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 1.2; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0224614, 0.127003, 0.194734, 0.306697, 0.49424, 0.806359, 1.32704", \ + "0.0445397, 0.171247, 0.23915, 0.351274, 0.538792, 0.851226, 1.37162", \ + "0.0555378, 0.213964, 0.285092, 0.397917, 0.585331, 0.897762, 1.41873", \ + "0.0707836, 0.284397, 0.367197, 0.487634, 0.676396, 0.98808, 1.50864", \ + "0.0881332, 0.388467, 0.496977, 0.642758, 0.851177, 1.16948, 1.68852", \ + "0.104008, 0.526452, 0.681369, 0.879313, 1.13964, 1.50392, 2.0459", \ + "0.117363, 0.712679, 0.928554, 1.21223, 1.57846, 2.04787, 2.6877" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0116409, 0.154477, 0.251403, 0.411791, 0.680235, 1.12795, 1.87474", \ + "0.0278929, 0.157878, 0.251898, 0.412163, 0.680397, 1.12803, 1.87475", \ + "0.0412433, 0.172894, 0.260943, 0.414884, 0.680622, 1.12804, 1.87476", \ + "0.0626938, 0.211557, 0.293308, 0.434788, 0.687881, 1.13277, 1.87477", \ + "0.0957175, 0.286979, 0.372321, 0.506223, 0.738537, 1.15152, 1.87816", \ + "0.146822, 0.415288, 0.518379, 0.664884, 0.889346, 1.26904, 1.94098", \ + "0.235077, 0.612336, 0.755557, 0.948437, 1.20201, 1.58374, 2.20687" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0222403, 0.112181, 0.169997, 0.265555, 0.425616, 0.692471, 1.13725", \ + "0.0441078, 0.16119, 0.220026, 0.315671, 0.475736, 0.742638, 1.1872", \ + "0.056988, 0.204066, 0.268058, 0.365841, 0.526103, 0.792734, 1.23843", \ + "0.0741391, 0.273552, 0.35082, 0.460844, 0.626131, 0.892751, 1.33689", \ + "0.0943181, 0.376132, 0.479348, 0.616151, 0.808606, 1.0926, 1.53955", \ + "0.120498, 0.52336, 0.666243, 0.851798, 1.09844, 1.43711, 1.92738", \ + "0.154049, 0.722975, 0.935376, 1.198, 1.53814, 1.98369, 2.5852" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00997442, 0.123573, 0.201346, 0.329939, 0.545307, 0.904283, 1.50236", \ + "0.0248683, 0.131137, 0.204531, 0.330449, 0.545457, 0.904284, 1.50332", \ + "0.0372616, 0.149517, 0.218041, 0.337491, 0.546906, 0.905281, 1.50418", \ + "0.0567384, 0.190046, 0.258222, 0.368702, 0.563613, 0.9082, 1.50419", \ + "0.0862939, 0.265503, 0.337874, 0.452096, 0.635772, 0.95406, 1.51885", \ + "0.1343, 0.389223, 0.480837, 0.604793, 0.7994, 1.10798, 1.62748", \ + "0.216781, 0.597607, 0.717455, 0.878081, 1.09854, 1.43554, 1.95172" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00432154, 0.00598975, 0.00588365, 0.00567709, 0.00533093, 0.00520101, 0.00484382", \ + "0.00409472, 0.0052831, 0.00535714, 0.00542692, 0.00507537, 0.0044427, 0.00456728", \ + "0.00436319, 0.00494935, 0.00545387, 0.00533729, 0.00489919, 0.00432276, 0.00458764", \ + "0.0051891, 0.00480482, 0.0048982, 0.00510798, 0.00481808, 0.00490088, 0.00424968", \ + "0.00722739, 0.00555369, 0.00529062, 0.00492893, 0.00505138, 0.00451491, 0.00429153", \ + "0.0110578, 0.00719685, 0.00663987, 0.00607487, 0.0050674, 0.00481267, 0.0043005", \ + "0.0196457, 0.0118582, 0.0104069, 0.00943518, 0.00795468, 0.00636781, 0.00401019" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00394317, 0.00558279, 0.00550723, 0.00530288, 0.00501207, 0.00444779, 0.00349511", \ + "0.00348956, 0.0050171, 0.0051471, 0.00523934, 0.00487028, 0.00427439, 0.0033893", \ + "0.00372123, 0.00467248, 0.00492449, 0.00505962, 0.00522898, 0.00450343, 0.00365916", \ + "0.0045089, 0.00459192, 0.00467244, 0.00462706, 0.00477785, 0.00468471, 0.00313352", \ + "0.00631578, 0.00488999, 0.00481695, 0.00498851, 0.00423307, 0.00455393, 0.00329087", \ + "0.0102607, 0.00651969, 0.00603525, 0.00535536, 0.0053941, 0.00400431, 0.00354607", \ + "0.0185449, 0.0116849, 0.010138, 0.00848724, 0.00759457, 0.0067177, 0.00448629" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0105846; + rise_capacitance : 0.010808; + rise_capacitance_range (0.010808, 0.010808); + fall_capacitance : 0.0103611; + fall_capacitance_range (0.0103611, 0.0103611); + } + } + cell (sg13g2_inv_8) { + area : 18.144; + cell_footprint : "IN"; + cell_leakage_power : 2451.44; + leakage_power () { + value : 3821.6; + when : "A&!Y"; + } + leakage_power () { + value : 1081.28; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 2.4; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0218835, 0.127228, 0.194996, 0.306998, 0.494477, 0.807105, 1.32776", \ + "0.0431759, 0.171461, 0.239387, 0.351508, 0.539446, 0.851734, 1.37247", \ + "0.0535986, 0.214175, 0.285356, 0.398244, 0.58576, 0.898433, 1.41943", \ + "0.0680382, 0.28445, 0.367172, 0.48765, 0.676975, 0.988936, 1.50943", \ + "0.0843141, 0.388758, 0.497346, 0.642964, 0.85191, 1.17008, 1.68895", \ + "0.098886, 0.526753, 0.681674, 0.879644, 1.14015, 1.50447, 2.04686", \ + "0.11081, 0.713053, 0.928908, 1.21261, 1.57903, 2.04828, 2.68904" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0110364, 0.154915, 0.251625, 0.412112, 0.680787, 1.12871, 1.87535", \ + "0.0271043, 0.158017, 0.252155, 0.412329, 0.681125, 1.12874, 1.87536", \ + "0.0399947, 0.173018, 0.261159, 0.415221, 0.681126, 1.12913, 1.87537", \ + "0.060888, 0.211695, 0.293463, 0.435176, 0.688438, 1.12971, 1.87538", \ + "0.0932053, 0.287114, 0.37246, 0.506483, 0.738789, 1.15224, 1.87911", \ + "0.143399, 0.415465, 0.518578, 0.665157, 0.889488, 1.26965, 1.94225", \ + "0.230766, 0.61253, 0.755803, 0.948739, 1.20469, 1.58324, 2.20773" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0217021, 0.112362, 0.170199, 0.26581, 0.425919, 0.692856, 1.13779", \ + "0.0429291, 0.161366, 0.220292, 0.315894, 0.475977, 0.743032, 1.18765", \ + "0.0553067, 0.204422, 0.268245, 0.366066, 0.526401, 0.793169, 1.23893", \ + "0.0716882, 0.273764, 0.351038, 0.461138, 0.626434, 0.893101, 1.33741", \ + "0.090921, 0.376394, 0.479517, 0.61644, 0.808901, 1.09298, 1.54007", \ + "0.115869, 0.523612, 0.666548, 0.852279, 1.09873, 1.43745, 1.92788", \ + "0.147693, 0.723305, 0.935469, 1.19828, 1.53835, 1.9841, 2.58568" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00947749, 0.123775, 0.201581, 0.330357, 0.545593, 0.904557, 1.50299", \ + "0.0241502, 0.131263, 0.204738, 0.33069, 0.546278, 0.904611, 1.50324", \ + "0.0363904, 0.149515, 0.218039, 0.337682, 0.547549, 0.905816, 1.50488", \ + "0.0552594, 0.190169, 0.258355, 0.368748, 0.564148, 0.908618, 1.50489", \ + "0.0841145, 0.265669, 0.337921, 0.451667, 0.635952, 0.954547, 1.52002", \ + "0.131165, 0.389355, 0.481024, 0.605221, 0.799921, 1.10831, 1.62836", \ + "0.212448, 0.597781, 0.718046, 0.877205, 1.09994, 1.43586, 1.94867" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00854981, 0.0121229, 0.01178, 0.0113908, 0.0105881, 0.0104623, 0.00950012", \ + "0.00819307, 0.0107605, 0.0107596, 0.0108184, 0.010183, 0.00889385, 0.00921819", \ + "0.00877161, 0.00991385, 0.0107199, 0.010523, 0.0098881, 0.00891698, 0.00905459", \ + "0.0104687, 0.00974937, 0.00970729, 0.0104533, 0.00971385, 0.00876573, 0.0085297", \ + "0.0145695, 0.0111694, 0.0107101, 0.00979191, 0.0105186, 0.00839471, 0.00850548", \ + "0.0223473, 0.0144184, 0.0132893, 0.0122043, 0.0103148, 0.00965793, 0.00864915", \ + "0.039451, 0.0237452, 0.0208253, 0.0189997, 0.0164718, 0.0131901, 0.00809645" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00774723, 0.0112354, 0.0110445, 0.0107032, 0.0100434, 0.00896612, 0.00700515", \ + "0.0069813, 0.0100428, 0.0103473, 0.0100713, 0.0100535, 0.00861285, 0.00675007", \ + "0.00747272, 0.00929781, 0.00981001, 0.00984231, 0.0095381, 0.00910218, 0.00753246", \ + "0.00909516, 0.00918253, 0.0092746, 0.00935371, 0.0101649, 0.00939331, 0.00629464", \ + "0.012749, 0.00980826, 0.00966092, 0.00973091, 0.00847835, 0.0092004, 0.00609707", \ + "0.02072, 0.0131929, 0.0121021, 0.0107338, 0.0107484, 0.00784014, 0.00753357", \ + "0.0373555, 0.0233936, 0.0202756, 0.0170455, 0.0153759, 0.0135159, 0.0083225" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0211664; + rise_capacitance : 0.0216137; + rise_capacitance_range (0.0216137, 0.0216137); + fall_capacitance : 0.0207192; + fall_capacitance_range (0.0207192, 0.0207192); + } + } + cell (sg13g2_lgcp_1) { + area : 27.216; + cell_footprint : "gclk"; + clock_gating_integrated_cell : "latch_posedge"; + dont_use : true; + cell_leakage_power : 1811.98; + leakage_power () { + value : 1866.65; + when : "!CLK&GATE&!GCLK"; + } + leakage_power () { + value : 1934.02; + when : "CLK&GATE&GCLK"; + } + leakage_power () { + value : 1635.28; + when : "!GATE&!GCLK"; + } + statetable ("CLK GATE", "int_GATE") { + table : "L L : - : L ,\ + L H : - : H ,\ + H - : - : N "; + } + pin (int_GATE) { + direction : "internal"; + internal_node : "int_GATE"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : "output"; + state_function : "CLK * int_GATE"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.11287, 0.220547, 0.288478, 0.400621, 0.587579, 0.899198, 1.41838", \ + "0.147819, 0.255588, 0.323759, 0.435729, 0.624645, 0.93477, 1.45405", \ + "0.17614, 0.285242, 0.353439, 0.465535, 0.652646, 0.965783, 1.48345", \ + "0.220774, 0.332674, 0.401163, 0.513221, 0.700342, 1.01201, 1.53822", \ + "0.283803, 0.402322, 0.470747, 0.583103, 0.770636, 1.08199, 1.60096", \ + "0.366842, 0.500398, 0.56928, 0.681347, 0.868475, 1.18045, 1.69921", \ + "0.47548, 0.636566, 0.708111, 0.82123, 1.00847, 1.31945, 1.83882" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0296051, 0.162506, 0.258528, 0.418102, 0.686008, 1.13227, 1.87616", \ + "0.0306197, 0.162594, 0.258529, 0.41878, 0.687707, 1.13228, 1.87617", \ + "0.0327307, 0.16318, 0.258806, 0.418781, 0.687708, 1.13404, 1.87618", \ + "0.0374544, 0.164883, 0.259984, 0.418916, 0.687709, 1.13405, 1.88272", \ + "0.0477088, 0.168687, 0.262062, 0.420228, 0.68771, 1.13406, 1.88273", \ + "0.064962, 0.178182, 0.267784, 0.422976, 0.688872, 1.1343, 1.88274", \ + "0.08976, 0.200806, 0.282948, 0.432098, 0.69405, 1.1376, 1.88275" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.090441, 0.182677, 0.240807, 0.33621, 0.495541, 0.760841, 1.20278", \ + "0.131562, 0.224364, 0.282554, 0.378068, 0.537463, 0.80275, 1.24505", \ + "0.164439, 0.258635, 0.316924, 0.412442, 0.571856, 0.837262, 1.27914", \ + "0.214066, 0.31121, 0.36979, 0.465433, 0.625109, 0.890462, 1.33242", \ + "0.282711, 0.385536, 0.444109, 0.539885, 0.699465, 0.964875, 1.40671", \ + "0.367188, 0.482452, 0.541216, 0.636608, 0.796255, 1.06163, 1.50379", \ + "0.474687, 0.612515, 0.67302, 0.768639, 0.92747, 1.19238, 1.63426" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0243449, 0.131121, 0.207888, 0.335612, 0.549016, 0.905588, 1.49972", \ + "0.0254743, 0.131323, 0.207889, 0.335871, 0.549219, 0.905777, 1.50057", \ + "0.028304, 0.132184, 0.208514, 0.335872, 0.552684, 0.906064, 1.50058", \ + "0.0342681, 0.134369, 0.209824, 0.336445, 0.552685, 0.906065, 1.50075", \ + "0.0443164, 0.138848, 0.212732, 0.338481, 0.552686, 0.90702, 1.50088", \ + "0.061692, 0.147847, 0.21841, 0.342059, 0.553586, 0.909131, 1.50163", \ + "0.087539, 0.169226, 0.232679, 0.350277, 0.558997, 0.912558, 1.50463" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00809144, 0.00846904, 0.00845164, 0.00840894, 0.00834404, 0.00815274, 0.00825064", \ + "0.00793232, 0.00826212, 0.00827662, 0.00827892, 0.00828992, 0.00801922, 0.00803272", \ + "0.00789026, 0.00819136, 0.00823846, 0.00817746, 0.00812996, 0.00807006, 0.00799366", \ + "0.00783921, 0.00810531, 0.00810791, 0.00822431, 0.00805131, 0.00796161, 0.00840251", \ + "0.00789412, 0.00808612, 0.00814622, 0.00813722, 0.00811612, 0.00802542, 0.00807172", \ + "0.00830146, 0.00838646, 0.00842926, 0.00847286, 0.00852066, 0.00826556, 0.00867396", \ + "0.00947499, 0.00940099, 0.00942819, 0.00947959, 0.00953309, 0.00960529, 0.00938259" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00637465, 0.00675295, 0.00674245, 0.00672125, 0.00663815, 0.00650115, 0.00627265", \ + "0.00624727, 0.00664367, 0.00662737, 0.00665557, 0.00655897, 0.00640257, 0.00622647", \ + "0.00620905, 0.00652135, 0.00659165, 0.00661675, 0.00667945, 0.00636905, 0.00613875", \ + "0.00626606, 0.00655786, 0.00655566, 0.00658666, 0.00668316, 0.00668576, 0.00623616", \ + "0.00657882, 0.00675972, 0.00681502, 0.00684962, 0.00671612, 0.00694752, 0.00642822", \ + "0.0071537, 0.0072109, 0.0072749, 0.007328, 0.0073499, 0.0071371, 0.0071904", \ + "0.0087014, 0.0085108, 0.0084939, 0.0085121, 0.0085908, 0.008564, 0.0084121" \ + ); + } + } + } + pin (CLK) { + clock_gate_clock_pin : true; + direction : "input"; + clock : true; + max_transition : 2.5074; + capacitance : 0.00458279; + rise_capacitance : 0.00459368; + rise_capacitance_range (0.00459368, 0.00459368); + fall_capacitance : 0.0045719; + fall_capacitance_range (0.0045719, 0.0045719); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.362854, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.157928, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00439236, 0.00409798, 0.00402644, 0.00406119, 0.00432848, 0.00500024, 0.00659671" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00515185, 0.00481743, 0.00477405, 0.00485294, 0.00509118, 0.0057362, 0.0074065" \ + ); + } + } + } + pin (GATE) { + clock_gate_enable_pin : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00216298; + rise_capacitance : 0.0022926; + rise_capacitance_range (0.0022926, 0.0022926); + fall_capacitance : 0.00203335; + fall_capacitance_range (0.00203335, 0.00203335); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0624406, -0.0130597, 0.000999033, 0.0121144", \ + "-0.218345, -0.144976, -0.120207, -0.107806", \ + "-0.332758, -0.248683, -0.218568, -0.202105", \ + "-0.456998, -0.367639, -0.33746, -0.314479" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0262347, 0.112212, 0.188739, 0.264901", \ + "-0.164819, -0.0183833, 0.0728535, 0.158297", \ + "-0.26332, -0.114172, -0.0215869, 0.0719148", \ + "-0.350549, -0.208111, -0.111464, -0.0154407" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.118461, 0.0545001, 0.0581518, 0.0857462", \ + "0.288539, 0.204592, 0.198956, 0.223839", \ + "0.427914, 0.330687, 0.318407, 0.343353", \ + "0.585906, 0.477973, 0.461758, 0.485228" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0697418, -0.0766136, -0.157877, -0.224659", \ + "0.222456, 0.0681116, -0.0224394, -0.107923", \ + "0.340474, 0.180845, 0.0836493, -0.00976587", \ + "0.450035, 0.291694, 0.190563, 0.0916743" \ + ); + } + } + internal_power () { + when : "!CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0148449, 0.0154245, 0.0155843, 0.015635, 0.0156885, 0.016073, 0.0172192" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00893876, 0.0141139, 0.0202299, 0.0220293, 0.0223087, 0.0227783, 0.0240102" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0148449, 0.0154245, 0.0155843, 0.015635, 0.0156885, 0.016073, 0.0172192" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00893876, 0.0141139, 0.0202299, 0.0220293, 0.0223087, 0.0227783, 0.0240102" \ + ); + } + } + } + } + cell (sg13g2_mux2_1) { + area : 18.144; + cell_footprint : "mux2"; + cell_leakage_power : 1057; + leakage_power () { + value : 751.577; + when : "!A0&!A1&!S"; + } + leakage_power () { + value : 1224.06; + when : "A0&!A1&!S"; + } + leakage_power () { + value : 831.177; + when : "!A0&A1&!S"; + } + leakage_power () { + value : 885.292; + when : "A0&A1&!S"; + } + leakage_power () { + value : 1019.52; + when : "!A0&!A1&S"; + } + leakage_power () { + value : 1099.22; + when : "A0&!A1&S"; + } + leakage_power () { + value : 1491.98; + when : "!A0&A1&S"; + } + leakage_power () { + value : 1153.19; + when : "A0&A1&S"; + } + pin (X) { + direction : "output"; + function : "(!S*A0)+(S*A1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.121485, 0.229532, 0.298026, 0.410082, 0.597712, 0.909534, 1.42884", \ + "0.160292, 0.268385, 0.336887, 0.449133, 0.636627, 0.949051, 1.46799", \ + "0.19229, 0.301249, 0.369832, 0.482123, 0.669649, 0.98221, 1.50099", \ + "0.241342, 0.352096, 0.420767, 0.533353, 0.720982, 1.03299, 1.55261", \ + "0.309684, 0.425907, 0.494231, 0.606744, 0.794479, 1.1066, 1.62615", \ + "0.39806, 0.528865, 0.596677, 0.708708, 0.896093, 1.20786, 1.72777", \ + "0.508658, 0.667664, 0.737898, 0.850487, 1.03607, 1.34848, 1.86808" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0331026, 0.165218, 0.261241, 0.420725, 0.688369, 1.13499, 1.8789", \ + "0.0337346, 0.165362, 0.261242, 0.420726, 0.688426, 1.13504, 1.87891", \ + "0.0360722, 0.165994, 0.261585, 0.420905, 0.688516, 1.13597, 1.87892", \ + "0.041457, 0.16778, 0.262712, 0.42176, 0.688882, 1.13856, 1.879", \ + "0.0526956, 0.17104, 0.264701, 0.423403, 0.690356, 1.13857, 1.87901", \ + "0.071204, 0.180141, 0.269411, 0.425449, 0.691983, 1.13858, 1.88025", \ + "0.098033, 0.202564, 0.283008, 0.433409, 0.695902, 1.14072, 1.88315" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.140054, 0.2541, 0.314271, 0.410062, 0.569509, 0.835173, 1.27813", \ + "0.17907, 0.29419, 0.354709, 0.450668, 0.610121, 0.875381, 1.31849", \ + "0.211352, 0.328768, 0.389542, 0.485796, 0.645002, 0.910646, 1.35275", \ + "0.261696, 0.384612, 0.446534, 0.543557, 0.703119, 0.968292, 1.41057", \ + "0.332321, 0.466028, 0.529144, 0.627431, 0.788285, 1.05384, 1.49602", \ + "0.4227, 0.574139, 0.639181, 0.739076, 0.901079, 1.16722, 1.61059", \ + "0.546168, 0.726184, 0.798819, 0.900544, 1.06259, 1.32903, 1.77354" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0434269, 0.149971, 0.221687, 0.345555, 0.557306, 0.914028, 1.51024", \ + "0.0444414, 0.149972, 0.221688, 0.345556, 0.557947, 0.914147, 1.51025", \ + "0.047546, 0.150278, 0.222288, 0.345858, 0.557948, 0.914603, 1.51026", \ + "0.0550759, 0.154551, 0.22525, 0.347723, 0.558663, 0.914604, 1.51067", \ + "0.0684173, 0.163328, 0.232114, 0.352122, 0.561487, 0.916783, 1.51103", \ + "0.090432, 0.177695, 0.242378, 0.35981, 0.566039, 0.919524, 1.51386", \ + "0.123287, 0.209284, 0.265779, 0.373908, 0.573089, 0.923599, 1.51813" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.105189, 0.218612, 0.285877, 0.396923, 0.583332, 0.894557, 1.41333", \ + "0.150967, 0.266909, 0.334676, 0.445803, 0.631828, 0.943141, 1.46144", \ + "0.183464, 0.302033, 0.370198, 0.481736, 0.667973, 0.978507, 1.49682", \ + "0.230232, 0.355472, 0.423846, 0.536084, 0.722799, 1.03321, 1.5518", \ + "0.294128, 0.431966, 0.500669, 0.613166, 0.801164, 1.112, 1.63002", \ + "0.375243, 0.53987, 0.610735, 0.724424, 0.91024, 1.22244, 1.74204", \ + "0.481557, 0.686164, 0.762225, 0.875392, 1.06241, 1.37363, 1.89406" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0409834, 0.166816, 0.261153, 0.419984, 0.68745, 1.13393, 1.87783", \ + "0.0432956, 0.16686, 0.261154, 0.419985, 0.687666, 1.13429, 1.87814", \ + "0.0476172, 0.167914, 0.261879, 0.420336, 0.688574, 1.1343, 1.87828", \ + "0.0569957, 0.171007, 0.263515, 0.421432, 0.688575, 1.13431, 1.87829", \ + "0.0748669, 0.177455, 0.266974, 0.423333, 0.689485, 1.13535, 1.8783", \ + "0.101949, 0.19333, 0.27547, 0.427439, 0.691204, 1.13697, 1.87983", \ + "0.140101, 0.225821, 0.298473, 0.439357, 0.696809, 1.13918, 1.88296" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.150707, 0.270608, 0.331803, 0.428413, 0.588543, 0.854756, 1.29829", \ + "0.183242, 0.303157, 0.364212, 0.461161, 0.621297, 0.88756, 1.33143", \ + "0.215041, 0.336492, 0.398112, 0.495219, 0.655448, 0.922016, 1.36534", \ + "0.265753, 0.39275, 0.455324, 0.552643, 0.713034, 0.979293, 1.42271", \ + "0.337448, 0.475288, 0.539026, 0.637595, 0.798344, 1.06545, 1.5088", \ + "0.430307, 0.586955, 0.652664, 0.75266, 0.914173, 1.1815, 1.62534", \ + "0.552474, 0.741897, 0.812829, 0.915449, 1.07636, 1.3432, 1.78833" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0477477, 0.150111, 0.222155, 0.345527, 0.557303, 0.914015, 1.51002", \ + "0.0484254, 0.150248, 0.223268, 0.345622, 0.557508, 0.914793, 1.51003", \ + "0.051539, 0.151412, 0.223269, 0.345941, 0.55779, 0.914794, 1.51014", \ + "0.0596115, 0.155492, 0.225916, 0.347786, 0.558478, 0.914795, 1.51015", \ + "0.0741212, 0.163866, 0.232354, 0.352012, 0.561523, 0.916452, 1.5108", \ + "0.097463, 0.179644, 0.243242, 0.35964, 0.56587, 0.919837, 1.51347", \ + "0.134734, 0.210779, 0.266069, 0.373995, 0.573251, 0.923447, 1.51705" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.126459, 0.234231, 0.302706, 0.414997, 0.602468, 0.914229, 1.43405", \ + "0.164539, 0.27253, 0.340981, 0.453307, 0.6407, 0.952892, 1.47216", \ + "0.195881, 0.304832, 0.373376, 0.485797, 0.673308, 0.98548, 1.50527", \ + "0.245997, 0.357309, 0.425955, 0.538387, 0.726038, 1.03814, 1.55782", \ + "0.319024, 0.435588, 0.504593, 0.616956, 0.80465, 1.11689, 1.63623", \ + "0.416938, 0.54637, 0.615235, 0.727891, 0.915896, 1.2279, 1.74726", \ + "0.543011, 0.697435, 0.768401, 0.881681, 1.06844, 1.38088, 1.90118" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0325582, 0.165139, 0.26112, 0.420791, 0.688382, 1.13477, 1.87847", \ + "0.0330811, 0.165241, 0.261184, 0.420792, 0.688528, 1.13478, 1.87875", \ + "0.0347664, 0.165691, 0.261366, 0.420928, 0.688748, 1.13499, 1.87876", \ + "0.0387405, 0.167041, 0.262302, 0.421441, 0.688749, 1.13867, 1.87877", \ + "0.0471268, 0.169933, 0.263902, 0.422559, 0.689615, 1.13868, 1.87878", \ + "0.062884, 0.177853, 0.268037, 0.424572, 0.690949, 1.13869, 1.87982", \ + "0.086092, 0.197355, 0.280661, 0.431706, 0.694936, 1.13941, 1.8817" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.165522, 0.271291, 0.330831, 0.427271, 0.587464, 0.853984, 1.29764", \ + "0.200967, 0.306368, 0.366205, 0.462625, 0.623014, 0.889537, 1.33363", \ + "0.23107, 0.337317, 0.39716, 0.493861, 0.654245, 0.920747, 1.36467", \ + "0.280342, 0.389439, 0.449981, 0.546748, 0.707122, 0.973912, 1.41911", \ + "0.351994, 0.46784, 0.528668, 0.626069, 0.786862, 1.0538, 1.49764", \ + "0.443606, 0.57041, 0.63301, 0.731393, 0.892711, 1.15995, 1.60367", \ + "0.567264, 0.716735, 0.783551, 0.883223, 1.04428, 1.31188, 1.75641" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.037749, 0.143322, 0.2177, 0.343828, 0.557417, 0.914665, 1.51061", \ + "0.0379072, 0.143323, 0.218181, 0.343836, 0.557801, 0.914859, 1.51086", \ + "0.0391176, 0.143771, 0.218182, 0.344302, 0.558014, 0.915361, 1.51093", \ + "0.0425035, 0.14583, 0.21991, 0.345274, 0.558253, 0.915362, 1.51312", \ + "0.0496925, 0.1513, 0.223361, 0.347292, 0.559619, 0.916666, 1.51313", \ + "0.062955, 0.160864, 0.230629, 0.35211, 0.562487, 0.918012, 1.51339", \ + "0.086158, 0.181667, 0.246856, 0.362649, 0.568206, 0.92144, 1.51625" \ + ); + } + } + timing () { + related_pin : "S"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.126459, 0.234231, 0.302706, 0.414997, 0.602468, 0.914229, 1.43405", \ + "0.164539, 0.27253, 0.340981, 0.453307, 0.6407, 0.952892, 1.47216", \ + "0.195881, 0.304832, 0.373376, 0.485797, 0.673308, 0.98548, 1.50527", \ + "0.245997, 0.357309, 0.425955, 0.538387, 0.726038, 1.03814, 1.55782", \ + "0.319024, 0.435588, 0.504593, 0.616956, 0.80465, 1.11689, 1.63623", \ + "0.416938, 0.54637, 0.615235, 0.727891, 0.915896, 1.2279, 1.74726", \ + "0.543011, 0.697435, 0.768401, 0.881681, 1.06844, 1.38088, 1.90118" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0325582, 0.165139, 0.26112, 0.420791, 0.688382, 1.13477, 1.87847", \ + "0.0330811, 0.165241, 0.261184, 0.420792, 0.688528, 1.13478, 1.87875", \ + "0.0347664, 0.165691, 0.261366, 0.420928, 0.688748, 1.13499, 1.87876", \ + "0.0387405, 0.167041, 0.262302, 0.421441, 0.688749, 1.13867, 1.87877", \ + "0.0471268, 0.169933, 0.263902, 0.422559, 0.689615, 1.13868, 1.87878", \ + "0.062884, 0.177853, 0.268037, 0.424572, 0.690949, 1.13869, 1.87982", \ + "0.086092, 0.197355, 0.280661, 0.431706, 0.694936, 1.13941, 1.8817" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.165522, 0.271291, 0.330831, 0.427271, 0.587464, 0.853984, 1.29764", \ + "0.200967, 0.306368, 0.366205, 0.462625, 0.623014, 0.889537, 1.33363", \ + "0.23107, 0.337317, 0.39716, 0.493861, 0.654245, 0.920747, 1.36467", \ + "0.280342, 0.389439, 0.449981, 0.546748, 0.707122, 0.973912, 1.41911", \ + "0.351994, 0.46784, 0.528668, 0.626069, 0.786862, 1.0538, 1.49764", \ + "0.443606, 0.57041, 0.63301, 0.731393, 0.892711, 1.15995, 1.60367", \ + "0.567264, 0.716735, 0.783551, 0.883223, 1.04428, 1.31188, 1.75641" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.037749, 0.143322, 0.2177, 0.343828, 0.557417, 0.914665, 1.51061", \ + "0.0379072, 0.143323, 0.218181, 0.343836, 0.557801, 0.914859, 1.51086", \ + "0.0391176, 0.143771, 0.218182, 0.344302, 0.558014, 0.915361, 1.51093", \ + "0.0425035, 0.14583, 0.21991, 0.345274, 0.558253, 0.915362, 1.51312", \ + "0.0496925, 0.1513, 0.223361, 0.347292, 0.559619, 0.916666, 1.51313", \ + "0.062955, 0.160864, 0.230629, 0.35211, 0.562487, 0.918012, 1.51339", \ + "0.086158, 0.181667, 0.246856, 0.362649, 0.568206, 0.92144, 1.51625" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.183052, 0.290625, 0.358919, 0.471196, 0.658588, 0.970134, 1.49001", \ + "0.222462, 0.330427, 0.398804, 0.511133, 0.698828, 1.01051, 1.53017", \ + "0.253025, 0.360982, 0.429339, 0.541625, 0.72897, 1.04104, 1.56129", \ + "0.298603, 0.406561, 0.475006, 0.587265, 0.774599, 1.08656, 1.60604", \ + "0.361471, 0.469628, 0.538056, 0.650397, 0.837852, 1.14962, 1.66893", \ + "0.440226, 0.548646, 0.617136, 0.729657, 0.917297, 1.22912, 1.74834", \ + "0.541882, 0.651349, 0.719749, 0.832284, 1.02009, 1.33192, 1.85129" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0331361, 0.165207, 0.261015, 0.420646, 0.688297, 1.13476, 1.87834", \ + "0.0331371, 0.165208, 0.261061, 0.420796, 0.688485, 1.13477, 1.87853", \ + "0.0331381, 0.165209, 0.26111, 0.420826, 0.688486, 1.13498, 1.87913", \ + "0.0331697, 0.165316, 0.261246, 0.420827, 0.688487, 1.13499, 1.87966", \ + "0.033793, 0.165322, 0.261247, 0.420828, 0.688567, 1.135, 1.88105", \ + "0.034776, 0.165645, 0.261289, 0.420829, 0.688568, 1.13501, 1.88106", \ + "0.036999, 0.166384, 0.261756, 0.421056, 0.688578, 1.13502, 1.88107" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.217529, 0.323526, 0.383202, 0.479852, 0.640241, 0.906728, 1.35052", \ + "0.258184, 0.364414, 0.424134, 0.520799, 0.681346, 0.948197, 1.39239", \ + "0.288478, 0.39438, 0.45438, 0.551001, 0.711401, 0.97815, 1.42191", \ + "0.334064, 0.440123, 0.49993, 0.596609, 0.757064, 1.02391, 1.46788", \ + "0.398954, 0.505042, 0.564867, 0.661495, 0.822174, 1.08876, 1.5326", \ + "0.48933, 0.595542, 0.655531, 0.752367, 0.912881, 1.17972, 1.62344", \ + "0.614409, 0.721848, 0.782106, 0.878968, 1.03972, 1.30638, 1.75046" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0384204, 0.143964, 0.218247, 0.343998, 0.557666, 0.915413, 1.51092", \ + "0.0384214, 0.143965, 0.21847, 0.344131, 0.557772, 0.915414, 1.51131", \ + "0.0384224, 0.143966, 0.218471, 0.344288, 0.558879, 0.915468, 1.51132", \ + "0.0387124, 0.143967, 0.218472, 0.344289, 0.55888, 0.915735, 1.51133", \ + "0.038728, 0.143968, 0.218473, 0.34445, 0.558881, 0.915736, 1.51134", \ + "0.03946, 0.144095, 0.218524, 0.344612, 0.558882, 0.915737, 1.51135", \ + "0.041319, 0.145154, 0.219189, 0.344771, 0.558883, 0.915738, 1.51136" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0071846, 0.00748163, 0.00749291, 0.00745142, 0.00738043, 0.00722872, 0.00729693", \ + "0.00696505, 0.00723024, 0.00728459, 0.00723045, 0.0071709, 0.0070287, 0.00708923", \ + "0.00688218, 0.00710287, 0.00719145, 0.00713079, 0.00706557, 0.00697642, 0.0069457", \ + "0.0068602, 0.0070223, 0.00702695, 0.00710899, 0.00697995, 0.00699966, 0.00690944", \ + "0.00704489, 0.00714308, 0.00717533, 0.0071184, 0.0070738, 0.00739056, 0.00703884", \ + "0.00765846, 0.00768552, 0.00764839, 0.00770943, 0.00768849, 0.00747898, 0.00780497", \ + "0.00925176, 0.00915794, 0.00909017, 0.00916766, 0.00911333, 0.00917426, 0.00891831" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00951227, 0.00964876, 0.00951572, 0.0093296, 0.0091402, 0.00891603, 0.00856109", \ + "0.009652, 0.00996104, 0.00987397, 0.00971182, 0.0095063, 0.0092032, 0.00886586", \ + "0.00965384, 0.00994464, 0.00998648, 0.00988764, 0.00957931, 0.00929368, 0.00886126", \ + "0.00975311, 0.0100125, 0.0100148, 0.0100155, 0.00979492, 0.00948642, 0.0090453", \ + "0.0100936, 0.0102431, 0.0103371, 0.0103006, 0.0101887, 0.0100735, 0.00964843", \ + "0.010857, 0.010853, 0.0108685, 0.0109977, 0.0110317, 0.0107276, 0.0107045", \ + "0.0126951, 0.0123587, 0.012384, 0.0124441, 0.0124722, 0.0125419, 0.0123774" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00904316, 0.00911098, 0.00897014, 0.00881322, 0.00863326, 0.00839519, 0.00842113", \ + "0.00955884, 0.00982238, 0.00979412, 0.0096257, 0.00939616, 0.00913767, 0.0090186", \ + "0.00968315, 0.0099421, 0.009958, 0.0098381, 0.0096607, 0.00928806, 0.00927248", \ + "0.00972055, 0.00996978, 0.00998224, 0.0100438, 0.00982528, 0.0095219, 0.00937421", \ + "0.00997821, 0.0101435, 0.0101943, 0.0101635, 0.0101568, 0.0102099, 0.00984908", \ + "0.0107241, 0.0107445, 0.0107655, 0.01089, 0.0108715, 0.0106246, 0.0109842", \ + "0.0125374, 0.0122849, 0.0122898, 0.0123277, 0.0123681, 0.012396, 0.0121529" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00782718, 0.0082223, 0.00824069, 0.00820363, 0.00815777, 0.00801016, 0.00775638", \ + "0.00754838, 0.00790878, 0.00799207, 0.00793048, 0.00786854, 0.00777288, 0.0075331", \ + "0.0074792, 0.00778429, 0.00788167, 0.00789541, 0.00779458, 0.00768732, 0.00744118", \ + "0.00756629, 0.00777242, 0.00782258, 0.00787596, 0.0078182, 0.00768923, 0.00742037", \ + "0.00789737, 0.00798007, 0.00807823, 0.00808783, 0.00799066, 0.008329, 0.00793091", \ + "0.00864554, 0.00864165, 0.0086535, 0.00872064, 0.00881273, 0.00856961, 0.00862515", \ + "0.0103724, 0.01007, 0.0100312, 0.0101196, 0.0100825, 0.010184, 0.0100567" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(A0 * !A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00757927, 0.00783197, 0.00783607, 0.00781017, 0.00771957, 0.00756547, 0.00760417", \ + "0.00760678, 0.00789628, 0.00790288, 0.00787678, 0.00780908, 0.00761878, 0.00770928", \ + "0.00759662, 0.00790922, 0.00790032, 0.00789572, 0.00778632, 0.00763812, 0.00774162", \ + "0.00756653, 0.00782593, 0.00787063, 0.00798453, 0.00776083, 0.00757653, 0.00770473", \ + "0.00753622, 0.00782052, 0.00785322, 0.00775892, 0.00786912, 0.00759932, 0.00772592", \ + "0.00746608, 0.00772858, 0.00777678, 0.00781248, 0.00774148, 0.00757378, 0.00796638", \ + "0.00747715, 0.00764525, 0.00768265, 0.00776635, 0.00781295, 0.00778295, 0.00749425" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00785508, 0.00817748, 0.00819088, 0.00820508, 0.00813308, 0.00799318, 0.00774088", \ + "0.00780514, 0.00815994, 0.00817964, 0.00815944, 0.00811064, 0.00801194, 0.00774824", \ + "0.00778775, 0.00812625, 0.00825525, 0.00814815, 0.00813375, 0.00795745, 0.00767145", \ + "0.00776957, 0.00807617, 0.00809417, 0.00814477, 0.00805147, 0.00793157, 0.00766497", \ + "0.00775484, 0.00810404, 0.00815264, 0.00814174, 0.00803384, 0.00813974, 0.00770754", \ + "0.00773768, 0.00801518, 0.00807788, 0.00813138, 0.00816478, 0.00795098, 0.00771558", \ + "0.00769538, 0.00793778, 0.00802168, 0.00800388, 0.00811108, 0.00811628, 0.00807698" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(!A0 * A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00785818, 0.00816868, 0.00818258, 0.00815858, 0.00808288, 0.00791628, 0.00799498", \ + "0.00773354, 0.00802984, 0.00806054, 0.00802854, 0.00797354, 0.00782264, 0.00784214", \ + "0.00769465, 0.00797305, 0.00805515, 0.00800245, 0.00792875, 0.00778855, 0.00775915", \ + "0.00763897, 0.00789907, 0.00791517, 0.00803147, 0.00785487, 0.00787687, 0.00772757", \ + "0.00770544, 0.00790694, 0.00798344, 0.00794914, 0.00793454, 0.00814324, 0.00783974", \ + "0.00809938, 0.00819478, 0.00821948, 0.00829958, 0.00833608, 0.00813688, 0.00852958", \ + "0.00927098, 0.00927348, 0.00924058, 0.00935238, 0.00934678, 0.00941638, 0.00917858" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00737257, 0.00774757, 0.00775397, 0.00775767, 0.00765897, 0.00750887, 0.00724837", \ + "0.00733408, 0.00768238, 0.00774198, 0.00770708, 0.00768298, 0.00751108, 0.00726668", \ + "0.00730892, 0.00762692, 0.00771382, 0.00770752, 0.00762482, 0.00747502, 0.00724992", \ + "0.00729243, 0.00756683, 0.00758763, 0.00765823, 0.00756463, 0.00744903, 0.00733613", \ + "0.00735252, 0.00758752, 0.00766502, 0.00765192, 0.00756752, 0.00766222, 0.00745302", \ + "0.00763298, 0.00778908, 0.00782568, 0.00793378, 0.00795818, 0.00780498, 0.00786708", \ + "0.00890125, 0.00883385, 0.00887365, 0.00896345, 0.00900975, 0.00908565, 0.00883055" \ + ); + } + } + internal_power () { + related_pin : "S"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00785818, 0.00816868, 0.00818258, 0.00815858, 0.00808288, 0.00791628, 0.00799498", \ + "0.00773354, 0.00802984, 0.00806054, 0.00802854, 0.00797354, 0.00782264, 0.00784214", \ + "0.00769465, 0.00797305, 0.00805515, 0.00800245, 0.00792875, 0.00778855, 0.00775915", \ + "0.00763897, 0.00789907, 0.00791517, 0.00803147, 0.00785487, 0.00787687, 0.00772757", \ + "0.00770544, 0.00790694, 0.00798344, 0.00794914, 0.00793454, 0.00814324, 0.00783974", \ + "0.00809938, 0.00819478, 0.00821948, 0.00829958, 0.00833608, 0.00813688, 0.00852958", \ + "0.00927098, 0.00927348, 0.00924058, 0.00935238, 0.00934678, 0.00941638, 0.00917858" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00737257, 0.00774757, 0.00775397, 0.00775767, 0.00765897, 0.00750887, 0.00724837", \ + "0.00733408, 0.00768238, 0.00774198, 0.00770708, 0.00768298, 0.00751108, 0.00726668", \ + "0.00730892, 0.00762692, 0.00771382, 0.00770752, 0.00762482, 0.00747502, 0.00724992", \ + "0.00729243, 0.00756683, 0.00758763, 0.00765823, 0.00756463, 0.00744903, 0.00733613", \ + "0.00735252, 0.00758752, 0.00766502, 0.00765192, 0.00756752, 0.00766222, 0.00745302", \ + "0.00763298, 0.00778908, 0.00782568, 0.00793378, 0.00795818, 0.00780498, 0.00786708", \ + "0.00890125, 0.00883385, 0.00887365, 0.00896345, 0.00900975, 0.00908565, 0.00883055" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00189664; + rise_capacitance : 0.00342929; + rise_capacitance_range (0.00342929, 0.00342929); + fall_capacitance : 0.000363979; + fall_capacitance_range (0.000363979, 0.000363979); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00200185; + rise_capacitance : 0.000490436; + rise_capacitance_range (0.000490436, 0.000490436); + fall_capacitance : 0.00351325; + fall_capacitance_range (0.00351325, 0.00351325); + } + pin (S) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00463169; + rise_capacitance : 0.00469813; + rise_capacitance_range (0.00469813, 0.00469813); + fall_capacitance : 0.00456525; + fall_capacitance_range (0.00456525, 0.00456525); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00311812, 0.00289086, 0.00285065, 0.00289923, 0.00310186, 0.00363892, 0.00492322" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00336003, 0.00311812, 0.00308768, 0.00313387, 0.00332718, 0.00389192, 0.00512625" \ + ); + } + } + } + } + cell (sg13g2_mux2_2) { + area : 19.9584; + cell_footprint : "mux2"; + cell_leakage_power : 1363.36; + leakage_power () { + value : 1229.27; + when : "!A0&!A1&!S"; + } + leakage_power () { + value : 1359.09; + when : "A0&!A1&!S"; + } + leakage_power () { + value : 1308.87; + when : "!A0&A1&!S"; + } + leakage_power () { + value : 1020.32; + when : "A0&A1&!S"; + } + leakage_power () { + value : 1497.21; + when : "!A0&!A1&S"; + } + leakage_power () { + value : 1576.92; + when : "A0&!A1&S"; + } + leakage_power () { + value : 1627.01; + when : "!A0&A1&S"; + } + leakage_power () { + value : 1288.22; + when : "A0&A1&S"; + } + pin (X) { + direction : "output"; + function : "(!S*A0)+(S*A1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.140553, 0.261704, 0.33054, 0.443339, 0.63088, 0.943333, 1.46293", \ + "0.180903, 0.302168, 0.371194, 0.483868, 0.671666, 0.984237, 1.50462", \ + "0.216162, 0.338562, 0.407474, 0.520261, 0.708154, 1.02322, 1.54011", \ + "0.271694, 0.397791, 0.467005, 0.57972, 0.767522, 1.08029, 1.59989", \ + "0.351918, 0.485573, 0.554796, 0.667138, 0.854645, 1.16644, 1.6862", \ + "0.459113, 0.61211, 0.68073, 0.792478, 0.979419, 1.29011, 1.81011", \ + "0.595888, 0.783886, 0.856408, 0.967666, 1.15289, 1.46341, 1.98177" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0355187, 0.16867, 0.263293, 0.422584, 0.690153, 1.13671, 1.88132", \ + "0.0360773, 0.168702, 0.263468, 0.42279, 0.690154, 1.13701, 1.88133", \ + "0.0389962, 0.169378, 0.263766, 0.422791, 0.69047, 1.13987, 1.88164", \ + "0.0459691, 0.171875, 0.265409, 0.423601, 0.690471, 1.13988, 1.88165", \ + "0.0589798, 0.177583, 0.268802, 0.425362, 0.692002, 1.13989, 1.8818", \ + "0.081404, 0.190775, 0.276813, 0.429643, 0.694525, 1.1399, 1.88288", \ + "0.11505, 0.222379, 0.298293, 0.441587, 0.699949, 1.14335, 1.88539" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.15274, 0.287653, 0.350997, 0.448656, 0.60883, 0.874565, 1.31735", \ + "0.205005, 0.341113, 0.404091, 0.502129, 0.662112, 0.927707, 1.37009", \ + "0.24327, 0.380751, 0.444192, 0.542211, 0.702255, 0.967532, 1.41011", \ + "0.302447, 0.444771, 0.508834, 0.607547, 0.767788, 1.03312, 1.47511", \ + "0.386745, 0.540645, 0.606376, 0.706204, 0.867457, 1.13315, 1.57497", \ + "0.496586, 0.670179, 0.740035, 0.841985, 1.00347, 1.26934, 1.71216", \ + "0.648839, 0.851498, 0.927842, 1.03297, 1.19513, 1.46183, 1.90401" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0492848, 0.162005, 0.233997, 0.355356, 0.565065, 0.920148, 1.51523", \ + "0.0498771, 0.162006, 0.233998, 0.355357, 0.565066, 0.920456, 1.51607", \ + "0.0523115, 0.162523, 0.233999, 0.355358, 0.565162, 0.920457, 1.51608", \ + "0.0598384, 0.166072, 0.236475, 0.35686, 0.565863, 0.922266, 1.51609", \ + "0.0757319, 0.175823, 0.243688, 0.362424, 0.569268, 0.922267, 1.5161", \ + "0.099796, 0.195247, 0.259984, 0.373675, 0.575611, 0.926113, 1.51866", \ + "0.13878, 0.230111, 0.286629, 0.392107, 0.586907, 0.932766, 1.52322" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.105636, 0.23553, 0.303663, 0.415189, 0.602053, 0.913278, 1.43264", \ + "0.159187, 0.291665, 0.360041, 0.471572, 0.658162, 0.969332, 1.48812", \ + "0.199158, 0.334292, 0.403344, 0.515132, 0.701694, 1.0124, 1.53183", \ + "0.256154, 0.398873, 0.468046, 0.580349, 0.766921, 1.07802, 1.59651", \ + "0.331591, 0.48957, 0.559911, 0.671751, 0.85985, 1.17053, 1.68869", \ + "0.430599, 0.617338, 0.688125, 0.80136, 0.987717, 1.29915, 1.81832", \ + "0.558779, 0.790889, 0.868544, 0.982666, 1.16898, 1.48076, 1.99914" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0417071, 0.171357, 0.264804, 0.422106, 0.689327, 1.13563, 1.88065", \ + "0.0437406, 0.171358, 0.264805, 0.422383, 0.689861, 1.13609, 1.88066", \ + "0.0477695, 0.172299, 0.264846, 0.422417, 0.689862, 1.1361, 1.88083", \ + "0.0566974, 0.175886, 0.266926, 0.423565, 0.689863, 1.13775, 1.88084", \ + "0.074068, 0.184419, 0.271608, 0.425942, 0.69156, 1.13776, 1.88085", \ + "0.103272, 0.203474, 0.283118, 0.431836, 0.694295, 1.13879, 1.88231", \ + "0.147822, 0.245509, 0.313685, 0.44891, 0.701665, 1.14192, 1.88539" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.178962, 0.32083, 0.384745, 0.483418, 0.644303, 0.910554, 1.3536", \ + "0.21201, 0.353794, 0.417842, 0.516341, 0.677498, 0.944005, 1.38691", \ + "0.246887, 0.389647, 0.453475, 0.55246, 0.713371, 0.979703, 1.4231", \ + "0.305216, 0.452619, 0.517013, 0.616389, 0.777442, 1.04378, 1.48699", \ + "0.390512, 0.549004, 0.614698, 0.714855, 0.876497, 1.14307, 1.58611", \ + "0.501613, 0.681401, 0.751106, 0.854453, 1.01482, 1.28187, 1.72528", \ + "0.653866, 0.865466, 0.941077, 1.04592, 1.20812, 1.47471, 1.91847" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0529418, 0.163132, 0.23398, 0.355295, 0.564899, 0.92009, 1.51521", \ + "0.0529428, 0.163133, 0.234543, 0.355296, 0.565251, 0.920388, 1.51534", \ + "0.0553991, 0.163931, 0.234544, 0.355487, 0.566966, 0.920389, 1.51535", \ + "0.0630863, 0.167485, 0.237169, 0.356931, 0.566967, 0.920692, 1.51633", \ + "0.0797293, 0.177017, 0.244171, 0.362279, 0.568922, 0.922682, 1.51634", \ + "0.1054, 0.197351, 0.260141, 0.374023, 0.575546, 0.925943, 1.51922", \ + "0.147278, 0.232726, 0.287293, 0.392123, 0.587017, 0.932633, 1.52304" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.145085, 0.266093, 0.334981, 0.447605, 0.635427, 0.947675, 1.46749", \ + "0.18416, 0.305345, 0.374372, 0.486914, 0.674734, 0.987074, 1.50786", \ + "0.217783, 0.339898, 0.408987, 0.521723, 0.709475, 1.02156, 1.54155", \ + "0.272485, 0.398016, 0.467201, 0.579998, 0.767678, 1.08022, 1.59991", \ + "0.355198, 0.487976, 0.557539, 0.670222, 0.858203, 1.17015, 1.68985", \ + "0.470105, 0.619509, 0.689478, 0.802085, 0.98979, 1.30142, 1.82102", \ + "0.621826, 0.799744, 0.872965, 0.986267, 1.17421, 1.4858, 2.00521" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0352383, 0.168446, 0.263305, 0.422324, 0.690339, 1.13666, 1.88093", \ + "0.0356022, 0.168447, 0.263306, 0.422819, 0.69034, 1.13693, 1.88096", \ + "0.0374342, 0.168988, 0.263562, 0.42282, 0.690388, 1.13694, 1.88156", \ + "0.0418788, 0.171003, 0.264794, 0.423242, 0.690389, 1.13791, 1.88157", \ + "0.0511587, 0.175477, 0.267534, 0.424649, 0.691347, 1.13792, 1.88158", \ + "0.069662, 0.186822, 0.27463, 0.428258, 0.693447, 1.13907, 1.88244", \ + "0.098533, 0.211869, 0.291924, 0.438893, 0.699181, 1.1417, 1.88432" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.196688, 0.32212, 0.38368, 0.48136, 0.64231, 0.908813, 1.35202", \ + "0.23242, 0.357814, 0.419412, 0.517399, 0.678346, 0.94499, 1.38822", \ + "0.263987, 0.389547, 0.451832, 0.549481, 0.710418, 0.976882, 1.42027", \ + "0.317795, 0.446138, 0.508423, 0.606574, 0.767561, 1.03421, 1.47759", \ + "0.39891, 0.534335, 0.597759, 0.696416, 0.857594, 1.12445, 1.56795", \ + "0.507353, 0.656769, 0.722668, 0.821778, 0.983771, 1.25053, 1.69471", \ + "0.658445, 0.830222, 0.899855, 1.00177, 1.16374, 1.43066, 1.87416" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0454381, 0.155352, 0.22832, 0.352244, 0.564464, 0.920489, 1.51575", \ + "0.0454391, 0.155387, 0.228321, 0.352245, 0.564465, 0.92074, 1.51671", \ + "0.0461496, 0.155398, 0.228627, 0.352592, 0.564579, 0.920741, 1.51672", \ + "0.049817, 0.157751, 0.230124, 0.35334, 0.564776, 0.922404, 1.51682", \ + "0.0583666, 0.164092, 0.234767, 0.35683, 0.566651, 0.922405, 1.51683", \ + "0.073173, 0.177536, 0.246016, 0.363869, 0.570708, 0.924487, 1.5179", \ + "0.100826, 0.200737, 0.26503, 0.37784, 0.579928, 0.929938, 1.52191" \ + ); + } + } + timing () { + related_pin : "S"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.145085, 0.266093, 0.334981, 0.447605, 0.635427, 0.947675, 1.46749", \ + "0.18416, 0.305345, 0.374372, 0.486914, 0.674734, 0.987074, 1.50786", \ + "0.217783, 0.339898, 0.408987, 0.521723, 0.709475, 1.02156, 1.54155", \ + "0.272485, 0.398016, 0.467201, 0.579998, 0.767678, 1.08022, 1.59991", \ + "0.355198, 0.487976, 0.557539, 0.670222, 0.858203, 1.17015, 1.68985", \ + "0.470105, 0.619509, 0.689478, 0.802085, 0.98979, 1.30142, 1.82102", \ + "0.621826, 0.799744, 0.872965, 0.986267, 1.17421, 1.4858, 2.00521" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0352383, 0.168446, 0.263305, 0.422324, 0.690339, 1.13666, 1.88093", \ + "0.0356022, 0.168447, 0.263306, 0.422819, 0.69034, 1.13693, 1.88096", \ + "0.0374342, 0.168988, 0.263562, 0.42282, 0.690388, 1.13694, 1.88156", \ + "0.0418788, 0.171003, 0.264794, 0.423242, 0.690389, 1.13791, 1.88157", \ + "0.0511587, 0.175477, 0.267534, 0.424649, 0.691347, 1.13792, 1.88158", \ + "0.069662, 0.186822, 0.27463, 0.428258, 0.693447, 1.13907, 1.88244", \ + "0.098533, 0.211869, 0.291924, 0.438893, 0.699181, 1.1417, 1.88432" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.196688, 0.32212, 0.38368, 0.48136, 0.64231, 0.908813, 1.35202", \ + "0.23242, 0.357814, 0.419412, 0.517399, 0.678346, 0.94499, 1.38822", \ + "0.263987, 0.389547, 0.451832, 0.549481, 0.710418, 0.976882, 1.42027", \ + "0.317795, 0.446138, 0.508423, 0.606574, 0.767561, 1.03421, 1.47759", \ + "0.39891, 0.534335, 0.597759, 0.696416, 0.857594, 1.12445, 1.56795", \ + "0.507353, 0.656769, 0.722668, 0.821778, 0.983771, 1.25053, 1.69471", \ + "0.658445, 0.830222, 0.899855, 1.00177, 1.16374, 1.43066, 1.87416" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0454381, 0.155352, 0.22832, 0.352244, 0.564464, 0.920489, 1.51575", \ + "0.0454391, 0.155387, 0.228321, 0.352245, 0.564465, 0.92074, 1.51671", \ + "0.0461496, 0.155398, 0.228627, 0.352592, 0.564579, 0.920741, 1.51672", \ + "0.049817, 0.157751, 0.230124, 0.35334, 0.564776, 0.922404, 1.51682", \ + "0.0583666, 0.164092, 0.234767, 0.35683, 0.566651, 0.922405, 1.51683", \ + "0.073173, 0.177536, 0.246016, 0.363869, 0.570708, 0.924487, 1.5179", \ + "0.100826, 0.200737, 0.26503, 0.37784, 0.579928, 0.929938, 1.52191" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.201961, 0.322841, 0.391579, 0.50419, 0.691968, 1.00431, 1.52432", \ + "0.241425, 0.362553, 0.431558, 0.544301, 0.732602, 1.0443, 1.56427", \ + "0.271991, 0.393288, 0.462155, 0.5748, 0.762619, 1.07495, 1.5948", \ + "0.317906, 0.439259, 0.508167, 0.620868, 0.808551, 1.12065, 1.64055", \ + "0.381045, 0.502251, 0.571311, 0.683974, 0.871772, 1.18379, 1.70366", \ + "0.460796, 0.582363, 0.651203, 0.763911, 0.951513, 1.26364, 1.78326", \ + "0.564003, 0.686912, 0.755862, 0.868519, 1.05644, 1.36885, 1.88863" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0356387, 0.168661, 0.263399, 0.422544, 0.690251, 1.13657, 1.88067", \ + "0.0356397, 0.168662, 0.263484, 0.422545, 0.690356, 1.13702, 1.88094", \ + "0.0356407, 0.168663, 0.263485, 0.422586, 0.690357, 1.13703, 1.88166", \ + "0.0356417, 0.168664, 0.263486, 0.422587, 0.690358, 1.13736, 1.88167", \ + "0.0360976, 0.168693, 0.263487, 0.422588, 0.690359, 1.13737, 1.88168", \ + "0.036962, 0.169003, 0.263604, 0.422591, 0.69036, 1.13738, 1.88169", \ + "0.039039, 0.169711, 0.263936, 0.422733, 0.690361, 1.13739, 1.8817" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.248918, 0.374188, 0.436231, 0.534273, 0.695053, 0.961765, 1.40536", \ + "0.2895, 0.41547, 0.477201, 0.57515, 0.736286, 1.00307, 1.44686", \ + "0.319863, 0.445536, 0.507587, 0.605488, 0.766527, 1.0332, 1.47768", \ + "0.365651, 0.491197, 0.553429, 0.651318, 0.812506, 1.07917, 1.524", \ + "0.430341, 0.556198, 0.618262, 0.716136, 0.877133, 1.14387, 1.5875", \ + "0.521266, 0.647202, 0.709168, 0.807032, 0.968168, 1.23486, 1.67847", \ + "0.648021, 0.774463, 0.836817, 0.935003, 1.09602, 1.36282, 1.80662" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0459762, 0.155806, 0.22879, 0.352906, 0.564581, 0.920643, 1.51596", \ + "0.0459772, 0.156002, 0.228913, 0.352907, 0.564633, 0.9211, 1.5165", \ + "0.0459782, 0.156003, 0.228914, 0.352908, 0.564634, 0.921476, 1.51719", \ + "0.0459792, 0.156004, 0.228915, 0.352921, 0.564968, 0.922397, 1.51857", \ + "0.0459802, 0.156005, 0.228916, 0.352922, 0.564969, 0.922398, 1.51858", \ + "0.046332, 0.156271, 0.22915, 0.35298, 0.56497, 0.922399, 1.51859", \ + "0.047742, 0.156805, 0.229575, 0.353157, 0.565091, 0.9224, 1.5186" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.010042, 0.0106245, 0.0106418, 0.0106319, 0.0104805, 0.0104379, 0.0103058", \ + "0.00986563, 0.010458, 0.0104938, 0.0105177, 0.0103734, 0.010127, 0.0103187", \ + "0.00981994, 0.0102986, 0.0103271, 0.0104057, 0.0102478, 0.0103166, 0.010114", \ + "0.00986982, 0.010115, 0.0102059, 0.0104206, 0.0101296, 0.00990972, 0.0100008", \ + "0.010176, 0.010124, 0.0102464, 0.0101071, 0.0101008, 0.0104213, 0.0101863", \ + "0.0109569, 0.01069, 0.0106206, 0.0106582, 0.010666, 0.0100193, 0.0103448", \ + "0.0129624, 0.0121428, 0.012035, 0.0120511, 0.0120041, 0.0119806, 0.0112015" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0128798, 0.0129857, 0.0128333, 0.0123838, 0.0121102, 0.0115817, 0.0110174", \ + "0.0138474, 0.0143949, 0.0142346, 0.0139558, 0.0134999, 0.0129536, 0.0122287", \ + "0.014003, 0.0145865, 0.0147649, 0.0143685, 0.0139133, 0.0132677, 0.0125518", \ + "0.0142416, 0.0145359, 0.0146252, 0.0146758, 0.0142212, 0.0137925, 0.0127561", \ + "0.014746, 0.0147909, 0.0149135, 0.0148548, 0.0146926, 0.0143883, 0.0135879", \ + "0.0158017, 0.0152643, 0.0155417, 0.015682, 0.0156623, 0.0149253, 0.0149507", \ + "0.0181561, 0.016803, 0.0167644, 0.0169861, 0.0169632, 0.0172334, 0.016644" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.01191, 0.0119224, 0.0116419, 0.0113959, 0.0110866, 0.0109067, 0.0107559", \ + "0.0130124, 0.0135313, 0.0133708, 0.013107, 0.0127016, 0.012086, 0.0120571", \ + "0.0135778, 0.0140782, 0.0140919, 0.0140463, 0.0134416, 0.0128223, 0.0126925", \ + "0.014006, 0.0143413, 0.0143996, 0.0146018, 0.0142022, 0.0136265, 0.013338", \ + "0.0143961, 0.0145542, 0.0146651, 0.0145918, 0.014644, 0.0147916, 0.0144156", \ + "0.0154216, 0.0150662, 0.015082, 0.0151537, 0.0152125, 0.0146766, 0.0151735", \ + "0.0177544, 0.0166047, 0.0165236, 0.0165922, 0.0167108, 0.016842, 0.0161092" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0106827, 0.0113325, 0.0114134, 0.0113431, 0.0112244, 0.0109393, 0.0104725", \ + "0.0104477, 0.0110748, 0.0111972, 0.0111041, 0.0110738, 0.010788, 0.0102538", \ + "0.0103622, 0.0109236, 0.0110224, 0.0111564, 0.0112434, 0.01074, 0.0102956", \ + "0.0105097, 0.0107341, 0.0108441, 0.0110326, 0.0109295, 0.0106529, 0.0101116", \ + "0.0111522, 0.0110075, 0.0110912, 0.0110402, 0.0109965, 0.011127, 0.0108011", \ + "0.0120489, 0.0114337, 0.0116431, 0.0119064, 0.0117749, 0.0113482, 0.0117012", \ + "0.0144247, 0.0129685, 0.0129643, 0.0131151, 0.0130815, 0.013236, 0.0131226" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(A0 * !A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0104122, 0.0109378, 0.0109597, 0.0109299, 0.0107965, 0.0107646, 0.0107028", \ + "0.0104479, 0.0110198, 0.0110843, 0.01105, 0.0109886, 0.0106573, 0.0107429", \ + "0.0104298, 0.0111275, 0.0111666, 0.011064, 0.0109739, 0.0109373, 0.0108146", \ + "0.010439, 0.010978, 0.0110601, 0.0113261, 0.0108796, 0.0105966, 0.0107804", \ + "0.010373, 0.0109452, 0.0110165, 0.0108647, 0.0109939, 0.0107383, 0.0105445", \ + "0.0103542, 0.0108785, 0.0110042, 0.011088, 0.0109012, 0.0107378, 0.0107832", \ + "0.0103791, 0.010747, 0.0108584, 0.0110035, 0.0110875, 0.0110392, 0.0106206" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.010622, 0.0111177, 0.0111867, 0.0112394, 0.0110824, 0.0108895, 0.010315", \ + "0.0105898, 0.0112929, 0.0111963, 0.0112464, 0.0111295, 0.0108787, 0.0104386", \ + "0.0105595, 0.0111906, 0.0113993, 0.0116048, 0.0111902, 0.0109619, 0.0105975", \ + "0.0105762, 0.0110785, 0.0111804, 0.0112723, 0.0111869, 0.0109965, 0.0106406", \ + "0.0105488, 0.0111475, 0.0112828, 0.0112349, 0.0110161, 0.0112627, 0.0104896", \ + "0.0105548, 0.0110731, 0.0110748, 0.0112461, 0.0113255, 0.0108465, 0.0105299", \ + "0.0105369, 0.0109329, 0.0110898, 0.0110652, 0.0112033, 0.0112664, 0.0111673" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(!A0 * A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0106653, 0.0113053, 0.011368, 0.0112812, 0.0112246, 0.0111542, 0.0110511", \ + "0.0105717, 0.0112097, 0.011282, 0.0112729, 0.0111269, 0.0108486, 0.0111284", \ + "0.0105821, 0.0111385, 0.0112123, 0.0112142, 0.0110877, 0.0107584, 0.0109575", \ + "0.0105459, 0.0109777, 0.0110394, 0.0113167, 0.010995, 0.0107577, 0.0108171", \ + "0.0106901, 0.0109821, 0.011144, 0.0111259, 0.0111353, 0.0113896, 0.0113862", \ + "0.0112443, 0.0112938, 0.0113452, 0.0114123, 0.0114631, 0.0109168, 0.0118758", \ + "0.0127491, 0.0122467, 0.0121667, 0.0123291, 0.01257, 0.0125981, 0.0118556" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0101246, 0.0107727, 0.0107804, 0.0107244, 0.0107347, 0.0103939, 0.0098207", \ + "0.0101201, 0.0108602, 0.0107698, 0.0108632, 0.0107655, 0.0105072, 0.00998079", \ + "0.0100954, 0.0106642, 0.0108812, 0.0109351, 0.0107839, 0.0104779, 0.00996285", \ + "0.0101456, 0.0105278, 0.0106192, 0.0108226, 0.0107374, 0.0105311, 0.00985041", \ + "0.010227, 0.0104687, 0.0105658, 0.0105583, 0.0105276, 0.0105208, 0.0107543", \ + "0.0107266, 0.0107397, 0.0109285, 0.0109587, 0.0111295, 0.0107305, 0.0111479", \ + "0.0122822, 0.0115858, 0.0116663, 0.0118148, 0.0118194, 0.0120055, 0.0116641" \ + ); + } + } + internal_power () { + related_pin : "S"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0106653, 0.0113053, 0.011368, 0.0112812, 0.0112246, 0.0111542, 0.0110511", \ + "0.0105717, 0.0112097, 0.011282, 0.0112729, 0.0111269, 0.0108486, 0.0111284", \ + "0.0105821, 0.0111385, 0.0112123, 0.0112142, 0.0110877, 0.0107584, 0.0109575", \ + "0.0105459, 0.0109777, 0.0110394, 0.0113167, 0.010995, 0.0107577, 0.0108171", \ + "0.0106901, 0.0109821, 0.011144, 0.0111259, 0.0111353, 0.0113896, 0.0113862", \ + "0.0112443, 0.0112938, 0.0113452, 0.0114123, 0.0114631, 0.0109168, 0.0118758", \ + "0.0127491, 0.0122467, 0.0121667, 0.0123291, 0.01257, 0.0125981, 0.0118556" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0101246, 0.0107727, 0.0107804, 0.0107244, 0.0107347, 0.0103939, 0.0098207", \ + "0.0101201, 0.0108602, 0.0107698, 0.0108632, 0.0107655, 0.0105072, 0.00998079", \ + "0.0100954, 0.0106642, 0.0108812, 0.0109351, 0.0107839, 0.0104779, 0.00996285", \ + "0.0101456, 0.0105278, 0.0106192, 0.0108226, 0.0107374, 0.0105311, 0.00985041", \ + "0.010227, 0.0104687, 0.0105658, 0.0105583, 0.0105276, 0.0105208, 0.0107543", \ + "0.0107266, 0.0107397, 0.0109285, 0.0109587, 0.0111295, 0.0107305, 0.0111479", \ + "0.0122822, 0.0115858, 0.0116663, 0.0118148, 0.0118194, 0.0120055, 0.0116641" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00191464; + rise_capacitance : 0.00342989; + rise_capacitance_range (0.00342989, 0.00342989); + fall_capacitance : 0.000399388; + fall_capacitance_range (0.000399388, 0.000399388); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00203127; + rise_capacitance : 0.000552493; + rise_capacitance_range (0.000552493, 0.000552493); + fall_capacitance : 0.00351004; + fall_capacitance_range (0.00351004, 0.00351004); + } + pin (S) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00463198; + rise_capacitance : 0.00469829; + rise_capacitance_range (0.00469829, 0.00469829); + fall_capacitance : 0.00456567; + fall_capacitance_range (0.00456567, 0.00456567); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00312323, 0.00289502, 0.00285012, 0.00290262, 0.00310149, 0.0036388, 0.00492163" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0033617, 0.00311911, 0.00308825, 0.00313369, 0.00337319, 0.00389221, 0.00512499" \ + ); + } + } + } + } + cell (sg13g2_mux4_1) { + area : 38.1024; + cell_footprint : "mux4"; + cell_leakage_power : 2353.5; + leakage_power () { + value : 997.593; + when : "!S1&!S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 2249.06; + when : "!S1&!S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1073.92; + when : "!S1&!S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 2040.26; + when : "!S1&!S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 2377.67; + when : "!S1&!S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 2792.8; + when : "!S1&!S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 2453.99; + when : "!S1&!S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 2584; + when : "!S1&!S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 1073.93; + when : "!S1&!S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 2325.4; + when : "!S1&!S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1150.26; + when : "!S1&!S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 2116.6; + when : "!S1&!S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 2168.87; + when : "!S1&!S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 2584; + when : "!S1&!S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 2245.19; + when : "!S1&!S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 2375.2; + when : "!S1&!S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 1313.11; + when : "!S1&S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1389.47; + when : "!S1&S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 2564.47; + when : "!S1&S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 2355.69; + when : "!S1&S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 1389.46; + when : "!S1&S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1465.81; + when : "!S1&S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 2640.82; + when : "!S1&S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 2432.03; + when : "!S1&S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 2693.18; + when : "!S1&S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 2769.53; + when : "!S1&S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 3108.21; + when : "!S1&S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 2899.42; + when : "!S1&S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 2484.39; + when : "!S1&S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 2560.74; + when : "!S1&S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 2899.41; + when : "!S1&S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 2690.62; + when : "!S1&S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 1313.02; + when : "S1&!S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 2693.28; + when : "S1&!S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1389.34; + when : "S1&!S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 2484.48; + when : "S1&!S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 2564.3; + when : "S1&!S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 3108.23; + when : "S1&!S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 2640.62; + when : "S1&!S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 2899.43; + when : "S1&!S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 1389.36; + when : "S1&!S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 2769.62; + when : "S1&!S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1465.69; + when : "S1&!S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 2560.82; + when : "S1&!S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 2355.5; + when : "S1&!S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 2899.42; + when : "S1&!S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 2431.82; + when : "S1&!S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 2690.62; + when : "S1&!S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 1628.54; + when : "S1&S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1704.89; + when : "S1&S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 3008.69; + when : "S1&S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 2799.91; + when : "S1&S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 1704.89; + when : "S1&S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1781.24; + when : "S1&S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 3085.04; + when : "S1&S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 2876.25; + when : "S1&S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 2879.81; + when : "S1&S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 2956.16; + when : "S1&S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 3423.64; + when : "S1&S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 3214.85; + when : "S1&S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 2671.02; + when : "S1&S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 2747.37; + when : "S1&S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 3214.84; + when : "S1&S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 3006.05; + when : "S1&S0&A3&A2&A1&A0"; + } + pin (X) { + direction : "output"; + function : "(A0*(!S0*!S1))+(A1*(S0*!S1))+(A2*(!S0*S1))+(A3*(S0*S1))"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.218617, 0.342774, 0.413386, 0.526217, 0.714671, 1.02713, 1.548", \ + "0.25646, 0.380823, 0.451221, 0.5645, 0.752329, 1.06549, 1.58599", \ + "0.291268, 0.415972, 0.486305, 0.59959, 0.787548, 1.10057, 1.62132", \ + "0.350871, 0.478271, 0.548243, 0.661769, 0.850179, 1.16288, 1.68526", \ + "0.445048, 0.577461, 0.648448, 0.761988, 0.950584, 1.26327, 1.78382", \ + "0.584216, 0.72696, 0.799747, 0.913449, 1.10196, 1.41544, 1.93595", \ + "0.778802, 0.945297, 1.02102, 1.13864, 1.32613, 1.63907, 2.15944" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0427997, 0.173763, 0.266762, 0.424174, 0.691384, 1.13843, 1.88364", \ + "0.0428117, 0.173764, 0.267211, 0.424672, 0.691392, 1.13844, 1.88367", \ + "0.0434981, 0.174088, 0.267212, 0.424673, 0.691703, 1.13892, 1.88406", \ + "0.0459294, 0.175773, 0.267827, 0.425094, 0.691704, 1.13893, 1.88587", \ + "0.0509452, 0.179687, 0.270626, 0.426557, 0.692349, 1.13894, 1.88588", \ + "0.062388, 0.188125, 0.276906, 0.429961, 0.693901, 1.13998, 1.88589", \ + "0.083471, 0.209299, 0.292092, 0.44078, 0.698922, 1.14183, 1.88687" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.248722, 0.375701, 0.439967, 0.538664, 0.699565, 0.965479, 1.40832", \ + "0.284897, 0.411512, 0.475322, 0.57446, 0.735416, 1.00161, 1.44419", \ + "0.316846, 0.443513, 0.507743, 0.607055, 0.767927, 1.03418, 1.47655", \ + "0.372323, 0.500758, 0.565122, 0.664597, 0.825673, 1.09189, 1.53434", \ + "0.456759, 0.590531, 0.656436, 0.756317, 0.917909, 1.18436, 1.62683", \ + "0.570063, 0.714874, 0.783709, 0.885285, 1.04771, 1.3147, 1.75748", \ + "0.722773, 0.887379, 0.959878, 1.06494, 1.22972, 1.49619, 1.94028" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0493835, 0.159529, 0.231111, 0.35217, 0.561364, 0.914963, 1.50876", \ + "0.0493845, 0.15953, 0.231112, 0.352171, 0.561365, 0.915949, 1.50877", \ + "0.049482, 0.159531, 0.231113, 0.352172, 0.561366, 0.91595, 1.50878", \ + "0.0514105, 0.160716, 0.232012, 0.353052, 0.561608, 0.915951, 1.50886", \ + "0.0567984, 0.166036, 0.236518, 0.356095, 0.563475, 0.916622, 1.5093", \ + "0.06673, 0.176444, 0.245921, 0.362965, 0.567494, 0.91808, 1.51117", \ + "0.08606, 0.196422, 0.263134, 0.376135, 0.575988, 0.923029, 1.51305" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.212278, 0.336052, 0.406585, 0.519534, 0.707609, 1.02005, 1.54095", \ + "0.252277, 0.376475, 0.446524, 0.560231, 0.747625, 1.06026, 1.58079", \ + "0.2879, 0.41223, 0.48246, 0.595567, 0.783615, 1.09645, 1.61759", \ + "0.348038, 0.475127, 0.545373, 0.658574, 0.84654, 1.15919, 1.68189", \ + "0.442145, 0.574546, 0.645518, 0.759086, 0.947248, 1.2599, 1.78038", \ + "0.580541, 0.723442, 0.795923, 0.909997, 1.09831, 1.41171, 1.93206", \ + "0.771689, 0.939739, 1.01584, 1.13192, 1.32026, 1.63393, 2.15434" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0425453, 0.173444, 0.266338, 0.423871, 0.690934, 1.13816, 1.88339", \ + "0.0425463, 0.173445, 0.266568, 0.424377, 0.690935, 1.13817, 1.88393", \ + "0.0429424, 0.173659, 0.266569, 0.424378, 0.691903, 1.13818, 1.88459", \ + "0.0455768, 0.175559, 0.267651, 0.424814, 0.691904, 1.13819, 1.88581", \ + "0.0508122, 0.179497, 0.270376, 0.4262, 0.69203, 1.1384, 1.88582", \ + "0.062743, 0.188261, 0.276624, 0.429873, 0.693923, 1.14007, 1.88583", \ + "0.084086, 0.209956, 0.292295, 0.43978, 0.698283, 1.1414, 1.88601" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.250409, 0.377442, 0.441783, 0.540606, 0.701568, 0.967855, 1.41049", \ + "0.285597, 0.412433, 0.476308, 0.57552, 0.73664, 1.0029, 1.44556", \ + "0.317286, 0.444388, 0.508507, 0.607907, 0.76875, 1.03512, 1.4777", \ + "0.37232, 0.500698, 0.565275, 0.664734, 0.825938, 1.09204, 1.53563", \ + "0.456027, 0.58958, 0.655338, 0.75559, 0.917186, 1.18371, 1.62649", \ + "0.569155, 0.714356, 0.782575, 0.883629, 1.04676, 1.31361, 1.75694", \ + "0.724897, 0.88802, 0.959644, 1.0649, 1.2296, 1.49668, 1.94052" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0496541, 0.159742, 0.231299, 0.352412, 0.561176, 0.915279, 1.50979", \ + "0.0496551, 0.159743, 0.2313, 0.352491, 0.561656, 0.91577, 1.5098", \ + "0.0497508, 0.159744, 0.231301, 0.352492, 0.561657, 0.915771, 1.50981", \ + "0.0516592, 0.160915, 0.232273, 0.353329, 0.561983, 0.915772, 1.51058", \ + "0.0569962, 0.165677, 0.236345, 0.356329, 0.563754, 0.917261, 1.51059", \ + "0.066629, 0.176795, 0.245729, 0.362605, 0.567622, 0.918452, 1.5106", \ + "0.08546, 0.1954, 0.261427, 0.375104, 0.575898, 0.923034, 1.51333" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.228908, 0.355171, 0.42582, 0.539046, 0.727129, 1.03993, 1.56064", \ + "0.267497, 0.393539, 0.464199, 0.577327, 0.765725, 1.07819, 1.59891", \ + "0.302819, 0.429584, 0.49999, 0.613292, 0.801309, 1.11424, 1.63482", \ + "0.364253, 0.493416, 0.564028, 0.677612, 0.865609, 1.17891, 1.70022", \ + "0.461716, 0.595978, 0.66773, 0.781331, 0.969674, 1.28256, 1.8031", \ + "0.606167, 0.75186, 0.824689, 0.939538, 1.12783, 1.44098, 1.96115", \ + "0.80948, 0.979517, 1.05783, 1.17532, 1.36245, 1.67528, 2.19688" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0439632, 0.174986, 0.267484, 0.424425, 0.691332, 1.13815, 1.88342", \ + "0.0439642, 0.174987, 0.267806, 0.424426, 0.691333, 1.13816, 1.88343", \ + "0.0443328, 0.175235, 0.267807, 0.424607, 0.691396, 1.13817, 1.88383", \ + "0.046864, 0.176813, 0.268555, 0.424984, 0.691571, 1.1389, 1.88567", \ + "0.0519851, 0.180893, 0.271916, 0.426958, 0.692146, 1.13891, 1.88568", \ + "0.06403, 0.190376, 0.278245, 0.430819, 0.694031, 1.13944, 1.88569", \ + "0.08515, 0.211635, 0.295906, 0.442373, 0.699172, 1.14169, 1.88646" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.267625, 0.396996, 0.462206, 0.561656, 0.722925, 0.989034, 1.43157", \ + "0.302878, 0.431684, 0.496685, 0.596529, 0.758013, 1.02401, 1.46651", \ + "0.334964, 0.464634, 0.529809, 0.629308, 0.79048, 1.05727, 1.49919", \ + "0.391179, 0.522017, 0.587234, 0.687225, 0.848664, 1.11508, 1.55813", \ + "0.478449, 0.614331, 0.681133, 0.78159, 0.94389, 1.20998, 1.65269", \ + "0.597212, 0.744245, 0.813635, 0.917212, 1.07972, 1.34659, 1.7894", \ + "0.759818, 0.926741, 0.999683, 1.10717, 1.27148, 1.53941, 1.98242" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0508718, 0.162224, 0.233639, 0.354008, 0.561968, 0.915326, 1.50961", \ + "0.0508728, 0.162225, 0.23364, 0.354024, 0.562221, 0.915448, 1.50962", \ + "0.0508738, 0.162226, 0.233641, 0.354145, 0.562222, 0.916373, 1.50963", \ + "0.0526554, 0.163479, 0.234379, 0.354982, 0.562832, 0.921763, 1.50964", \ + "0.0579382, 0.168182, 0.238826, 0.357811, 0.564549, 0.921764, 1.50997", \ + "0.067752, 0.179055, 0.247831, 0.36544, 0.568789, 0.921765, 1.51064", \ + "0.086748, 0.198893, 0.26581, 0.379879, 0.578133, 0.923478, 1.51299" \ + ); + } + } + timing () { + related_pin : "A3"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.223327, 0.349013, 0.419663, 0.532921, 0.721095, 1.03354, 1.55432", \ + "0.262869, 0.388779, 0.459314, 0.572621, 0.760641, 1.07328, 1.59404", \ + "0.298854, 0.425379, 0.495579, 0.60894, 0.796975, 1.10976, 1.63036", \ + "0.360902, 0.489644, 0.560369, 0.673686, 0.861973, 1.17464, 1.69629", \ + "0.458809, 0.592861, 0.664081, 0.777942, 0.966395, 1.27911, 1.79972", \ + "0.603647, 0.748138, 0.822043, 0.935826, 1.12381, 1.4369, 1.95754", \ + "0.805117, 0.975683, 1.05175, 1.16858, 1.35808, 1.6712, 2.19176" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0434538, 0.174655, 0.267213, 0.424162, 0.691191, 1.13807, 1.88334", \ + "0.0434548, 0.174656, 0.268268, 0.424354, 0.691192, 1.1381, 1.8834", \ + "0.0438701, 0.174954, 0.268269, 0.424398, 0.691884, 1.13811, 1.88341", \ + "0.0466709, 0.176685, 0.26836, 0.4251, 0.691885, 1.13892, 1.88471", \ + "0.051881, 0.180761, 0.2714, 0.426785, 0.692287, 1.13893, 1.88472", \ + "0.06335, 0.190249, 0.278809, 0.430729, 0.693859, 1.13942, 1.88473", \ + "0.085461, 0.212707, 0.294115, 0.440861, 0.699302, 1.14143, 1.8867" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.268217, 0.397651, 0.462841, 0.562208, 0.723444, 0.989643, 1.43207", \ + "0.303178, 0.43243, 0.497119, 0.596957, 0.758178, 1.02438, 1.46702", \ + "0.334909, 0.464529, 0.529207, 0.629228, 0.790367, 1.05712, 1.49926", \ + "0.390972, 0.521647, 0.586912, 0.686899, 0.848386, 1.11471, 1.55755", \ + "0.477084, 0.612921, 0.679311, 0.780186, 0.942345, 1.20889, 1.65148", \ + "0.595245, 0.742201, 0.811283, 0.914815, 1.0776, 1.34402, 1.78702", \ + "0.758931, 0.924704, 0.997795, 1.1041, 1.26948, 1.53646, 1.98033" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0509606, 0.162208, 0.233676, 0.354074, 0.561834, 0.915778, 1.50869", \ + "0.0509616, 0.162209, 0.233677, 0.354075, 0.562204, 0.915779, 1.50875", \ + "0.0509627, 0.16221, 0.233678, 0.354076, 0.562205, 0.916409, 1.50876", \ + "0.0528562, 0.163514, 0.234445, 0.355014, 0.562846, 0.921745, 1.51", \ + "0.0581265, 0.168223, 0.238686, 0.357797, 0.564801, 0.921746, 1.51001", \ + "0.067819, 0.178743, 0.247679, 0.365543, 0.568929, 0.921747, 1.51076", \ + "0.086551, 0.198863, 0.26581, 0.379017, 0.577699, 0.923795, 1.51307" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A2 == 1'b0 & A3 == 1'b1 & S1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A2 * A3 * S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.19527, 0.321724, 0.391745, 0.504741, 0.692259, 1.00516, 1.52564", \ + "0.235908, 0.36178, 0.432345, 0.545405, 0.733549, 1.04619, 1.56663", \ + "0.272885, 0.399682, 0.469946, 0.58345, 0.771485, 1.08411, 1.60556", \ + "0.332999, 0.463785, 0.534431, 0.647779, 0.835972, 1.14863, 1.66924", \ + "0.422956, 0.562426, 0.633766, 0.747962, 0.936358, 1.24934, 1.76972", \ + "0.547837, 0.704646, 0.778877, 0.892836, 1.08155, 1.39446, 1.91513", \ + "0.715095, 0.907404, 0.988325, 1.10542, 1.29436, 1.60781, 2.12797" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0434203, 0.174756, 0.267132, 0.424197, 0.69128, 1.13768, 1.88333", \ + "0.0434213, 0.174757, 0.26719, 0.424246, 0.691281, 1.13815, 1.88364", \ + "0.0449408, 0.175192, 0.267426, 0.424431, 0.69131, 1.13816, 1.88488", \ + "0.0491189, 0.178169, 0.269378, 0.42525, 0.691506, 1.13817, 1.88489", \ + "0.0589304, 0.184057, 0.273453, 0.427866, 0.692763, 1.13893, 1.8849", \ + "0.077632, 0.197424, 0.283175, 0.433018, 0.695396, 1.14026, 1.88491", \ + "0.107275, 0.230096, 0.308104, 0.448054, 0.702777, 1.14304, 1.88678" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.233973, 0.363046, 0.428818, 0.528141, 0.689223, 0.955585, 1.39804", \ + "0.268383, 0.397783, 0.462886, 0.562224, 0.723592, 0.990236, 1.43231", \ + "0.30355, 0.433388, 0.498095, 0.598029, 0.759267, 1.0257, 1.46812", \ + "0.36251, 0.494811, 0.560617, 0.660644, 0.82231, 1.08851, 1.53096", \ + "0.449408, 0.589412, 0.65672, 0.757634, 0.920227, 1.187, 1.62947", \ + "0.563059, 0.718878, 0.789382, 0.893554, 1.0562, 1.32312, 1.76748", \ + "0.714182, 0.896177, 0.971301, 1.07986, 1.24448, 1.51222, 1.95593" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0507226, 0.161671, 0.233401, 0.353868, 0.562191, 0.915314, 1.50871", \ + "0.0507236, 0.16202, 0.233402, 0.354105, 0.562192, 0.915904, 1.5088", \ + "0.0513962, 0.162312, 0.233403, 0.354106, 0.562193, 0.915905, 1.50913", \ + "0.0547887, 0.164443, 0.235283, 0.355288, 0.563052, 0.916566, 1.50914", \ + "0.0636496, 0.171758, 0.241519, 0.359979, 0.565628, 0.917088, 1.50945", \ + "0.079261, 0.186319, 0.25413, 0.369362, 0.571435, 0.920883, 1.5125", \ + "0.105943, 0.213711, 0.275896, 0.385994, 0.581845, 0.926797, 1.51619" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1 & S1 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1 * !S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.181972, 0.306058, 0.376253, 0.488788, 0.676895, 0.989447, 1.51043", \ + "0.221872, 0.345987, 0.416302, 0.529149, 0.717243, 1.02971, 1.55075", \ + "0.256859, 0.38195, 0.452092, 0.565134, 0.753209, 1.06589, 1.58813", \ + "0.313761, 0.442997, 0.513158, 0.626448, 0.814701, 1.12735, 1.64801", \ + "0.397349, 0.534893, 0.605833, 0.719599, 0.90793, 1.22072, 1.74133", \ + "0.513445, 0.668167, 0.741617, 0.855802, 1.04401, 1.35681, 1.87766", \ + "0.668025, 0.857868, 0.936798, 1.05403, 1.24261, 1.55566, 2.07529" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0421813, 0.173199, 0.266257, 0.423903, 0.690909, 1.13838, 1.88337", \ + "0.0424045, 0.173212, 0.266372, 0.423904, 0.69091, 1.13839, 1.88359", \ + "0.0440054, 0.174034, 0.26665, 0.424132, 0.690992, 1.1384, 1.88492", \ + "0.048352, 0.17695, 0.268659, 0.424837, 0.691447, 1.13841, 1.88493", \ + "0.0580967, 0.182903, 0.272564, 0.427171, 0.692839, 1.13872, 1.88494", \ + "0.077068, 0.195236, 0.281039, 0.432181, 0.695455, 1.14067, 1.88495", \ + "0.106358, 0.227278, 0.304767, 0.446299, 0.702008, 1.14294, 1.88702" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.21118, 0.337996, 0.402442, 0.501024, 0.661814, 0.927829, 1.37041", \ + "0.245663, 0.372542, 0.436411, 0.535418, 0.696538, 0.962589, 1.40502", \ + "0.279621, 0.406939, 0.471419, 0.570449, 0.731363, 0.997334, 1.43977", \ + "0.335676, 0.466114, 0.531069, 0.630597, 0.79179, 1.05795, 1.50038", \ + "0.416997, 0.555169, 0.621446, 0.721883, 0.884104, 1.15067, 1.59336", \ + "0.522287, 0.675127, 0.745214, 0.847223, 1.01044, 1.27786, 1.72094", \ + "0.661097, 0.841163, 0.91501, 1.02129, 1.18533, 1.4525, 1.89644" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0490913, 0.159871, 0.231183, 0.35225, 0.56104, 0.914963, 1.50864", \ + "0.0491319, 0.159872, 0.231184, 0.352251, 0.561127, 0.91518, 1.50865", \ + "0.0502709, 0.159873, 0.231193, 0.352305, 0.561128, 0.915487, 1.50938", \ + "0.0541908, 0.162385, 0.23321, 0.353851, 0.562088, 0.916068, 1.50939", \ + "0.0630486, 0.169477, 0.239047, 0.358205, 0.564605, 0.916876, 1.5094", \ + "0.078667, 0.183043, 0.251541, 0.366589, 0.570396, 0.920619, 1.51187", \ + "0.106179, 0.21072, 0.272211, 0.381838, 0.579551, 0.925483, 1.51616" \ + ); + } + } + timing () { + related_pin : "S0"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.19527, 0.321724, 0.391745, 0.504741, 0.692259, 1.00516, 1.52564", \ + "0.235908, 0.36178, 0.432345, 0.545405, 0.733549, 1.04619, 1.56663", \ + "0.272885, 0.399682, 0.469946, 0.58345, 0.771485, 1.08411, 1.60556", \ + "0.332999, 0.463785, 0.534431, 0.647779, 0.835972, 1.14863, 1.66924", \ + "0.422956, 0.562426, 0.633766, 0.747962, 0.936358, 1.24934, 1.76972", \ + "0.547837, 0.704646, 0.778877, 0.892836, 1.08155, 1.39446, 1.91513", \ + "0.715095, 0.907404, 0.988325, 1.10542, 1.29436, 1.60781, 2.12797" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0434203, 0.174756, 0.267132, 0.424197, 0.69128, 1.13768, 1.88333", \ + "0.0434213, 0.174757, 0.26719, 0.424246, 0.691281, 1.13815, 1.88364", \ + "0.0449408, 0.175192, 0.267426, 0.424431, 0.69131, 1.13816, 1.88488", \ + "0.0491189, 0.178169, 0.269378, 0.42525, 0.691506, 1.13817, 1.88489", \ + "0.0589304, 0.184057, 0.273453, 0.427866, 0.692763, 1.13893, 1.8849", \ + "0.077632, 0.197424, 0.283175, 0.433018, 0.695396, 1.14026, 1.88491", \ + "0.107275, 0.230096, 0.308104, 0.448054, 0.702777, 1.14304, 1.88678" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.233973, 0.363046, 0.428818, 0.528141, 0.689223, 0.955585, 1.39804", \ + "0.268383, 0.397783, 0.462886, 0.562224, 0.723592, 0.990236, 1.43231", \ + "0.30355, 0.433388, 0.498095, 0.598029, 0.759267, 1.0257, 1.46812", \ + "0.36251, 0.494811, 0.560617, 0.660644, 0.82231, 1.08851, 1.53096", \ + "0.449408, 0.589412, 0.65672, 0.757634, 0.920227, 1.187, 1.62947", \ + "0.563059, 0.718878, 0.789382, 0.893554, 1.0562, 1.32312, 1.76748", \ + "0.714182, 0.896177, 0.971301, 1.07986, 1.24448, 1.51222, 1.95593" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0507226, 0.161671, 0.233401, 0.353868, 0.562191, 0.915314, 1.50871", \ + "0.0507236, 0.16202, 0.233402, 0.354105, 0.562192, 0.915904, 1.5088", \ + "0.0513962, 0.162312, 0.233403, 0.354106, 0.562193, 0.915905, 1.50913", \ + "0.0547887, 0.164443, 0.235283, 0.355288, 0.563052, 0.916566, 1.50914", \ + "0.0636496, 0.171758, 0.241519, 0.359979, 0.565628, 0.917088, 1.50945", \ + "0.079261, 0.186319, 0.25413, 0.369362, 0.571435, 0.920883, 1.5125", \ + "0.105943, 0.213711, 0.275896, 0.385994, 0.581845, 0.926797, 1.51619" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A2 == 1'b1 & A3 == 1'b0 & S1 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A2 * !A3 * S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.280919, 0.407485, 0.477696, 0.590729, 0.778965, 1.09188, 1.61258", \ + "0.323209, 0.449326, 0.519975, 0.633154, 0.821646, 1.13412, 1.65479", \ + "0.357911, 0.484245, 0.554698, 0.667775, 0.856679, 1.16875, 1.68901", \ + "0.411945, 0.538012, 0.608353, 0.721775, 0.910139, 1.22266, 1.74326", \ + "0.486921, 0.612972, 0.683327, 0.796641, 0.984592, 1.29712, 1.81782", \ + "0.587662, 0.714373, 0.784706, 0.897863, 1.08575, 1.39835, 1.91863", \ + "0.723154, 0.851231, 0.921846, 1.03518, 1.22329, 1.53597, 2.05613" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.044067, 0.175205, 0.267496, 0.424342, 0.691302, 1.13804, 1.88341", \ + "0.044068, 0.175206, 0.267514, 0.4245, 0.691403, 1.13805, 1.88342", \ + "0.044069, 0.175207, 0.267515, 0.424545, 0.691598, 1.13806, 1.88363", \ + "0.04407, 0.175208, 0.267559, 0.424554, 0.691599, 1.13816, 1.88364", \ + "0.044146, 0.175209, 0.26756, 0.424555, 0.6916, 1.13817, 1.88966", \ + "0.044968, 0.17526, 0.267561, 0.424556, 0.691601, 1.13818, 1.88967", \ + "0.047537, 0.176235, 0.268159, 0.424557, 0.691602, 1.13819, 1.88968" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.305383, 0.43416, 0.499783, 0.59905, 0.760449, 1.02669, 1.46927", \ + "0.352434, 0.481881, 0.546441, 0.646318, 0.80755, 1.07389, 1.51651", \ + "0.387934, 0.517316, 0.582233, 0.681914, 0.843169, 1.10942, 1.55194", \ + "0.440108, 0.569082, 0.63365, 0.733343, 0.894815, 1.16096, 1.60381", \ + "0.517289, 0.646013, 0.710818, 0.810392, 0.971406, 1.23761, 1.6801", \ + "0.627587, 0.756681, 0.822258, 0.921486, 1.08242, 1.34815, 1.7903", \ + "0.786804, 0.917829, 0.983307, 1.08279, 1.24401, 1.51028, 1.95214" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0508324, 0.161722, 0.233641, 0.353984, 0.5623, 0.915295, 1.50863", \ + "0.0508867, 0.162272, 0.233642, 0.353989, 0.562301, 0.915675, 1.50876", \ + "0.0508877, 0.162273, 0.233643, 0.35399, 0.562302, 0.915982, 1.5088", \ + "0.0508887, 0.162274, 0.233644, 0.353991, 0.562492, 0.915983, 1.51015", \ + "0.0508897, 0.162275, 0.233645, 0.353992, 0.562493, 0.915984, 1.51016", \ + "0.051439, 0.162276, 0.233646, 0.353993, 0.562494, 0.915985, 1.51017", \ + "0.054009, 0.163707, 0.234627, 0.354581, 0.562495, 0.915986, 1.51018" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0 & S1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1 * !S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.270455, 0.395155, 0.465001, 0.578191, 0.766661, 1.07919, 1.60013", \ + "0.312368, 0.436705, 0.506935, 0.620346, 0.808442, 1.12124, 1.64169", \ + "0.346816, 0.471367, 0.541634, 0.654862, 0.843101, 1.1557, 1.67632", \ + "0.400073, 0.524841, 0.594965, 0.708443, 0.896648, 1.20926, 1.72979", \ + "0.47391, 0.598469, 0.668657, 0.781742, 0.970058, 1.28265, 1.80347", \ + "0.572569, 0.697687, 0.767829, 0.88102, 1.06924, 1.38175, 1.90237", \ + "0.704953, 0.832453, 0.902424, 1.01561, 1.20394, 1.51682, 2.03721" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0432134, 0.174119, 0.266832, 0.424195, 0.691346, 1.1384, 1.88363", \ + "0.0432454, 0.17412, 0.266833, 0.424208, 0.691433, 1.13841, 1.88416", \ + "0.0432464, 0.174121, 0.266834, 0.424209, 0.691434, 1.13842, 1.88417", \ + "0.0432474, 0.174122, 0.266988, 0.424349, 0.691716, 1.13928, 1.88418", \ + "0.0436071, 0.174123, 0.266989, 0.42435, 0.691717, 1.13929, 1.88464", \ + "0.044547, 0.174216, 0.26699, 0.424351, 0.691718, 1.1393, 1.88465", \ + "0.047535, 0.175913, 0.267773, 0.424677, 0.691719, 1.13931, 1.88466" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.287257, 0.413685, 0.478478, 0.577306, 0.738305, 1.00443, 1.44717", \ + "0.33267, 0.45974, 0.523539, 0.622861, 0.784027, 1.05008, 1.49281", \ + "0.368243, 0.495603, 0.559498, 0.658697, 0.819752, 1.08639, 1.52863", \ + "0.420243, 0.546717, 0.610678, 0.709873, 0.8711, 1.13743, 1.57987", \ + "0.496326, 0.622727, 0.686922, 0.78611, 0.947032, 1.21314, 1.65584", \ + "0.606242, 0.733475, 0.79802, 0.896792, 1.05752, 1.32377, 1.766", \ + "0.761065, 0.890651, 0.955447, 1.05453, 1.21547, 1.48178, 1.92442" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0496849, 0.159336, 0.231333, 0.352438, 0.561573, 0.915253, 1.50892", \ + "0.0498543, 0.159855, 0.231334, 0.352501, 0.561646, 0.916105, 1.50895", \ + "0.0498553, 0.159856, 0.231335, 0.352502, 0.562102, 0.916106, 1.50975", \ + "0.0498563, 0.159857, 0.231336, 0.352642, 0.562155, 0.916107, 1.50981", \ + "0.0499516, 0.159858, 0.231337, 0.352643, 0.562156, 0.916108, 1.50985", \ + "0.050838, 0.160104, 0.231525, 0.352644, 0.562157, 0.916109, 1.50986", \ + "0.053854, 0.161987, 0.232812, 0.353015, 0.562158, 0.91611, 1.50987" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A1 == 1'b0 & A3 == 1'b1 & S0 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A3 * S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.111517, 0.236879, 0.306633, 0.419516, 0.606965, 0.919176, 1.43958", \ + "0.147669, 0.272384, 0.342369, 0.455119, 0.64268, 0.954939, 1.47551", \ + "0.176301, 0.301574, 0.371859, 0.484927, 0.672629, 0.985193, 1.50502", \ + "0.218785, 0.346747, 0.417544, 0.53106, 0.719087, 1.03139, 1.55179", \ + "0.275479, 0.411702, 0.482833, 0.596394, 0.784883, 1.09777, 1.61777", \ + "0.350038, 0.504175, 0.576402, 0.690412, 0.878825, 1.19086, 1.71205", \ + "0.451291, 0.635187, 0.71125, 0.825559, 1.01394, 1.32627, 1.84716" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.039581, 0.173143, 0.266639, 0.423394, 0.690382, 1.13744, 1.88273", \ + "0.0398176, 0.173407, 0.26664, 0.423602, 0.690383, 1.13747, 1.8831", \ + "0.0426157, 0.174411, 0.267271, 0.424112, 0.69173, 1.13768, 1.88311", \ + "0.049371, 0.177052, 0.269419, 0.426045, 0.691731, 1.13773, 1.88318", \ + "0.0623899, 0.182299, 0.273044, 0.428833, 0.694153, 1.13972, 1.88323", \ + "0.079651, 0.195737, 0.281393, 0.433462, 0.696702, 1.14254, 1.88682", \ + "0.103625, 0.225897, 0.303031, 0.446028, 0.703993, 1.14642, 1.88953" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.135244, 0.260886, 0.324884, 0.423266, 0.583659, 0.849349, 1.29138", \ + "0.166058, 0.290933, 0.354644, 0.453348, 0.614135, 0.879639, 1.3217", \ + "0.194055, 0.319637, 0.383537, 0.482568, 0.64318, 0.908856, 1.35115", \ + "0.237097, 0.365057, 0.429993, 0.529573, 0.690822, 0.95664, 1.39878", \ + "0.29559, 0.429171, 0.494865, 0.595649, 0.758249, 1.02536, 1.46738", \ + "0.366567, 0.51401, 0.579856, 0.680562, 0.843506, 1.11144, 1.55546", \ + "0.459587, 0.634123, 0.703956, 0.808573, 0.970065, 1.23607, 1.68058" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0457267, 0.157818, 0.2299, 0.350971, 0.560149, 0.914916, 1.50793", \ + "0.0457277, 0.157819, 0.229901, 0.351292, 0.560551, 0.914917, 1.50803", \ + "0.0471555, 0.158286, 0.230135, 0.351631, 0.560911, 0.914918, 1.50904", \ + "0.053258, 0.161832, 0.233728, 0.354515, 0.562534, 0.915282, 1.50905", \ + "0.0645018, 0.16759, 0.238903, 0.359658, 0.56735, 0.918513, 1.5105", \ + "0.083634, 0.179721, 0.246484, 0.365046, 0.572045, 0.923835, 1.51499", \ + "0.110784, 0.209735, 0.268755, 0.380546, 0.579203, 0.927666, 1.51929" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A0 == 1'b0 & A2 == 1'b1 & S0 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A2 * !S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.111229, 0.236248, 0.306322, 0.419144, 0.606667, 0.918866, 1.43927", \ + "0.147504, 0.272003, 0.342162, 0.454972, 0.642608, 0.954839, 1.47535", \ + "0.176269, 0.301381, 0.371786, 0.484858, 0.672626, 0.985459, 1.50515", \ + "0.218755, 0.346673, 0.417448, 0.531068, 0.719014, 1.03136, 1.55173", \ + "0.276032, 0.412099, 0.482956, 0.596834, 0.785308, 1.09786, 1.61832", \ + "0.351327, 0.50605, 0.577347, 0.691362, 0.879607, 1.19215, 1.71266", \ + "0.45131, 0.635212, 0.711362, 0.825591, 1.01387, 1.32624, 1.84679" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0394561, 0.173684, 0.266658, 0.42344, 0.690529, 1.13745, 1.88273", \ + "0.0397083, 0.173685, 0.266659, 0.423662, 0.69053, 1.13752, 1.8831", \ + "0.0425893, 0.174482, 0.267331, 0.424275, 0.693296, 1.13861, 1.88311", \ + "0.0493673, 0.177197, 0.269448, 0.426055, 0.693297, 1.13862, 1.88321", \ + "0.0622705, 0.182117, 0.272948, 0.428806, 0.694185, 1.13953, 1.88374", \ + "0.079374, 0.196515, 0.281328, 0.433454, 0.69673, 1.14253, 1.8868", \ + "0.103619, 0.225991, 0.30318, 0.44608, 0.703994, 1.14644, 1.88952" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.135078, 0.260891, 0.324975, 0.423155, 0.583664, 0.849368, 1.29138", \ + "0.1658, 0.290965, 0.355075, 0.45315, 0.614001, 0.879438, 1.32161", \ + "0.193636, 0.31944, 0.383438, 0.482287, 0.642945, 0.908959, 1.35106", \ + "0.236978, 0.364723, 0.429682, 0.529238, 0.690562, 0.956464, 1.39861", \ + "0.295352, 0.42937, 0.494583, 0.595244, 0.757551, 1.02513, 1.46732", \ + "0.366366, 0.513844, 0.580052, 0.680846, 0.843415, 1.11135, 1.55538", \ + "0.459055, 0.633957, 0.704493, 0.807927, 0.969259, 1.23631, 1.68027" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0457652, 0.157406, 0.229862, 0.351002, 0.560168, 0.914677, 1.5079", \ + "0.0457662, 0.157407, 0.230271, 0.351157, 0.560568, 0.914678, 1.50802", \ + "0.0471494, 0.158418, 0.230516, 0.351661, 0.560934, 0.914895, 1.50899", \ + "0.0531776, 0.161906, 0.23407, 0.354749, 0.562635, 0.915433, 1.509", \ + "0.0645483, 0.168264, 0.238701, 0.359607, 0.566932, 0.918526, 1.51101", \ + "0.08355, 0.179691, 0.24672, 0.365442, 0.572075, 0.924397, 1.51467", \ + "0.110785, 0.210145, 0.270386, 0.38061, 0.579267, 0.928142, 1.5193" \ + ); + } + } + timing () { + related_pin : "S1"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.111517, 0.236879, 0.306633, 0.419516, 0.606965, 0.919176, 1.43958", \ + "0.147669, 0.272384, 0.342369, 0.455119, 0.64268, 0.954939, 1.47551", \ + "0.176301, 0.301574, 0.371859, 0.484927, 0.672629, 0.985193, 1.50502", \ + "0.218785, 0.346747, 0.417544, 0.53106, 0.719087, 1.03139, 1.55179", \ + "0.275479, 0.411702, 0.482833, 0.596394, 0.784883, 1.09777, 1.61777", \ + "0.350038, 0.504175, 0.576402, 0.690412, 0.878825, 1.19086, 1.71205", \ + "0.451291, 0.635187, 0.71125, 0.825559, 1.01394, 1.32627, 1.84716" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.039581, 0.173143, 0.266639, 0.423394, 0.690382, 1.13744, 1.88273", \ + "0.0398176, 0.173407, 0.26664, 0.423602, 0.690383, 1.13747, 1.8831", \ + "0.0426157, 0.174411, 0.267271, 0.424112, 0.69173, 1.13768, 1.88311", \ + "0.049371, 0.177052, 0.269419, 0.426045, 0.691731, 1.13773, 1.88318", \ + "0.0623899, 0.182299, 0.273044, 0.428833, 0.694153, 1.13972, 1.88323", \ + "0.079651, 0.195737, 0.281393, 0.433462, 0.696702, 1.14254, 1.88682", \ + "0.103625, 0.225897, 0.303031, 0.446028, 0.703993, 1.14642, 1.88953" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.165441, 0.293592, 0.358462, 0.457665, 0.618397, 0.884107, 1.32629", \ + "0.205874, 0.333313, 0.398104, 0.497532, 0.658544, 0.924243, 1.36666", \ + "0.235903, 0.362272, 0.426476, 0.525903, 0.686978, 0.953185, 1.39533", \ + "0.280771, 0.406243, 0.469872, 0.568764, 0.729354, 0.995234, 1.43747", \ + "0.341944, 0.467142, 0.531145, 0.629039, 0.788972, 1.05366, 1.4954", \ + "0.421651, 0.547777, 0.611829, 0.710482, 0.870601, 1.13499, 1.57553", \ + "0.535363, 0.662037, 0.726956, 0.826793, 0.988148, 1.25367, 1.69467" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0482582, 0.16048, 0.232368, 0.352973, 0.561149, 0.914562, 1.50804", \ + "0.0482592, 0.160481, 0.232369, 0.352974, 0.561433, 0.915273, 1.50815", \ + "0.0482602, 0.160482, 0.23237, 0.352975, 0.561508, 0.915274, 1.50816", \ + "0.0482612, 0.160483, 0.232371, 0.352976, 0.561509, 0.915275, 1.51148", \ + "0.0482622, 0.160484, 0.232372, 0.352977, 0.56151, 0.915276, 1.51149", \ + "0.0482632, 0.160485, 0.232373, 0.352978, 0.561511, 0.915277, 1.5115", \ + "0.049969, 0.162003, 0.233415, 0.354191, 0.561512, 0.915278, 1.51151" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A1 == 1'b1 & A3 == 1'b0 & S0 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A3 * S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.149165, 0.272271, 0.341875, 0.455018, 0.642546, 0.954817, 1.47543", \ + "0.187546, 0.31051, 0.380326, 0.49306, 0.680961, 0.993536, 1.51345", \ + "0.217887, 0.340128, 0.409555, 0.522433, 0.710301, 1.02256, 1.54416", \ + "0.262686, 0.384337, 0.453722, 0.566033, 0.753792, 1.06594, 1.58624", \ + "0.322557, 0.444722, 0.513389, 0.62544, 0.812404, 1.12428, 1.64454", \ + "0.393027, 0.515606, 0.585075, 0.697369, 0.884489, 1.19556, 1.71472", \ + "0.481074, 0.605717, 0.674927, 0.788135, 0.975554, 1.28783, 1.80672" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0410426, 0.172549, 0.265526, 0.422985, 0.690303, 1.13725, 1.88276", \ + "0.0410436, 0.17255, 0.265823, 0.422994, 0.690304, 1.1373, 1.88315", \ + "0.0410446, 0.172551, 0.265824, 0.423253, 0.690508, 1.13731, 1.8841", \ + "0.0410456, 0.172552, 0.265825, 0.423254, 0.690509, 1.13757, 1.88411", \ + "0.0410466, 0.172553, 0.265826, 0.423255, 0.69051, 1.13758, 1.88412", \ + "0.042457, 0.172839, 0.265827, 0.423256, 0.690511, 1.13759, 1.88413", \ + "0.047032, 0.175063, 0.267296, 0.423747, 0.690512, 1.1376, 1.88414" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.165243, 0.293445, 0.358076, 0.457329, 0.618018, 0.883829, 1.32596", \ + "0.205674, 0.333602, 0.398383, 0.497455, 0.658355, 0.924156, 1.36654", \ + "0.235794, 0.361993, 0.426318, 0.5258, 0.686828, 0.9531, 1.39527", \ + "0.280705, 0.406107, 0.469738, 0.56866, 0.729214, 0.995094, 1.4375", \ + "0.34075, 0.466607, 0.531067, 0.629084, 0.78888, 1.0536, 1.49531", \ + "0.421633, 0.547716, 0.611654, 0.71041, 0.87062, 1.13491, 1.57546", \ + "0.535292, 0.662391, 0.726892, 0.826723, 0.988071, 1.25359, 1.69458" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0483056, 0.160735, 0.232529, 0.352966, 0.561533, 0.914556, 1.50804", \ + "0.0483066, 0.160736, 0.23253, 0.353095, 0.561534, 0.914976, 1.50812", \ + "0.0483076, 0.160737, 0.232531, 0.353096, 0.561786, 0.915258, 1.50813", \ + "0.0483086, 0.160738, 0.232532, 0.353097, 0.561787, 0.915259, 1.51023", \ + "0.0483096, 0.160739, 0.232533, 0.353098, 0.561788, 0.91526, 1.51024", \ + "0.0483106, 0.16074, 0.232534, 0.353099, 0.561789, 0.915261, 1.51025", \ + "0.049994, 0.162294, 0.233395, 0.354174, 0.56179, 0.915262, 1.51026" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A0 == 1'b1 & A2 == 1'b0 & S0 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A2 * !S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.14861, 0.271818, 0.341714, 0.454381, 0.642148, 0.954472, 1.47493", \ + "0.187433, 0.310255, 0.380094, 0.492754, 0.680887, 0.993263, 1.51306", \ + "0.217807, 0.339779, 0.409537, 0.522319, 0.710065, 1.02251, 1.54405", \ + "0.262698, 0.384024, 0.453288, 0.565703, 0.753468, 1.06595, 1.58671", \ + "0.322862, 0.44463, 0.513677, 0.625611, 0.812668, 1.12441, 1.64466", \ + "0.393417, 0.515854, 0.585209, 0.697596, 0.884551, 1.19587, 1.71491", \ + "0.480823, 0.605357, 0.675227, 0.787809, 0.975554, 1.28744, 1.80646" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0408834, 0.17256, 0.26565, 0.423332, 0.690412, 1.13727, 1.88276", \ + "0.0408844, 0.172561, 0.265796, 0.4234, 0.690413, 1.1373, 1.88277", \ + "0.0408854, 0.172562, 0.265797, 0.423401, 0.690516, 1.13731, 1.88403", \ + "0.0408864, 0.172563, 0.265798, 0.423402, 0.690517, 1.1375, 1.88404", \ + "0.0408874, 0.172564, 0.265799, 0.423403, 0.690518, 1.13751, 1.88405", \ + "0.042429, 0.172712, 0.2658, 0.423404, 0.690519, 1.13752, 1.88406", \ + "0.047049, 0.175134, 0.267431, 0.423712, 0.69052, 1.13753, 1.88407" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.165441, 0.293592, 0.358462, 0.457665, 0.618397, 0.884107, 1.32629", \ + "0.205874, 0.333313, 0.398104, 0.497532, 0.658544, 0.924243, 1.36666", \ + "0.235903, 0.362272, 0.426476, 0.525903, 0.686978, 0.953185, 1.39533", \ + "0.280771, 0.406243, 0.469872, 0.568764, 0.729354, 0.995234, 1.43747", \ + "0.341944, 0.467142, 0.531145, 0.629039, 0.788972, 1.05366, 1.4954", \ + "0.421651, 0.547777, 0.611829, 0.710482, 0.870601, 1.13499, 1.57553", \ + "0.535363, 0.662037, 0.726956, 0.826793, 0.988148, 1.25367, 1.69467" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0482582, 0.16048, 0.232368, 0.352973, 0.561149, 0.914562, 1.50804", \ + "0.0482592, 0.160481, 0.232369, 0.352974, 0.561433, 0.915273, 1.50815", \ + "0.0482602, 0.160482, 0.23237, 0.352975, 0.561508, 0.915274, 1.50816", \ + "0.0482612, 0.160483, 0.232371, 0.352976, 0.561509, 0.915275, 1.51148", \ + "0.0482622, 0.160484, 0.232372, 0.352977, 0.56151, 0.915276, 1.51149", \ + "0.0482632, 0.160485, 0.232373, 0.352978, 0.561511, 0.915277, 1.5115", \ + "0.049969, 0.162003, 0.233415, 0.354191, 0.561512, 0.915278, 1.51151" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00996585, 0.0101773, 0.010243, 0.0102308, 0.0102139, 0.0100454, 0.0100966", \ + "0.00986447, 0.0100865, 0.0101425, 0.0101436, 0.010075, 0.0099458, 0.0100114", \ + "0.00981384, 0.0100459, 0.0100879, 0.0100741, 0.0100226, 0.00993813, 0.00997061", \ + "0.00981235, 0.00994819, 0.00998836, 0.0100529, 0.0100036, 0.00983823, 0.00995321", \ + "0.00984978, 0.00996485, 0.0100062, 0.00994203, 0.00999284, 0.0098764, 0.00994009", \ + "0.0101725, 0.010132, 0.0101961, 0.0102121, 0.010205, 0.0100286, 0.0102989", \ + "0.0112251, 0.0110224, 0.0109659, 0.0110991, 0.0110826, 0.0110826, 0.0107368" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00942268, 0.00968529, 0.00974862, 0.00975657, 0.00972645, 0.00962899, 0.00935165", \ + "0.0093772, 0.00959259, 0.00963099, 0.00965399, 0.00962328, 0.00953944, 0.0092735", \ + "0.00930589, 0.00955659, 0.00960783, 0.00968564, 0.00960293, 0.00954235, 0.0092378", \ + "0.00930291, 0.0094612, 0.00951027, 0.00961179, 0.00952343, 0.00940824, 0.00918622", \ + "0.00936767, 0.00949228, 0.00955952, 0.00950361, 0.00951082, 0.00968098, 0.00922368", \ + "0.00961734, 0.00960159, 0.00972944, 0.00974992, 0.00979999, 0.00958934, 0.00980438", \ + "0.0105625, 0.0103667, 0.0103991, 0.0104447, 0.0104804, 0.0104786, 0.0104539" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00981938, 0.0100232, 0.0100988, 0.010104, 0.0100521, 0.00988812, 0.00998795", \ + "0.00971049, 0.00994314, 0.00997744, 0.0100273, 0.00993683, 0.00980636, 0.0098859", \ + "0.00966071, 0.00990068, 0.00994733, 0.00992239, 0.00991425, 0.00973925, 0.00989574", \ + "0.009667, 0.00980645, 0.00985807, 0.00997823, 0.00982104, 0.00968039, 0.00993924", \ + "0.00969403, 0.00980213, 0.00984537, 0.0097898, 0.009826, 0.0100296, 0.00976957", \ + "0.0100094, 0.0099638, 0.0100203, 0.0100386, 0.0100293, 0.00983528, 0.010366", \ + "0.0110394, 0.0108521, 0.0108144, 0.0108493, 0.0108786, 0.0109384, 0.0105702" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0141891, 0.014453, 0.0145092, 0.0145225, 0.01447, 0.014404, 0.0141336", \ + "0.0141497, 0.0143571, 0.0144052, 0.0144373, 0.0144115, 0.0143244, 0.0140541", \ + "0.0140918, 0.0143484, 0.0143809, 0.0144305, 0.0143909, 0.0143101, 0.0140621", \ + "0.014102, 0.0142359, 0.0142929, 0.0143939, 0.0143207, 0.0142151, 0.0140577", \ + "0.0141784, 0.0142667, 0.0143285, 0.0142891, 0.0142828, 0.0143252, 0.0147064", \ + "0.0144486, 0.0144524, 0.0145522, 0.0145283, 0.0146437, 0.0144257, 0.0145914", \ + "0.0154766, 0.0152455, 0.0152198, 0.0153001, 0.0153286, 0.0153925, 0.0153152" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101719, 0.0103703, 0.0104185, 0.0104303, 0.0103931, 0.010248, 0.0103258", \ + "0.0100918, 0.0103426, 0.0103444, 0.0103384, 0.010302, 0.010168, 0.0102592", \ + "0.0100335, 0.0102615, 0.0102656, 0.0102772, 0.0102394, 0.0101108, 0.0102179", \ + "0.0100447, 0.0101552, 0.0102085, 0.0103465, 0.0102257, 0.0101018, 0.0102767", \ + "0.0100702, 0.0101698, 0.0102159, 0.0101595, 0.0102007, 0.0100772, 0.0107573", \ + "0.0104088, 0.0103571, 0.0103978, 0.0104429, 0.0104295, 0.0102737, 0.0104401", \ + "0.0114437, 0.0112288, 0.0112663, 0.0113213, 0.0113028, 0.0112928, 0.0110294" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0103091, 0.0105311, 0.0105996, 0.010591, 0.010578, 0.0104651, 0.0102505", \ + "0.0102841, 0.0104099, 0.0104603, 0.0104952, 0.0104615, 0.0103925, 0.0100686", \ + "0.0101939, 0.0104543, 0.0104997, 0.0105158, 0.0104777, 0.0104471, 0.0101269", \ + "0.0101772, 0.0103399, 0.0103825, 0.010502, 0.0104064, 0.0107025, 0.0101064", \ + "0.0102676, 0.010343, 0.0104229, 0.0103509, 0.0104106, 0.0106627, 0.0104445", \ + "0.0105239, 0.0104761, 0.0105527, 0.0106602, 0.010643, 0.0104495, 0.0106497", \ + "0.0114838, 0.0112933, 0.0112872, 0.0114114, 0.0113947, 0.0115163, 0.0113696" \ + ); + } + } + internal_power () { + related_pin : "A3"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.010054, 0.0102535, 0.010309, 0.0103252, 0.0102965, 0.0101162, 0.0102126", \ + "0.00995366, 0.0101474, 0.0102494, 0.0102258, 0.0101648, 0.0100518, 0.0101265", \ + "0.00990568, 0.0101355, 0.0101578, 0.0101543, 0.0101595, 0.00996855, 0.0100594", \ + "0.00992017, 0.0100374, 0.0100883, 0.0101764, 0.0100844, 0.00997311, 0.0100731", \ + "0.00995113, 0.010045, 0.0100744, 0.0100206, 0.010091, 0.00998435, 0.010504", \ + "0.0102993, 0.0102174, 0.0103053, 0.0103045, 0.0102875, 0.0100279, 0.0105866", \ + "0.0113198, 0.0111317, 0.0110682, 0.0110799, 0.0111905, 0.0111961, 0.0108204" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0143179, 0.0145497, 0.0146147, 0.0145945, 0.014555, 0.0144871, 0.0142272", \ + "0.0143158, 0.0144659, 0.0145154, 0.0145397, 0.014486, 0.0143979, 0.0141288", \ + "0.0142214, 0.0144747, 0.0144709, 0.0145198, 0.0145005, 0.01447, 0.0141829", \ + "0.0142346, 0.0143747, 0.0144129, 0.0145291, 0.0144481, 0.0147281, 0.0141795", \ + "0.0142978, 0.014371, 0.014421, 0.0143818, 0.0144146, 0.0144417, 0.0146938", \ + "0.0145614, 0.0145, 0.0145857, 0.0146993, 0.0147223, 0.014409, 0.0146747", \ + "0.015603, 0.0153651, 0.0153659, 0.0153972, 0.0154428, 0.0154895, 0.0153811" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(A2 * !A3 * S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0092451, 0.0094362, 0.0094646, 0.0094672, 0.0094427, 0.0094503, 0.0093857", \ + "0.0092935, 0.0094176, 0.0094586, 0.0094717, 0.0094524, 0.0092853, 0.009376", \ + "0.00921107, 0.00943137, 0.00945227, 0.00945087, 0.00946617, 0.00927847, 0.00933857", \ + "0.009165, 0.0093371, 0.0094078, 0.0093991, 0.0096349, 0.0092049, 0.0092084", \ + "0.009105, 0.0092789, 0.0093018, 0.0092746, 0.0093836, 0.0090899, 0.0094322", \ + "0.0090171, 0.0091798, 0.0092384, 0.0093008, 0.0092687, 0.0091989, 0.0092909", \ + "0.008947, 0.0090404, 0.0091148, 0.0091595, 0.009236, 0.0092613, 0.0089452" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0100866, 0.0102559, 0.0103559, 0.0103398, 0.0103287, 0.0102376, 0.0099911", \ + "0.0102146, 0.0103601, 0.0103805, 0.010413, 0.010366, 0.0102487, 0.0100042", \ + "0.0101222, 0.0103743, 0.0104348, 0.0103914, 0.0103897, 0.0102954, 0.0100663", \ + "0.0100762, 0.0102387, 0.0102602, 0.0103667, 0.0103295, 0.0101605, 0.0099968", \ + "0.0099822, 0.0101548, 0.010223, 0.0102332, 0.0101313, 0.0102904, 0.0098917", \ + "0.0098821, 0.0100495, 0.0101305, 0.0101807, 0.0102425, 0.0100281, 0.0098881", \ + "0.0098558, 0.009998, 0.0100281, 0.0100825, 0.0100368, 0.0101884, 0.0101878" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(A0 * !A1 * !S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0092157, 0.0093912, 0.0094267, 0.0094433, 0.0094203, 0.0094249, 0.0093486", \ + "0.0092957, 0.0094197, 0.0094543, 0.0094692, 0.009425, 0.0092936, 0.0093748", \ + "0.00922537, 0.00947867, 0.00948977, 0.00946207, 0.00943067, 0.00929367, 0.00941347", \ + "0.00918, 0.0093628, 0.0094317, 0.0094257, 0.0096072, 0.0092765, 0.0093131", \ + "0.0090943, 0.0092633, 0.0092936, 0.0092711, 0.0094345, 0.0090883, 0.0091931", \ + "0.0089926, 0.0091539, 0.0092278, 0.0092734, 0.0092418, 0.0091394, 0.0092842", \ + "0.0089537, 0.0090725, 0.0091165, 0.0091604, 0.0092501, 0.0092209, 0.0089639" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0097263, 0.0099186, 0.0100105, 0.0100135, 0.0099882, 0.00986, 0.0096621", \ + "0.0104653, 0.0106591, 0.0106827, 0.0107162, 0.0107073, 0.0105488, 0.0103548", \ + "0.0104819, 0.0107589, 0.0107634, 0.0107912, 0.0108299, 0.010687, 0.0104895", \ + "0.0104227, 0.010593, 0.0106174, 0.0107115, 0.0106863, 0.0104963, 0.0102528", \ + "0.0102783, 0.0104459, 0.0105501, 0.0105145, 0.0104742, 0.0105645, 0.0101486", \ + "0.0101638, 0.0103669, 0.0104059, 0.0104768, 0.0105411, 0.0103148, 0.0101676", \ + "0.010127, 0.010294, 0.0103168, 0.0103735, 0.0103635, 0.010503, 0.0103789" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(!A2 * A3 * S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0025616, 0.0028148, 0.0028653, 0.0028516, 0.0027412, 0.0027449, 0.0026578", \ + "0.0005143, 0.0007273, 0.0007823, 0.0007812, 0.0007579, 0.000606299, 0.0006722", \ + "9.80999e-05, 0.0003098, 0.0003263, 0.0003814, 0.0003073, 0.0001748, 0.0003453", \ + "0.000244101, 0.0003338, 0.0003709, 0.0004364, 0.0003948, 0.000221901, 0.000325901", \ + "0.000579, 0.0006292, 0.0006429, 0.000649801, 0.0006895, 0.0005623, 0.00065", \ + "0.0018426, 0.001717, 0.0017685, 0.001755, 0.0017724, 0.001551, 0.0020557", \ + "0.0049255, 0.0045794, 0.0045839, 0.004557, 0.0046467, 0.0046896, 0.0043039" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0055182, 0.0057461, 0.0058716, 0.0058641, 0.0058004, 0.005739, 0.0054956", \ + "0.0059345, 0.0061295, 0.0061883, 0.0061863, 0.0061587, 0.0060656, 0.0058128", \ + "0.00594727, 0.00619377, 0.00622427, 0.00626147, 0.00622897, 0.00618117, 0.00587457", \ + "0.0061182, 0.0062059, 0.0062695, 0.0063706, 0.0063016, 0.0062283, 0.0059601", \ + "0.0068613, 0.0068843, 0.0069426, 0.0068649, 0.0068676, 0.0069764, 0.0066102", \ + "0.0083132, 0.0081739, 0.0082838, 0.0083632, 0.0083707, 0.0080953, 0.0083741", \ + "0.0114122, 0.0110512, 0.0110087, 0.0111376, 0.0111437, 0.0112448, 0.0110272" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(!A0 * A1 * !S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0025529, 0.0027964, 0.0028568, 0.0027952, 0.0027571, 0.0027824, 0.0027264", \ + "0.000482299, 0.000724699, 0.0007751, 0.0007721, 0.0007344, 0.0005782, 0.0007205", \ + "4.57e-05, 0.0002566, 0.000285299, 0.0003104, 0.0002525, 0.0001213, 0.0003047", \ + "0.0001047, 0.000219001, 0.0002577, 0.0003822, 0.0002677, 9.08999e-05, 0.000204301", \ + "0.0004604, 0.0005108, 0.0005665, 0.0005223, 0.0005442, 0.0004351, 0.0008401", \ + "0.0017055, 0.0015984, 0.0016402, 0.0016591, 0.0016537, 0.0014341, 0.001931", \ + "0.0047281, 0.0044539, 0.0044317, 0.0044645, 0.0045391, 0.0045665, 0.0041209" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0049601, 0.0052101, 0.0052965, 0.0052672, 0.0052241, 0.0051096, 0.0048922", \ + "0.0061319, 0.0063908, 0.0064263, 0.0064487, 0.006456, 0.0063248, 0.006101", \ + "0.00638977, 0.00664047, 0.00672367, 0.00677477, 0.00668147, 0.00658107, 0.00636907", \ + "0.0065819, 0.0067052, 0.0067548, 0.0068596, 0.00686, 0.0066985, 0.0064263", \ + "0.0073028, 0.0073394, 0.0073917, 0.0073463, 0.0073368, 0.0076472, 0.007571", \ + "0.008677, 0.0085329, 0.0086865, 0.0086805, 0.0088051, 0.0085351, 0.008746", \ + "0.0117466, 0.0114144, 0.0113931, 0.0114897, 0.0114743, 0.0115493, 0.0115279" \ + ); + } + } + internal_power () { + related_pin : "S0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0025616, 0.0028148, 0.0028653, 0.0028516, 0.0027412, 0.0027449, 0.0026578", \ + "0.0005143, 0.0007273, 0.0007823, 0.0007812, 0.0007579, 0.000606299, 0.0006722", \ + "9.80999e-05, 0.0003098, 0.0003263, 0.0003814, 0.0003073, 0.0001748, 0.0003453", \ + "0.000244101, 0.0003338, 0.0003709, 0.0004364, 0.0003948, 0.000221901, 0.000325901", \ + "0.000579, 0.0006292, 0.0006429, 0.000649801, 0.0006895, 0.0005623, 0.00065", \ + "0.0018426, 0.001717, 0.0017685, 0.001755, 0.0017724, 0.001551, 0.0020557", \ + "0.0049255, 0.0045794, 0.0045839, 0.004557, 0.0046467, 0.0046896, 0.0043039" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0049601, 0.0052101, 0.0052965, 0.0052672, 0.0052241, 0.0051096, 0.0048922", \ + "0.0061319, 0.0063908, 0.0064263, 0.0064487, 0.006456, 0.0063248, 0.006101", \ + "0.00638977, 0.00664047, 0.00672367, 0.00677477, 0.00668147, 0.00658107, 0.00636907", \ + "0.0065819, 0.0067052, 0.0067548, 0.0068596, 0.00686, 0.0066985, 0.0064263", \ + "0.0073028, 0.0073394, 0.0073917, 0.0073463, 0.0073368, 0.0076472, 0.007571", \ + "0.008677, 0.0085329, 0.0086865, 0.0086805, 0.0088051, 0.0085351, 0.008746", \ + "0.0117466, 0.0114144, 0.0113931, 0.0114897, 0.0114743, 0.0115493, 0.0115279" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(A1 * !A3 * S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00615741, 0.00641261, 0.00642536, 0.00644306, 0.00638374, 0.00620142, 0.00630196", \ + "0.00635081, 0.006598, 0.0066325, 0.00662409, 0.00658099, 0.00644752, 0.00650798", \ + "0.00644638, 0.00671912, 0.00670931, 0.00676842, 0.0066595, 0.00653007, 0.00661224", \ + "0.00666385, 0.00686919, 0.00691549, 0.00704939, 0.00683959, 0.00669489, 0.00682506", \ + "0.0069443, 0.0071802, 0.0072074, 0.0071545, 0.0072202, 0.0070757, 0.0069659", \ + "0.00741105, 0.00759755, 0.00763635, 0.00766445, 0.00761605, 0.00750255, 0.00766005", \ + "0.00842721, 0.00851661, 0.00850891, 0.00860911, 0.00861941, 0.00866061, 0.00838371" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0057676, 0.00608882, 0.00611994, 0.00613828, 0.00605525, 0.00592336, 0.00565654", \ + "0.00602941, 0.00633808, 0.0064016, 0.00637914, 0.00637129, 0.0062709, 0.00596724", \ + "0.00615638, 0.00644193, 0.00651793, 0.0065074, 0.00650196, 0.00637859, 0.00615121", \ + "0.00638602, 0.00664305, 0.00664443, 0.00673099, 0.00668337, 0.00657896, 0.00639833", \ + "0.00662113, 0.00687893, 0.00696143, 0.00697273, 0.00688633, 0.00695213, 0.00673583", \ + "0.0070829, 0.0072837, 0.0073252, 0.0073746, 0.0074124, 0.0073286, 0.0070586", \ + "0.00814962, 0.00831052, 0.00835242, 0.00832292, 0.00844062, 0.00848932, 0.00848602" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(A0 * !A2 * !S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00563964, 0.00589778, 0.00593716, 0.00591912, 0.00588166, 0.00571416, 0.00579346", \ + "0.00585586, 0.00609646, 0.00613957, 0.00613427, 0.00609863, 0.005964, 0.00591829", \ + "0.00596339, 0.00622034, 0.00622071, 0.00633418, 0.00617134, 0.00603968, 0.00616495", \ + "0.00619058, 0.00636209, 0.00640263, 0.00652001, 0.00633079, 0.00618579, 0.00630275", \ + "0.00647918, 0.0067106, 0.0067585, 0.0066899, 0.0067796, 0.0066199, 0.00649287", \ + "0.00694085, 0.00712535, 0.00715275, 0.00719205, 0.00715685, 0.00702115, 0.00744085", \ + "0.00793271, 0.00801711, 0.00804681, 0.00810461, 0.00814741, 0.00815991, 0.00790021" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00576474, 0.00607294, 0.00613383, 0.00613965, 0.00606959, 0.00591962, 0.00565464", \ + "0.00603345, 0.00631014, 0.00637651, 0.00640077, 0.00637661, 0.00624571, 0.00600861", \ + "0.00615897, 0.00644993, 0.00655677, 0.00651266, 0.00646576, 0.00638066, 0.00614757", \ + "0.00638562, 0.00665472, 0.0066527, 0.0067053, 0.00670105, 0.00657106, 0.00651248", \ + "0.00666203, 0.00691323, 0.00696703, 0.00697223, 0.00689053, 0.00693693, 0.00673523", \ + "0.0070839, 0.0072807, 0.0073261, 0.007374, 0.0073996, 0.007217, 0.0070577", \ + "0.00815562, 0.00828832, 0.00835452, 0.00832122, 0.00844332, 0.00850032, 0.00845692" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(!A1 * A3 * S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00398309, 0.00436549, 0.00435636, 0.00435107, 0.00425052, 0.00419557, 0.00424088", \ + "0.00378838, 0.00413028, 0.00421465, 0.00413097, 0.00403959, 0.00388806, 0.00400675", \ + "0.00377088, 0.00404623, 0.00409419, 0.00407087, 0.00403108, 0.00385634, 0.003857", \ + "0.00376683, 0.00399518, 0.00402354, 0.00410912, 0.00395634, 0.00382503, 0.00390821", \ + "0.00397542, 0.00414504, 0.0042071, 0.00416744, 0.00414849, 0.00441856, 0.00410957", \ + "0.00459809, 0.00460205, 0.00464953, 0.00476733, 0.00479785, 0.0044854, 0.0049484", \ + "0.00612832, 0.00597282, 0.00599872, 0.00599942, 0.00604432, 0.00610712, 0.00588552" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00383546, 0.0042106, 0.00429325, 0.00424774, 0.00415466, 0.00410224, 0.00372956", \ + "0.00368833, 0.00404559, 0.00407791, 0.00408188, 0.0040518, 0.00390208, 0.00365501", \ + "0.00365204, 0.00396048, 0.00404701, 0.00404662, 0.00398939, 0.0038472, 0.00365075", \ + "0.00376465, 0.00396595, 0.00400383, 0.00407134, 0.00396883, 0.00388069, 0.00365894", \ + "0.00394256, 0.00409597, 0.00418286, 0.00418272, 0.00416135, 0.00445572, 0.00380023", \ + "0.00465748, 0.00464588, 0.00467559, 0.0047501, 0.00481569, 0.00453768, 0.00469174", \ + "0.00636131, 0.00607611, 0.00605571, 0.00620471, 0.00616931, 0.00621891, 0.00618351" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(!A0 * A2 * !S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00348605, 0.0038535, 0.00385878, 0.00385764, 0.0037474, 0.00369562, 0.00373506", \ + "0.00329805, 0.00363174, 0.00373244, 0.00364007, 0.00355235, 0.00338341, 0.00350893", \ + "0.00327282, 0.00354249, 0.00363838, 0.0035788, 0.00362943, 0.00340596, 0.00335857", \ + "0.00327924, 0.00351965, 0.00353652, 0.00365176, 0.00348075, 0.0033283, 0.00340309", \ + "0.00349969, 0.0036651, 0.00372761, 0.00368936, 0.00365719, 0.00389177, 0.00366286", \ + "0.00412403, 0.00418548, 0.00419092, 0.00429045, 0.00435512, 0.00406574, 0.00436268", \ + "0.00563132, 0.00547722, 0.00550852, 0.00550622, 0.00552072, 0.00558822, 0.00529792" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00405792, 0.00445667, 0.00452746, 0.00446771, 0.00437561, 0.00431541, 0.00392997", \ + "0.0039115, 0.00428253, 0.00438279, 0.00430494, 0.00428515, 0.00408774, 0.00387411", \ + "0.00388093, 0.00418162, 0.00430754, 0.00431352, 0.00420955, 0.00408394, 0.00388183", \ + "0.00398576, 0.00422503, 0.00426791, 0.00430247, 0.00421309, 0.00414548, 0.00385643", \ + "0.00420049, 0.00438194, 0.00443115, 0.0044123, 0.00435553, 0.00445774, 0.00417584", \ + "0.00486586, 0.00485537, 0.0048991, 0.00501248, 0.00501557, 0.00476784, 0.00488986", \ + "0.00649281, 0.00622091, 0.00620001, 0.00629361, 0.00628501, 0.00633601, 0.00624981" \ + ); + } + } + internal_power () { + related_pin : "S1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00398309, 0.00436549, 0.00435636, 0.00435107, 0.00425052, 0.00419557, 0.00424088", \ + "0.00378838, 0.00413028, 0.00421465, 0.00413097, 0.00403959, 0.00388806, 0.00400675", \ + "0.00377088, 0.00404623, 0.00409419, 0.00407087, 0.00403108, 0.00385634, 0.003857", \ + "0.00376683, 0.00399518, 0.00402354, 0.00410912, 0.00395634, 0.00382503, 0.00390821", \ + "0.00397542, 0.00414504, 0.0042071, 0.00416744, 0.00414849, 0.00441856, 0.00410957", \ + "0.00459809, 0.00460205, 0.00464953, 0.00476733, 0.00479785, 0.0044854, 0.0049484", \ + "0.00612832, 0.00597282, 0.00599872, 0.00599942, 0.00604432, 0.00610712, 0.00588552" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00405792, 0.00445667, 0.00452746, 0.00446771, 0.00437561, 0.00431541, 0.00392997", \ + "0.0039115, 0.00428253, 0.00438279, 0.00430494, 0.00428515, 0.00408774, 0.00387411", \ + "0.00388093, 0.00418162, 0.00430754, 0.00431352, 0.00420955, 0.00408394, 0.00388183", \ + "0.00398576, 0.00422503, 0.00426791, 0.00430247, 0.00421309, 0.00414548, 0.00385643", \ + "0.00420049, 0.00438194, 0.00443115, 0.0044123, 0.00435553, 0.00445774, 0.00417584", \ + "0.00486586, 0.00485537, 0.0048991, 0.00501248, 0.00501557, 0.00476784, 0.00488986", \ + "0.00649281, 0.00622091, 0.00620001, 0.00629361, 0.00628501, 0.00633601, 0.00624981" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00256115; + rise_capacitance : 0.00260735; + rise_capacitance_range (0.00260735, 0.00260735); + fall_capacitance : 0.00251495; + fall_capacitance_range (0.00251495, 0.00251495); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00254093; + rise_capacitance : 0.00258691; + rise_capacitance_range (0.00258691, 0.00258691); + fall_capacitance : 0.00249496; + fall_capacitance_range (0.00249496, 0.00249496); + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00256111; + rise_capacitance : 0.00260762; + rise_capacitance_range (0.00260762, 0.00260762); + fall_capacitance : 0.0025146; + fall_capacitance_range (0.0025146, 0.0025146); + } + pin (A3) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00264631; + rise_capacitance : 0.00269261; + rise_capacitance_range (0.00269261, 0.00269261); + fall_capacitance : 0.0026; + fall_capacitance_range (0.0026, 0.0026); + } + pin (S0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00768701; + rise_capacitance : 0.00906812; + rise_capacitance_range (0.00906812, 0.00906812); + fall_capacitance : 0.00630591; + fall_capacitance_range (0.00630591, 0.00630591); + internal_power () { + when : "(A2 * A3 * S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0113629, 0.0127969, 0.0130722, 0.0132003, 0.0134833, 0.0141526, 0.015719" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00979526, 0.00956856, 0.00949693, 0.00951859, 0.00960724, 0.0101647, 0.0116506" \ + ); + } + } + internal_power () { + when : "(A0 * A1 * !S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0114707, 0.0136084, 0.0139591, 0.0140905, 0.0143206, 0.0149722, 0.0165088" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0102218, 0.0100459, 0.00997013, 0.0100132, 0.0101049, 0.0106478, 0.0121224" \ + ); + } + } + internal_power () { + when : "(!A2 * !A3 * S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00651727, 0.00604449, 0.00597255, 0.00618089, 0.00663348, 0.00801011, 0.0110654" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00968698, 0.00948274, 0.00940337, 0.00942178, 0.00950859, 0.0100513, 0.0114927" \ + ); + } + } + internal_power () { + when : "(!A0 * !A1 * !S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00731723, 0.00683187, 0.00674914, 0.00684836, 0.00733703, 0.00865174, 0.0116502" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0117053, 0.014104, 0.0145558, 0.0147874, 0.0149118, 0.0155129, 0.016947" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0113629, 0.0127969, 0.0130722, 0.0132003, 0.0134833, 0.0141526, 0.015719" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0102218, 0.0100459, 0.00997013, 0.0100132, 0.0101049, 0.0106478, 0.0121224" \ + ); + } + } + } + pin (S1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00474201; + rise_capacitance : 0.00480301; + rise_capacitance_range (0.00480301, 0.00480301); + fall_capacitance : 0.004681; + fall_capacitance_range (0.004681, 0.004681); + internal_power () { + when : "(A1 * A3 * S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00257407, 0.00237186, 0.00236157, 0.00247943, 0.00276175, 0.00346543, 0.00515568" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00245979, 0.00231343, 0.00234296, 0.00243505, 0.00277309, 0.00353725, 0.00513807" \ + ); + } + } + internal_power () { + when : "(A0 * A2 * !S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00257227, 0.00237172, 0.00236098, 0.00247609, 0.00276093, 0.00346466, 0.00515935" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00245485, 0.00231622, 0.00234169, 0.0024314, 0.0027714, 0.00353639, 0.00513586" \ + ); + } + } + internal_power () { + when : "(!A1 * !A3 * S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00327985, 0.00307274, 0.00307136, 0.00318832, 0.00350187, 0.0042051, 0.00585448" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00319506, 0.00302285, 0.00303646, 0.00313231, 0.0034818, 0.00418095, 0.00578459" \ + ); + } + } + internal_power () { + when : "(!A0 * !A2 * !S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0033508, 0.00312319, 0.00311669, 0.00323297, 0.00355127, 0.00425426, 0.00589525" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00323353, 0.00306896, 0.00307963, 0.00317914, 0.00352744, 0.00421459, 0.00580985" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00327985, 0.00307274, 0.00307136, 0.00318832, 0.00350187, 0.0042051, 0.00585448" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00319506, 0.00302285, 0.00303646, 0.00313231, 0.0034818, 0.00418095, 0.00578459" \ + ); + } + } + } + } + cell (sg13g2_nand2_1) { + area : 7.2576; + cell_footprint : "nand2"; + cell_leakage_power : 316.16; + leakage_power () { + value : 45.5221; + when : "!A&!B"; + } + leakage_power () { + value : 139.407; + when : "!A&B"; + } + leakage_power () { + value : 124.368; + when : "A*!B"; + } + leakage_power () { + value : 955.344; + when : "A*B"; + } + pin (Y) { + direction : "output"; + function : "!(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.031369, 0.130996, 0.198735, 0.310103, 0.497066, 0.808351, 1.32772", \ + "0.0604706, 0.175393, 0.243099, 0.354956, 0.542005, 0.853425, 1.37231", \ + "0.0780803, 0.218433, 0.289327, 0.401569, 0.588467, 0.900041, 1.41912", \ + "0.102172, 0.289589, 0.371666, 0.491418, 0.679462, 0.990341, 1.5093", \ + "0.131186, 0.395179, 0.502319, 0.646996, 0.854704, 1.17203, 1.68954", \ + "0.160429, 0.534901, 0.688162, 0.884368, 1.14352, 1.5066, 2.04687", \ + "0.188354, 0.722802, 0.936716, 1.21854, 1.58338, 2.05021, 2.68965" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0209583, 0.159161, 0.256105, 0.415767, 0.683363, 1.12942, 1.87332", \ + "0.0378856, 0.16222, 0.256306, 0.415768, 0.683468, 1.12972, 1.87374", \ + "0.0548332, 0.176734, 0.264841, 0.418663, 0.683541, 1.13009, 1.87375", \ + "0.0822169, 0.214986, 0.296465, 0.438122, 0.690831, 1.13075, 1.87376", \ + "0.124361, 0.291051, 0.375555, 0.509459, 0.741104, 1.15288, 1.87755", \ + "0.188773, 0.421159, 0.52272, 0.668117, 0.891261, 1.27011, 1.94023", \ + "0.294802, 0.622558, 0.763129, 0.951984, 1.20523, 1.58484, 2.20596" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.044649, 0.199447, 0.305038, 0.479645, 0.771919, 1.25881, 2.07046", \ + "0.0734237, 0.240916, 0.34683, 0.522782, 0.814159, 1.30118, 2.11367", \ + "0.0925924, 0.282125, 0.389342, 0.564279, 0.856617, 1.34381, 2.15574", \ + "0.119886, 0.354408, 0.471344, 0.649523, 0.941709, 1.42857, 2.24159", \ + "0.155015, 0.466217, 0.606473, 0.807931, 1.11308, 1.60133, 2.41155", \ + "0.202609, 0.62755, 0.810074, 1.05877, 1.41269, 1.93504, 2.75269", \ + "0.268041, 0.853347, 1.10496, 1.43379, 1.88449, 2.50754, 3.40749" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0301931, 0.23036, 0.370002, 0.601542, 0.988749, 1.63307, 2.70782", \ + "0.0454643, 0.232439, 0.371785, 0.602505, 0.98875, 1.63368, 2.7095", \ + "0.0608146, 0.242864, 0.375238, 0.604455, 0.988751, 1.63369, 2.70951", \ + "0.0858445, 0.277886, 0.401337, 0.615772, 0.990713, 1.63415, 2.70952", \ + "0.125554, 0.351743, 0.475994, 0.677671, 1.02863, 1.64635, 2.70953", \ + "0.186515, 0.484166, 0.618657, 0.826549, 1.16412, 1.73819, 2.74607", \ + "0.284661, 0.701608, 0.868196, 1.10588, 1.46075, 2.0252, 2.96974" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0362111, 0.135423, 0.203089, 0.314838, 0.502008, 0.813285, 1.33258", \ + "0.0694157, 0.18024, 0.247869, 0.359736, 0.546898, 0.858249, 1.37722", \ + "0.0909876, 0.223846, 0.294239, 0.406449, 0.593515, 0.904905, 1.42424", \ + "0.121425, 0.296667, 0.377597, 0.496704, 0.684597, 0.995335, 1.51425", \ + "0.159592, 0.405329, 0.51011, 0.653412, 0.860129, 1.17709, 1.69461", \ + "0.201025, 0.55038, 0.699902, 0.893126, 1.15067, 1.51245, 2.05185", \ + "0.246501, 0.745535, 0.95465, 1.23232, 1.59319, 2.05827, 2.69621" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0255643, 0.164219, 0.260963, 0.421077, 0.688978, 1.13524, 1.87988", \ + "0.0406153, 0.167114, 0.261484, 0.421078, 0.689145, 1.13525, 1.87989", \ + "0.0569833, 0.181035, 0.269798, 0.423898, 0.68938, 1.13536, 1.8799", \ + "0.0846255, 0.218846, 0.300858, 0.443055, 0.696145, 1.13537, 1.87991", \ + "0.127697, 0.293456, 0.379368, 0.513675, 0.74547, 1.15828, 1.88587", \ + "0.1918, 0.423575, 0.525228, 0.671275, 0.895578, 1.27496, 1.94533", \ + "0.292141, 0.625485, 0.766483, 0.951963, 1.21034, 1.58882, 2.21069" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0512997, 0.204879, 0.3106, 0.48512, 0.777185, 1.26409, 2.07583", \ + "0.0824771, 0.244706, 0.350628, 0.525483, 0.817973, 1.30495, 2.11704", \ + "0.104566, 0.282367, 0.389459, 0.564596, 0.857072, 1.34441, 2.15622", \ + "0.135669, 0.349303, 0.463718, 0.641905, 0.935056, 1.42246, 2.23579", \ + "0.174585, 0.457413, 0.5897, 0.785363, 1.08874, 1.57858, 2.39056", \ + "0.22528, 0.62155, 0.789071, 1.02173, 1.36224, 1.8789, 2.69955", \ + "0.298996, 0.85942, 1.09175, 1.3934, 1.81194, 2.40412, 3.28938" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0298899, 0.230505, 0.370465, 0.601241, 0.98753, 1.63305, 2.70782", \ + "0.0384518, 0.231656, 0.370707, 0.601411, 0.988724, 1.63306, 2.70783", \ + "0.0491355, 0.238198, 0.37333, 0.602167, 0.988725, 1.63387, 2.7079", \ + "0.0699541, 0.261875, 0.390738, 0.610649, 0.989969, 1.63388, 2.7106", \ + "0.108224, 0.315675, 0.443082, 0.65329, 1.01558, 1.64272, 2.71061", \ + "0.170561, 0.420646, 0.551108, 0.760966, 1.1102, 1.70469, 2.73508", \ + "0.266435, 0.613099, 0.756222, 0.977148, 1.33042, 1.91134, 2.88854" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00142184, 0.00169045, 0.0016781, 0.00160759, 0.00151833, 0.00132525, 0.00105239", \ + "0.00119617, 0.00156446, 0.00157747, 0.00155948, 0.00148493, 0.00132632, 0.00108062", \ + "0.00121392, 0.00145359, 0.00156212, 0.00150586, 0.00143734, 0.00132608, 0.00102516", \ + "0.00134048, 0.00137611, 0.00141945, 0.0015599, 0.00141461, 0.0013121, 0.000999702", \ + "0.00169456, 0.00151731, 0.00150087, 0.00142999, 0.0015264, 0.0012481, 0.00102419", \ + "0.00257224, 0.00184394, 0.00174935, 0.00166561, 0.00145198, 0.00137088, 0.000966456", \ + "0.00447781, 0.00297126, 0.00261535, 0.00236987, 0.00209503, 0.00175112, 0.00118499" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00206246, 0.00229327, 0.0022623, 0.00223465, 0.0021544, 0.00199341, 0.00172077", \ + "0.00182815, 0.00215122, 0.00220929, 0.00220506, 0.00210359, 0.00197971, 0.00176747", \ + "0.00183705, 0.00208559, 0.00210616, 0.00217589, 0.00207846, 0.00193754, 0.00172047", \ + "0.00194929, 0.00199251, 0.00205323, 0.00206314, 0.00203611, 0.00197861, 0.00172887", \ + "0.00231432, 0.00208566, 0.00206172, 0.00199776, 0.00214826, 0.00203572, 0.0016613", \ + "0.00315173, 0.00247731, 0.00232944, 0.00226269, 0.00203063, 0.00207971, 0.00165181", \ + "0.00500441, 0.00368035, 0.00328705, 0.00301065, 0.00268617, 0.00222308, 0.00193355" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00152408, 0.00158516, 0.00155349, 0.00149191, 0.0014172, 0.00123106, 0.000985784", \ + "0.00131944, 0.00148488, 0.00151204, 0.00143774, 0.00137478, 0.00120451, 0.000928297", \ + "0.00131137, 0.00143157, 0.00150089, 0.00144492, 0.00135831, 0.00120907, 0.000939702", \ + "0.00142558, 0.00140464, 0.00140432, 0.00144214, 0.00142192, 0.00114184, 0.000919188", \ + "0.0017996, 0.00155073, 0.00152264, 0.00142486, 0.00143795, 0.00129112, 0.000995717", \ + "0.00253112, 0.00192323, 0.0018024, 0.00169102, 0.00146409, 0.00135809, 0.000893694", \ + "0.00424785, 0.00301304, 0.00268101, 0.00237835, 0.00214677, 0.00165088, 0.00119683" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00334257, 0.00347638, 0.00345953, 0.00340353, 0.00330236, 0.0031654, 0.00289794", \ + "0.00311877, 0.00338495, 0.00338881, 0.00336035, 0.00330038, 0.00314028, 0.00288382", \ + "0.0030764, 0.0033278, 0.00332567, 0.00334716, 0.00327643, 0.0031517, 0.00291177", \ + "0.00306766, 0.00321029, 0.00328143, 0.00328729, 0.00330409, 0.00310165, 0.00298116", \ + "0.00321451, 0.00323117, 0.00324249, 0.00321481, 0.00333983, 0.00320257, 0.00291471", \ + "0.00377333, 0.00339695, 0.00337453, 0.00337367, 0.00317662, 0.00325565, 0.00296945", \ + "0.0053262, 0.0042405, 0.00398769, 0.00382719, 0.00371385, 0.00333816, 0.00311449" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00277957; + rise_capacitance : 0.00283247; + rise_capacitance_range (0.00283247, 0.00283247); + fall_capacitance : 0.00272667; + fall_capacitance_range (0.00272667, 0.00272667); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00282315; + rise_capacitance : 0.00291869; + rise_capacitance_range (0.00291869, 0.00291869); + fall_capacitance : 0.00272762; + fall_capacitance_range (0.00272762, 0.00272762); + } + } + cell (sg13g2_nand2_2) { + area : 10.8864; + cell_footprint : "nand2"; + cell_leakage_power : 627.16; + leakage_power () { + value : 88.7819; + when : "!A&!B"; + } + leakage_power () { + value : 269.062; + when : "!A&B"; + } + leakage_power () { + value : 240.585; + when : "A*!B"; + } + leakage_power () { + value : 1910.21; + when : "A*B"; + } + pin (Y) { + direction : "output"; + function : "!(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0278835, 0.130381, 0.198803, 0.3101, 0.497286, 0.809381, 1.32976", \ + "0.0543745, 0.174857, 0.24272, 0.354779, 0.542379, 0.85444, 1.375", \ + "0.0695164, 0.217872, 0.288745, 0.401386, 0.588694, 0.901032, 1.42118", \ + "0.090024, 0.288944, 0.371215, 0.491294, 0.679736, 0.99139, 1.51168", \ + "0.113725, 0.394075, 0.501708, 0.646705, 0.85491, 1.17282, 1.69117", \ + "0.135571, 0.533074, 0.686929, 0.883633, 1.14368, 1.50725, 2.04862", \ + "0.152993, 0.7195, 0.934148, 1.21742, 1.58244, 2.05092, 2.69165" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0170981, 0.158595, 0.256284, 0.415907, 0.684298, 1.13144, 1.87799", \ + "0.0342679, 0.161857, 0.256285, 0.415908, 0.68457, 1.13176, 1.878", \ + "0.0503142, 0.17632, 0.264668, 0.4187, 0.684571, 1.13211, 1.87801", \ + "0.07575, 0.214665, 0.296294, 0.438306, 0.691802, 1.13219, 1.87802", \ + "0.115127, 0.29065, 0.375134, 0.509276, 0.74177, 1.15488, 1.88485", \ + "0.17562, 0.420007, 0.52264, 0.668247, 0.891989, 1.27163, 1.94361", \ + "0.27649, 0.622167, 0.765337, 0.953973, 1.20705, 1.58645, 2.20909" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0404398, 0.205632, 0.315466, 0.496995, 0.800721, 1.30704, 2.15097", \ + "0.0676158, 0.246964, 0.357163, 0.538941, 0.842906, 1.34947, 2.19361", \ + "0.085155, 0.288282, 0.399665, 0.581326, 0.88539, 1.39193, 2.23606", \ + "0.10999, 0.361281, 0.481873, 0.666749, 0.970353, 1.47678, 2.32177", \ + "0.141727, 0.47475, 0.618823, 0.826221, 1.14195, 1.64903, 2.49166", \ + "0.185472, 0.638397, 0.825901, 1.08073, 1.44404, 1.9844, 2.83233", \ + "0.24687, 0.870101, 1.12634, 1.46262, 1.9233, 2.56334, 3.49224" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0254669, 0.238426, 0.384123, 0.624237, 1.02674, 1.69901, 2.81661", \ + "0.0405516, 0.240341, 0.38496, 0.624566, 1.02689, 1.69902, 2.81663", \ + "0.0549367, 0.250292, 0.388895, 0.627391, 1.02731, 1.69917, 2.81784", \ + "0.078186, 0.285099, 0.413554, 0.637171, 1.02894, 1.69918, 2.81897", \ + "0.114625, 0.358515, 0.487625, 0.698075, 1.06492, 1.70847, 2.81898", \ + "0.170834, 0.49055, 0.629896, 0.84655, 1.19811, 1.79715, 2.85386", \ + "0.263051, 0.710408, 0.882054, 1.12618, 1.49505, 2.08025, 3.06803" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0336324, 0.135963, 0.203799, 0.315877, 0.503424, 0.815489, 1.33623", \ + "0.0655286, 0.180702, 0.248596, 0.360637, 0.548213, 0.860776, 1.38063", \ + "0.0859001, 0.224291, 0.294845, 0.407422, 0.594864, 0.906986, 1.4276", \ + "0.114344, 0.297241, 0.378288, 0.497636, 0.685889, 0.997494, 1.51853", \ + "0.149391, 0.406042, 0.510925, 0.654331, 0.861526, 1.1791, 1.69785", \ + "0.186453, 0.551002, 0.700929, 0.894174, 1.1522, 1.51437, 2.05482", \ + "0.224937, 0.745876, 0.955457, 1.23363, 1.59491, 2.06043, 2.69925" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0223775, 0.164571, 0.261583, 0.422153, 0.690741, 1.13789, 1.88391", \ + "0.0371223, 0.167399, 0.262074, 0.423973, 0.690742, 1.13822, 1.88443", \ + "0.052741, 0.181303, 0.270297, 0.424925, 0.691084, 1.13823, 1.88444", \ + "0.0788111, 0.218833, 0.301219, 0.443897, 0.697754, 1.13824, 1.88445", \ + "0.119221, 0.293451, 0.379654, 0.514272, 0.746962, 1.1613, 1.89098", \ + "0.179306, 0.422803, 0.524465, 0.672023, 0.896768, 1.2772, 1.94962", \ + "0.274432, 0.625539, 0.767318, 0.955875, 1.21063, 1.591, 2.21416" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0494438, 0.213191, 0.323035, 0.504383, 0.808193, 1.31456, 2.15844", \ + "0.080654, 0.253412, 0.363613, 0.545327, 0.849375, 1.35578, 2.19991", \ + "0.101988, 0.291159, 0.402419, 0.584368, 0.88851, 1.39518, 2.23923", \ + "0.131395, 0.358681, 0.476881, 0.661657, 0.966219, 1.47313, 2.31836", \ + "0.167497, 0.468443, 0.604359, 0.806194, 1.1203, 1.62928, 2.47333", \ + "0.212766, 0.635142, 0.806766, 1.04569, 1.3965, 1.93059, 2.78211", \ + "0.280922, 0.87794, 1.11423, 1.4231, 1.85226, 2.46185, 3.37566" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0251118, 0.238616, 0.383772, 0.624325, 1.02699, 1.69812, 2.81662", \ + "0.0331465, 0.239387, 0.386456, 0.624635, 1.02762, 1.69884, 2.81663", \ + "0.0430891, 0.24558, 0.386865, 0.626325, 1.02763, 1.69909, 2.81782", \ + "0.0624447, 0.268926, 0.403291, 0.63265, 1.0284, 1.6991, 2.81917", \ + "0.0984129, 0.321829, 0.454356, 0.674278, 1.05263, 1.70516, 2.81918", \ + "0.159328, 0.426773, 0.562188, 0.781202, 1.14475, 1.76573, 2.84103", \ + "0.253349, 0.620218, 0.768024, 0.998047, 1.3633, 1.96742, 2.98894" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00251201, 0.00315332, 0.00319464, 0.00299708, 0.00280561, 0.00242146, 0.00194631", \ + "0.00215072, 0.00291133, 0.00293834, 0.00290438, 0.00279294, 0.00245756, 0.00194965", \ + "0.00220641, 0.00272239, 0.00284541, 0.0028324, 0.00268638, 0.00244975, 0.00190481", \ + "0.00251346, 0.00258599, 0.00266218, 0.00278707, 0.00277753, 0.00237553, 0.00188896", \ + "0.00337804, 0.00283369, 0.00279415, 0.00264322, 0.00284059, 0.00232911, 0.00204485", \ + "0.00505529, 0.00342668, 0.00328404, 0.00309165, 0.00265742, 0.00253241, 0.00177009", \ + "0.00889334, 0.00563994, 0.00500835, 0.00456019, 0.00396378, 0.0032158, 0.00218201" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0038229, 0.00439672, 0.00436135, 0.00426097, 0.00407286, 0.00383119, 0.00329723", \ + "0.00342276, 0.00410023, 0.00420214, 0.00412314, 0.00398059, 0.00369512, 0.00326744", \ + "0.00345592, 0.0040041, 0.00403475, 0.00418788, 0.00394114, 0.00371479, 0.0032006", \ + "0.00373038, 0.00380318, 0.00393134, 0.00392044, 0.00383521, 0.00368278, 0.0033207", \ + "0.00446336, 0.00397061, 0.00393697, 0.00382435, 0.00417369, 0.0038708, 0.00313485", \ + "0.00618491, 0.00469888, 0.00445334, 0.00432674, 0.00392521, 0.00395973, 0.00343206", \ + "0.00991226, 0.00707318, 0.00629785, 0.0057793, 0.00514847, 0.00411263, 0.00389073" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0033617, 0.00350318, 0.00343738, 0.00331422, 0.00314485, 0.00275096, 0.00215115", \ + "0.00295205, 0.00329609, 0.00336642, 0.00341308, 0.00304493, 0.00274382, 0.0022484", \ + "0.00296003, 0.00320888, 0.00335415, 0.00321711, 0.00302443, 0.00273849, 0.00219827", \ + "0.00317481, 0.00316072, 0.00312883, 0.00335249, 0.00311225, 0.00260374, 0.00221856", \ + "0.00393353, 0.00342202, 0.00335997, 0.00313494, 0.00326937, 0.00270762, 0.00240591", \ + "0.00538134, 0.00409046, 0.00384736, 0.00369244, 0.00317186, 0.00296849, 0.00207672", \ + "0.00878848, 0.00622525, 0.00560892, 0.00514489, 0.00451097, 0.0037739, 0.0026352" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00634943, 0.00669853, 0.00663737, 0.00655298, 0.00639115, 0.00609157, 0.00559684", \ + "0.00593618, 0.00646366, 0.00666974, 0.00645341, 0.00632389, 0.00603423, 0.00557914", \ + "0.00585011, 0.00640852, 0.0064114, 0.00647937, 0.00627767, 0.00604351, 0.0056063", \ + "0.00583403, 0.00618987, 0.00631614, 0.00625247, 0.00619262, 0.00592208, 0.00566209", \ + "0.00611917, 0.00618227, 0.00620389, 0.00617444, 0.00648803, 0.00626914, 0.00564259", \ + "0.00718959, 0.00648265, 0.00645749, 0.00645389, 0.006104, 0.0062832, 0.00572611", \ + "0.0102829, 0.00806909, 0.00757824, 0.00735609, 0.00704752, 0.00627288, 0.00593459" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00525702; + rise_capacitance : 0.00537202; + rise_capacitance_range (0.00537202, 0.00537202); + fall_capacitance : 0.00514203; + fall_capacitance_range (0.00514203, 0.00514203); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00533936; + rise_capacitance : 0.00553779; + rise_capacitance_range (0.00553779, 0.00553779); + fall_capacitance : 0.00514093; + fall_capacitance_range (0.00514093, 0.00514093); + } + } + cell (sg13g2_nand2b_1) { + area : 9.072; + cell_footprint : "nand2b1"; + cell_leakage_power : 541.411; + leakage_power () { + value : 1046.65; + when : "!A_N&B"; + } + leakage_power () { + value : 498.615; + when : "A_N&B"; + } + leakage_power () { + value : 404.718; + when : "A_N&!B"; + } + leakage_power () { + value : 215.661; + when : "!A_N&!B"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0780259, 0.180124, 0.24821, 0.360471, 0.548178, 0.860951, 1.3822", \ + "0.11881, 0.221349, 0.289453, 0.401886, 0.590511, 0.902608, 1.42372", \ + "0.148503, 0.251642, 0.319908, 0.432281, 0.619897, 0.93301, 1.45442", \ + "0.193403, 0.298326, 0.366378, 0.47851, 0.666266, 0.979071, 1.50047", \ + "0.254535, 0.365684, 0.433188, 0.54546, 0.733106, 1.04581, 1.56754", \ + "0.335128, 0.460501, 0.528656, 0.639492, 0.826028, 1.13884, 1.65989", \ + "0.441461, 0.592942, 0.662688, 0.774649, 0.960441, 1.27201, 1.79245" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0260994, 0.163274, 0.260385, 0.420978, 0.69002, 1.13767, 1.88546", \ + "0.0283907, 0.163526, 0.260489, 0.421169, 0.690924, 1.13785, 1.88547", \ + "0.0318103, 0.164013, 0.260728, 0.42117, 0.690925, 1.13824, 1.88548", \ + "0.0392768, 0.165485, 0.261733, 0.421736, 0.690926, 1.14133, 1.88549", \ + "0.0524264, 0.169124, 0.263454, 0.423009, 0.691177, 1.14134, 1.8855", \ + "0.072604, 0.178501, 0.269006, 0.42565, 0.69331, 1.14135, 1.88593", \ + "0.101404, 0.201987, 0.284159, 0.434827, 0.698234, 1.14382, 1.88813" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0940456, 0.252014, 0.357874, 0.532674, 0.824958, 1.31195, 2.12366", \ + "0.132656, 0.290668, 0.396879, 0.571753, 0.864173, 1.35159, 2.16291", \ + "0.161726, 0.320461, 0.42668, 0.601687, 0.894472, 1.38136, 2.19324", \ + "0.204825, 0.363508, 0.469969, 0.64488, 0.937297, 1.42783, 2.23624", \ + "0.264627, 0.423897, 0.529549, 0.704476, 0.997042, 1.48437, 2.29636", \ + "0.334899, 0.501132, 0.60639, 0.780195, 1.07152, 1.55888, 2.37058", \ + "0.419702, 0.604184, 0.70891, 0.880718, 1.16907, 1.65524, 2.4666" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0358649, 0.234947, 0.374519, 0.605944, 0.99246, 1.63727, 2.71196", \ + "0.0370864, 0.234985, 0.374609, 0.605945, 0.992493, 1.63733, 2.71371", \ + "0.0396334, 0.235338, 0.374622, 0.605946, 0.993234, 1.63832, 2.71373", \ + "0.0452366, 0.236141, 0.375354, 0.605947, 0.993235, 1.64137, 2.71374", \ + "0.0555763, 0.238345, 0.376837, 0.606994, 0.993236, 1.64138, 2.71375", \ + "0.07421, 0.24303, 0.379413, 0.608844, 0.994509, 1.64139, 2.71376", \ + "0.103203, 0.25718, 0.387129, 0.613187, 0.998448, 1.6414, 2.71448" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0353131, 0.135523, 0.203331, 0.315282, 0.502658, 0.814334, 1.33447", \ + "0.0687289, 0.180253, 0.248084, 0.36014, 0.547529, 0.859269, 1.37891", \ + "0.0904677, 0.223912, 0.294442, 0.406806, 0.594164, 0.905898, 1.42605", \ + "0.121091, 0.296796, 0.377836, 0.497048, 0.685212, 0.996415, 1.51609", \ + "0.159522, 0.405543, 0.510398, 0.653733, 0.860752, 1.17804, 1.69627", \ + "0.201421, 0.55071, 0.700351, 0.893602, 1.15132, 1.51342, 2.05319", \ + "0.247618, 0.746041, 0.955276, 1.23321, 1.59334, 2.05918, 2.6973" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0265954, 0.165054, 0.261744, 0.421982, 0.690204, 1.13733, 1.88198", \ + "0.0419866, 0.16785, 0.262213, 0.422344, 0.690205, 1.13734, 1.88256", \ + "0.0586163, 0.181751, 0.270451, 0.424778, 0.690575, 1.13735, 1.88257", \ + "0.0862759, 0.219513, 0.301551, 0.443742, 0.697364, 1.13758, 1.88258", \ + "0.129589, 0.294065, 0.380019, 0.514358, 0.746704, 1.16034, 1.8857", \ + "0.193961, 0.423484, 0.525812, 0.672036, 0.89647, 1.27623, 1.94774", \ + "0.294977, 0.626265, 0.76716, 0.952525, 1.21074, 1.59056, 2.21341" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0566488, 0.211808, 0.317552, 0.492004, 0.78417, 1.27116, 2.08278", \ + "0.0862495, 0.249888, 0.356057, 0.530941, 0.823713, 1.31051, 2.12282", \ + "0.107932, 0.286822, 0.394248, 0.569622, 0.862202, 1.35023, 2.16136", \ + "0.13934, 0.353181, 0.467818, 0.646325, 0.939685, 1.42733, 2.24062", \ + "0.17892, 0.460849, 0.593393, 0.789243, 1.093, 1.58307, 2.39522", \ + "0.228743, 0.624399, 0.791829, 1.02519, 1.36584, 1.8828, 2.70391", \ + "0.301239, 0.861811, 1.09408, 1.39631, 1.81512, 2.40737, 3.29305" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0346066, 0.234687, 0.374634, 0.605577, 0.992448, 1.63727, 2.71196", \ + "0.0408734, 0.235783, 0.375371, 0.605928, 0.992716, 1.63732, 2.71376", \ + "0.0500608, 0.242182, 0.377496, 0.60881, 0.992717, 1.63885, 2.71377", \ + "0.0690301, 0.265173, 0.394321, 0.614725, 0.994289, 1.63886, 2.71378", \ + "0.105697, 0.317617, 0.445954, 0.65703, 1.01943, 1.64683, 2.71379", \ + "0.168357, 0.421327, 0.552118, 0.763264, 1.11332, 1.70836, 2.74057", \ + "0.266035, 0.613198, 0.757181, 0.983384, 1.33083, 1.91378, 2.89162" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00166604, 0.00198975, 0.001976, 0.00193783, 0.0018375, 0.00164477, 0.00143876", \ + "0.00151878, 0.00188657, 0.00187497, 0.00184956, 0.00182868, 0.00160726, 0.00138562", \ + "0.00149991, 0.00182613, 0.00189421, 0.00183147, 0.00174885, 0.00160336, 0.0014041", \ + "0.00140575, 0.0017009, 0.00170248, 0.00179, 0.00163491, 0.00164791, 0.00127702", \ + "0.0014087, 0.00165811, 0.00171024, 0.00167642, 0.00165784, 0.00157859, 0.00136091", \ + "0.00145176, 0.00158649, 0.00168284, 0.00171656, 0.00170174, 0.00145913, 0.00169559", \ + "0.00160527, 0.00152523, 0.00159459, 0.00165232, 0.00162977, 0.00166744, 0.00145105" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0033376, 0.00364359, 0.00362909, 0.00359912, 0.00350531, 0.00334648, 0.00311895", \ + "0.00323485, 0.00361964, 0.0035712, 0.00354766, 0.00348552, 0.00334457, 0.00313659", \ + "0.00321814, 0.0035403, 0.00355348, 0.00351472, 0.00347569, 0.00334409, 0.0031578", \ + "0.00319676, 0.00341987, 0.00350397, 0.00347348, 0.00341498, 0.00344079, 0.00308288", \ + "0.00315752, 0.00333121, 0.00332974, 0.00333084, 0.00349782, 0.00319621, 0.00295798", \ + "0.00326939, 0.00332274, 0.00336315, 0.0033715, 0.00323545, 0.00340634, 0.00321278", \ + "0.00335749, 0.00326317, 0.00331246, 0.00331344, 0.00328173, 0.00308703, 0.0028472" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00150223, 0.00157747, 0.00154505, 0.00149332, 0.00141393, 0.00122663, 0.000944201", \ + "0.00131443, 0.00147844, 0.00150531, 0.00146344, 0.0013702, 0.0012063, 0.000948562", \ + "0.00131074, 0.00142915, 0.00145294, 0.00143836, 0.00135441, 0.00119635, 0.000917649", \ + "0.00142419, 0.00141538, 0.0014127, 0.00144313, 0.00130434, 0.00117862, 0.000921273", \ + "0.00180147, 0.00154444, 0.00150037, 0.00140757, 0.00147215, 0.00131669, 0.000885651", \ + "0.00253562, 0.00190618, 0.00178684, 0.00168975, 0.00143195, 0.00135323, 0.000870572", \ + "0.00424278, 0.00300887, 0.00268716, 0.00237094, 0.00212507, 0.00173568, 0.00115339" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00348616, 0.00362553, 0.00360054, 0.00354577, 0.00345286, 0.00328349, 0.00301107", \ + "0.00328327, 0.00352353, 0.00354363, 0.00350681, 0.00344184, 0.00328048, 0.00307658", \ + "0.00323428, 0.00348808, 0.0035069, 0.00355173, 0.00340903, 0.00331772, 0.00302787", \ + "0.00321891, 0.00336983, 0.00344045, 0.00342552, 0.00339505, 0.00323461, 0.00305968", \ + "0.00334953, 0.00338217, 0.00341767, 0.00336242, 0.0035018, 0.00333817, 0.00300811", \ + "0.0038493, 0.00352832, 0.0035013, 0.00351758, 0.00331692, 0.00338712, 0.00311231", \ + "0.00537123, 0.00432775, 0.00409286, 0.0040541, 0.0037978, 0.00345145, 0.00325346" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00215492; + rise_capacitance : 0.00218904; + rise_capacitance_range (0.00218904, 0.00218904); + fall_capacitance : 0.0021208; + fall_capacitance_range (0.0021208, 0.0021208); + internal_power () { + when : "!B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00313471, 0.00297536, 0.00293374, 0.00304487, 0.00326342, 0.00382754, 0.00513005" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00187655, 0.00172237, 0.00171818, 0.00177893, 0.0020676, 0.0025689, 0.00382571" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00313471, 0.00297536, 0.00293374, 0.00304487, 0.00326342, 0.00382754, 0.00513005" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00187655, 0.00172237, 0.00171818, 0.00177893, 0.0020676, 0.0025689, 0.00382571" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00291828; + rise_capacitance : 0.00303325; + rise_capacitance_range (0.00303325, 0.00303325); + fall_capacitance : 0.00280331; + fall_capacitance_range (0.00280331, 0.00280331); + } + } + cell (sg13g2_nand2b_2) { + area : 14.5152; + cell_footprint : "nand2b2"; + cell_leakage_power : 852.38; + leakage_power () { + value : 2001.47; + when : "!A_N&B"; + } + leakage_power () { + value : 599.76; + when : "A_N&B"; + } + leakage_power () { + value : 447.962; + when : "A_N&!B"; + } + leakage_power () { + value : 360.326; + when : "!A_N&!B"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.103001, 0.209688, 0.278024, 0.390672, 0.578196, 0.890553, 1.4111", \ + "0.14836, 0.25543, 0.323822, 0.436029, 0.623614, 0.936264, 1.45682", \ + "0.184398, 0.292391, 0.360637, 0.47307, 0.660561, 0.973793, 1.49342", \ + "0.239742, 0.349864, 0.417799, 0.529697, 0.717111, 1.02928, 1.54991", \ + "0.318615, 0.435141, 0.50272, 0.614035, 0.80014, 1.11161, 1.6317", \ + "0.426399, 0.559849, 0.62662, 0.737801, 0.923155, 1.23442, 1.75301", \ + "0.566691, 0.729586, 0.800902, 0.910741, 1.09646, 1.40527, 1.92306" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0314982, 0.170497, 0.267456, 0.427974, 0.696613, 1.14441, 1.89063", \ + "0.0331243, 0.17076, 0.267457, 0.427975, 0.696745, 1.14442, 1.89064", \ + "0.0364631, 0.171363, 0.267744, 0.428037, 0.698338, 1.14542, 1.89065", \ + "0.0438061, 0.173618, 0.268794, 0.428678, 0.698339, 1.14795, 1.89066", \ + "0.0579938, 0.1788, 0.272, 0.430439, 0.69834, 1.14796, 1.89067", \ + "0.080692, 0.193054, 0.280098, 0.434854, 0.700638, 1.14797, 1.89111", \ + "0.114543, 0.224511, 0.303314, 0.447272, 0.706775, 1.15094, 1.89453" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.130433, 0.300583, 0.410722, 0.592484, 0.896452, 1.40291, 2.24696", \ + "0.172908, 0.343088, 0.453325, 0.635425, 0.93924, 1.44624, 2.28997", \ + "0.20918, 0.37989, 0.49019, 0.672223, 0.977685, 1.48317, 2.32744", \ + "0.265617, 0.438618, 0.548909, 0.731083, 1.03531, 1.54216, 2.3865", \ + "0.345057, 0.52354, 0.633402, 0.815538, 1.1203, 1.62684, 2.47175", \ + "0.446547, 0.634076, 0.744247, 0.926607, 1.23116, 1.73751, 2.58192", \ + "0.57639, 0.78646, 0.896448, 1.07785, 1.38294, 1.88848, 2.73389" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.032244, 0.241339, 0.386299, 0.627312, 1.02967, 1.70077, 2.81939", \ + "0.0326586, 0.241983, 0.386672, 0.627483, 1.02968, 1.70086, 2.8194", \ + "0.0342025, 0.241984, 0.386673, 0.627484, 1.03125, 1.7018, 2.81949", \ + "0.0381036, 0.24212, 0.387075, 0.627485, 1.0328, 1.7019, 2.82109", \ + "0.0462955, 0.244121, 0.387506, 0.627486, 1.03281, 1.70191, 2.8211", \ + "0.061658, 0.24867, 0.389857, 0.628144, 1.03282, 1.70192, 2.82111", \ + "0.089396, 0.26132, 0.396441, 0.631532, 1.03283, 1.70218, 2.82112" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0275643, 0.127319, 0.194077, 0.305079, 0.491745, 0.803353, 1.3234", \ + "0.0540292, 0.173177, 0.23995, 0.35088, 0.53796, 0.84889, 1.36896", \ + "0.0691901, 0.216716, 0.286716, 0.398178, 0.584663, 0.896538, 1.41571", \ + "0.0896988, 0.288394, 0.37017, 0.488966, 0.676343, 0.986848, 1.50604", \ + "0.113328, 0.393966, 0.501801, 0.645885, 0.853047, 1.16991, 1.68743", \ + "0.135126, 0.53335, 0.686887, 0.882956, 1.14236, 1.50463, 2.04588", \ + "0.152478, 0.719288, 0.934392, 1.21782, 1.58191, 2.05013, 2.69149" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.016925, 0.158975, 0.255576, 0.415859, 0.684332, 1.13179, 1.87757", \ + "0.0341336, 0.162072, 0.256185, 0.416938, 0.684794, 1.13182, 1.87758", \ + "0.0500447, 0.176793, 0.265233, 0.419031, 0.684795, 1.13229, 1.87788", \ + "0.0753554, 0.214864, 0.296875, 0.439017, 0.692182, 1.1323, 1.87789", \ + "0.114715, 0.289724, 0.374827, 0.509801, 0.742245, 1.15542, 1.88144", \ + "0.175279, 0.419719, 0.521151, 0.66822, 0.892779, 1.27239, 1.94503", \ + "0.275952, 0.622096, 0.763666, 0.949455, 1.20609, 1.58704, 2.20942" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0402826, 0.207162, 0.317232, 0.498604, 0.802472, 1.30911, 2.15293", \ + "0.0675088, 0.248172, 0.358551, 0.540502, 0.844751, 1.35122, 2.19548", \ + "0.0850115, 0.289235, 0.400942, 0.582889, 0.886925, 1.39372, 2.23805", \ + "0.109859, 0.361769, 0.482923, 0.667952, 0.971318, 1.47843, 2.32307", \ + "0.141543, 0.475266, 0.619542, 0.82727, 1.14338, 1.64992, 2.49312", \ + "0.185384, 0.638876, 0.826574, 1.08166, 1.44563, 1.986, 2.83495", \ + "0.246788, 0.870534, 1.12662, 1.46227, 1.92276, 2.56157, 3.49128" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0265753, 0.241154, 0.386578, 0.627247, 1.02906, 1.70183, 2.81939", \ + "0.0411614, 0.242608, 0.387504, 0.627248, 1.03018, 1.70184, 2.8194", \ + "0.0555411, 0.252288, 0.391236, 0.628052, 1.03019, 1.70185, 2.82082", \ + "0.078686, 0.28704, 0.41533, 0.639453, 1.03162, 1.70186, 2.82178", \ + "0.115106, 0.359623, 0.48949, 0.699878, 1.06652, 1.71098, 2.82179", \ + "0.171188, 0.491383, 0.630683, 0.847504, 1.19936, 1.79865, 2.85658", \ + "0.263364, 0.710999, 0.883829, 1.12734, 1.49717, 2.08343, 3.07104" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00310277, 0.00338541, 0.00336803, 0.00331374, 0.00314737, 0.00281321, 0.00244774", \ + "0.00299693, 0.00333979, 0.0033447, 0.00324815, 0.0030764, 0.0027527, 0.00228708", \ + "0.00297354, 0.00332055, 0.003355, 0.00323689, 0.00324683, 0.00291764, 0.00236028", \ + "0.00297902, 0.00324408, 0.00318245, 0.0033506, 0.00300422, 0.00298218, 0.00226371", \ + "0.00299812, 0.00318947, 0.00324751, 0.00307533, 0.00283359, 0.00255669, 0.00217279", \ + "0.00318613, 0.00323152, 0.00316894, 0.00325636, 0.00311711, 0.00258551, 0.003009", \ + "0.00356913, 0.00318641, 0.00320568, 0.00328753, 0.00330153, 0.00327203, 0.00244519" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00702917, 0.00759507, 0.00756327, 0.00751847, 0.00735227, 0.00703697, 0.00659787", \ + "0.00698588, 0.00757088, 0.00753318, 0.00752168, 0.00733378, 0.00707768, 0.00661618", \ + "0.00691701, 0.00754391, 0.00745051, 0.00745001, 0.00741181, 0.00703511, 0.00661391", \ + "0.00687473, 0.00735023, 0.00753843, 0.00739493, 0.00746243, 0.00701373, 0.00666643", \ + "0.00683703, 0.00738723, 0.00731743, 0.00742403, 0.00730443, 0.00696653, 0.00654273", \ + "0.00681933, 0.00724943, 0.00732443, 0.00744193, 0.00717253, 0.00772943, 0.00679273", \ + "0.00697054, 0.00714514, 0.00727974, 0.00738624, 0.00755874, 0.00719554, 0.00682784" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00426, 0.00407091, 0.00369792, 0.00334903, 0.0029759, 0.00249143, 0.00184555", \ + "0.00390159, 0.00415078, 0.00388148, 0.00363874, 0.00318335, 0.00261118, 0.0019799", \ + "0.00397327, 0.00417805, 0.00412831, 0.00362399, 0.00321466, 0.00274949, 0.00197179", \ + "0.00421525, 0.00422078, 0.00408156, 0.00402696, 0.00351737, 0.00277862, 0.00200979", \ + "0.00496054, 0.00448487, 0.00437782, 0.00403113, 0.00383818, 0.00301779, 0.00224032", \ + "0.0067642, 0.00511699, 0.00492707, 0.00470418, 0.00414707, 0.00363974, 0.0025724", \ + "0.0105705, 0.00727659, 0.00671093, 0.00609739, 0.00563891, 0.00477375, 0.00367414" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00551927, 0.00614027, 0.00609906, 0.00599049, 0.00578874, 0.00554508, 0.00500749", \ + "0.00512697, 0.00583627, 0.00592735, 0.00585213, 0.0057494, 0.00541956, 0.00495612", \ + "0.00516514, 0.00572263, 0.00579778, 0.00576396, 0.00566225, 0.00543663, 0.00496832", \ + "0.00542405, 0.00554983, 0.00564311, 0.00562142, 0.00553135, 0.00529088, 0.00501162", \ + "0.00614334, 0.00566385, 0.005608, 0.00556741, 0.0056688, 0.00547897, 0.00495544", \ + "0.00787523, 0.00637201, 0.00612413, 0.00600153, 0.0055833, 0.00565758, 0.00504426", \ + "0.0116239, 0.00873027, 0.00801941, 0.00748154, 0.00684692, 0.00575092, 0.00548105" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00205903; + rise_capacitance : 0.00209669; + rise_capacitance_range (0.00209669, 0.00209669); + fall_capacitance : 0.00202137; + fall_capacitance_range (0.00202137, 0.00202137); + internal_power () { + when : "!B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00528954, 0.00507983, 0.00500818, 0.00498129, 0.00512553, 0.0055986, 0.00674437" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00464143, 0.00444832, 0.00442579, 0.00444697, 0.00463787, 0.00506147, 0.00622596" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00528954, 0.00507983, 0.00500818, 0.00498129, 0.00512553, 0.0055986, 0.00674437" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00464143, 0.00444832, 0.00442579, 0.00444697, 0.00463787, 0.00506147, 0.00622596" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00510974; + rise_capacitance : 0.00701353; + rise_capacitance_range (0.00701353, 0.00701353); + fall_capacitance : 0.00320596; + fall_capacitance_range (0.00320596, 0.00320596); + } + } + cell (sg13g2_nand3_1) { + area : 9.072; + cell_footprint : "nand3"; + cell_leakage_power : 251.519; + leakage_power () { + value : 38.612; + when : "!A&!B&!C"; + } + leakage_power () { + value : 49.7571; + when : "!A&!B&C"; + } + leakage_power () { + value : 46.4966; + when : "!A&B&!C"; + } + leakage_power () { + value : 143.648; + when : "!A&B&C"; + } + leakage_power () { + value : 47.4791; + when : "A&!B&!C"; + } + leakage_power () { + value : 128.621; + when : "A&!B&C"; + } + leakage_power () { + value : 124.445; + when : "A&B&!C"; + } + leakage_power () { + value : 1433.09; + when : "A&B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0364808, 0.135378, 0.202984, 0.314683, 0.501873, 0.813417, 1.33339", \ + "0.0687229, 0.180113, 0.247829, 0.359788, 0.546936, 0.858678, 1.37812", \ + "0.089178, 0.223394, 0.294051, 0.406522, 0.593406, 0.905354, 1.42521", \ + "0.117466, 0.295808, 0.377115, 0.496458, 0.684501, 0.995706, 1.51533", \ + "0.152207, 0.403281, 0.509086, 0.652562, 0.859886, 1.1772, 1.69523", \ + "0.187825, 0.545489, 0.696704, 0.891116, 1.14996, 1.51227, 2.05262", \ + "0.222286, 0.735877, 0.947424, 1.22798, 1.59108, 2.05694, 2.69581" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0270815, 0.165505, 0.262194, 0.422221, 0.690479, 1.13735, 1.88134", \ + "0.0432568, 0.168276, 0.262686, 0.422708, 0.69048, 1.13736, 1.8815", \ + "0.0611623, 0.182135, 0.27087, 0.425113, 0.690481, 1.13737, 1.88151", \ + "0.0912884, 0.219897, 0.301869, 0.443931, 0.697421, 1.13738, 1.88152", \ + "0.138585, 0.29594, 0.380727, 0.514194, 0.746547, 1.15972, 1.88925", \ + "0.210866, 0.429319, 0.529067, 0.673223, 0.896602, 1.27626, 1.94696", \ + "0.328443, 0.635548, 0.775369, 0.960802, 1.21191, 1.59041, 2.21266" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0700497, 0.294842, 0.449196, 0.704181, 1.13107, 1.84246, 3.02823", \ + "0.0994371, 0.330754, 0.485626, 0.741213, 1.16839, 1.88068, 3.06605", \ + "0.122569, 0.368219, 0.523292, 0.778969, 1.20642, 1.91809, 3.10445", \ + "0.156485, 0.440307, 0.599108, 0.854803, 1.28182, 1.9936, 3.18001", \ + "0.201788, 0.557492, 0.737327, 1.00644, 1.43628, 2.14688, 3.33263", \ + "0.263984, 0.73133, 0.953195, 1.26517, 1.73011, 2.45345, 3.63636", \ + "0.351036, 0.982328, 1.26886, 1.66525, 2.22029, 3.0258, 4.2557" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0577644, 0.349499, 0.552241, 0.888247, 1.45068, 2.38772, 3.94942", \ + "0.0690175, 0.349566, 0.552699, 0.888248, 1.45069, 2.38773, 3.94943", \ + "0.0847157, 0.354726, 0.553521, 0.888249, 1.4507, 2.38774, 3.94944", \ + "0.113259, 0.380665, 0.568168, 0.892446, 1.45071, 2.38775, 3.94945", \ + "0.159184, 0.450894, 0.629292, 0.93292, 1.4667, 2.3975, 3.94946", \ + "0.228403, 0.581541, 0.768781, 1.06572, 1.56433, 2.43617, 3.95657", \ + "0.33736, 0.804028, 1.02488, 1.33737, 1.84017, 2.66553, 4.09678" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0419175, 0.140832, 0.208543, 0.320409, 0.507652, 0.819264, 1.3394", \ + "0.0771515, 0.185595, 0.25339, 0.365248, 0.552526, 0.864324, 1.38393", \ + "0.101103, 0.229546, 0.299798, 0.412104, 0.59936, 0.911028, 1.43077", \ + "0.135266, 0.303363, 0.383567, 0.502333, 0.690266, 1.00142, 1.52086", \ + "0.178979, 0.413974, 0.517427, 0.659486, 0.866128, 1.1831, 1.70117", \ + "0.226678, 0.561604, 0.709363, 0.900575, 1.15745, 1.51872, 2.05828", \ + "0.278527, 0.759446, 0.966384, 1.24229, 1.60128, 2.06537, 2.70273" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0320229, 0.170927, 0.267839, 0.428062, 0.696243, 1.14262, 1.88759", \ + "0.0463728, 0.173386, 0.268069, 0.428063, 0.696244, 1.14315, 1.88807", \ + "0.063672, 0.186631, 0.275978, 0.430724, 0.696249, 1.14316, 1.88808", \ + "0.0929101, 0.223849, 0.306306, 0.44904, 0.702973, 1.14322, 1.88809", \ + "0.141193, 0.299065, 0.384029, 0.518482, 0.751671, 1.16527, 1.89025", \ + "0.213801, 0.43222, 0.531162, 0.676894, 0.900935, 1.28108, 1.9535", \ + "0.326807, 0.637822, 0.776463, 0.962938, 1.21699, 1.59461, 2.21755" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0836337, 0.307258, 0.46141, 0.716334, 1.1432, 1.85469, 3.04047", \ + "0.11495, 0.343661, 0.498375, 0.754127, 1.1809, 1.89334, 3.0783", \ + "0.139511, 0.37911, 0.534254, 0.78981, 1.21743, 1.92893, 3.11617", \ + "0.176922, 0.446835, 0.605321, 0.861736, 1.28934, 2.00137, 3.18838", \ + "0.226266, 0.560158, 0.735023, 1.0027, 1.4339, 2.14624, 3.33263", \ + "0.291433, 0.736507, 0.946721, 1.24795, 1.70759, 2.43214, 3.62001", \ + "0.386407, 0.999313, 1.2709, 1.64562, 2.17743, 2.9676, 4.1935" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0577311, 0.34929, 0.552609, 0.888265, 1.44969, 2.38763, 3.94942", \ + "0.064452, 0.350186, 0.552781, 0.888266, 1.44973, 2.38764, 3.94943", \ + "0.0754285, 0.352674, 0.553065, 0.888331, 1.45027, 2.38765, 3.94944", \ + "0.0984995, 0.370437, 0.562857, 0.890367, 1.45108, 2.38766, 3.94945", \ + "0.142347, 0.421711, 0.606121, 0.918674, 1.46102, 2.39711, 3.94946", \ + "0.21313, 0.528762, 0.711362, 1.01605, 1.5291, 2.42287, 3.95261", \ + "0.321576, 0.724554, 0.923467, 1.2292, 1.73744, 2.58479, 4.04998" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0447206, 0.145344, 0.21341, 0.325532, 0.512888, 0.824692, 1.34395", \ + "0.0823808, 0.190134, 0.258142, 0.370199, 0.557604, 0.869703, 1.38852", \ + "0.109093, 0.234641, 0.304777, 0.41722, 0.604504, 0.915989, 1.43563", \ + "0.147942, 0.309752, 0.389307, 0.507654, 0.69542, 1.00645, 1.52557", \ + "0.199124, 0.423156, 0.524786, 0.665959, 0.871584, 1.18819, 1.70617", \ + "0.257582, 0.575936, 0.720845, 0.909538, 1.16464, 1.5242, 2.06325", \ + "0.325079, 0.781232, 0.98414, 1.25606, 1.61206, 2.07342, 2.70911" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0368349, 0.176106, 0.272896, 0.432908, 0.700933, 1.14752, 1.89161", \ + "0.0495646, 0.178148, 0.273065, 0.43302, 0.700934, 1.14767, 1.89189", \ + "0.0664897, 0.190811, 0.28047, 0.435394, 0.701222, 1.14769, 1.8919", \ + "0.095018, 0.227448, 0.310154, 0.453644, 0.707846, 1.1477, 1.89191", \ + "0.143749, 0.301956, 0.387951, 0.522498, 0.755783, 1.16981, 1.90113", \ + "0.217213, 0.435271, 0.533753, 0.679773, 0.904136, 1.28463, 1.95705", \ + "0.331261, 0.641136, 0.779579, 0.962451, 1.21895, 1.59809, 2.22082" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0901338, 0.313677, 0.467899, 0.722875, 1.14974, 1.86126, 3.04697", \ + "0.122185, 0.349539, 0.504282, 0.759792, 1.18694, 1.89854, 3.08431", \ + "0.146763, 0.38112, 0.53621, 0.791708, 1.21934, 1.9307, 3.1181", \ + "0.184593, 0.439618, 0.597252, 0.853471, 1.28103, 1.99285, 3.18091", \ + "0.236064, 0.538789, 0.708348, 0.97323, 1.40365, 2.11613, 3.30238", \ + "0.300072, 0.696487, 0.892757, 1.18381, 1.63625, 2.3585, 3.54606", \ + "0.381288, 0.93861, 1.18623, 1.53204, 2.04133, 2.81589, 4.03252" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0576907, 0.349311, 0.552047, 0.888309, 1.45047, 2.38773, 3.94942", \ + "0.061561, 0.349988, 0.552048, 0.88857, 1.45048, 2.38774, 3.94943", \ + "0.0686331, 0.351512, 0.552794, 0.888571, 1.45049, 2.38775, 3.94944", \ + "0.0847841, 0.364352, 0.560071, 0.890466, 1.45098, 2.38776, 3.94993", \ + "0.119967, 0.403031, 0.593635, 0.911645, 1.45835, 2.39658, 3.94994", \ + "0.18582, 0.48639, 0.674333, 0.986427, 1.51381, 2.41534, 3.9502", \ + "0.301045, 0.659679, 0.848657, 1.15786, 1.67517, 2.54618, 4.03136" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00170191, 0.00186962, 0.00184454, 0.00178597, 0.0016985, 0.00151712, 0.00123976", \ + "0.00143658, 0.00176213, 0.00179677, 0.00179661, 0.00169417, 0.00153331, 0.00129065", \ + "0.00140463, 0.00169218, 0.00174372, 0.00173325, 0.00166285, 0.00153184, 0.00126828", \ + "0.00149819, 0.0016243, 0.00166262, 0.00179937, 0.00174372, 0.00147511, 0.00125421", \ + "0.00178697, 0.00170759, 0.00171298, 0.0016441, 0.00173838, 0.00149391, 0.00139486", \ + "0.00256953, 0.0019947, 0.00192398, 0.00184482, 0.00162984, 0.00162293, 0.00116231", \ + "0.00434439, 0.00299554, 0.00272047, 0.00255955, 0.00225967, 0.0019231, 0.00138933" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00314749, 0.0033049, 0.0032845, 0.00323757, 0.00316726, 0.00301187, 0.00281789", \ + "0.00287082, 0.00315671, 0.00319899, 0.00316934, 0.0031212, 0.0029993, 0.00279799", \ + "0.00285621, 0.00308182, 0.00312672, 0.00313113, 0.00308575, 0.00295993, 0.00276924", \ + "0.00294201, 0.00302238, 0.00308057, 0.00315014, 0.00306588, 0.00291456, 0.00275721", \ + "0.0032311, 0.00308229, 0.00301875, 0.00307782, 0.00309817, 0.00309578, 0.00275036", \ + "0.00399316, 0.00342316, 0.00336241, 0.00318824, 0.0030963, 0.00300241, 0.0032726", \ + "0.00568958, 0.0045183, 0.00424068, 0.00392964, 0.00358646, 0.00320515, 0.00370548" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00187473, 0.00189915, 0.0018648, 0.00180571, 0.00172153, 0.00151709, 0.00127006", \ + "0.00161289, 0.00179506, 0.00179988, 0.00176613, 0.00168221, 0.00154531, 0.00127441", \ + "0.00157317, 0.00174652, 0.00183006, 0.00174678, 0.00166221, 0.00151765, 0.00125068", \ + "0.00163229, 0.00170677, 0.00172572, 0.00174418, 0.00163251, 0.0014793, 0.00123744", \ + "0.0018942, 0.00180614, 0.00178865, 0.00168563, 0.00178635, 0.00147692, 0.0011855", \ + "0.00260543, 0.00212114, 0.0019968, 0.00193825, 0.00168839, 0.00165067, 0.00119254", \ + "0.00418806, 0.00310138, 0.00283907, 0.00261475, 0.00233884, 0.00196824, 0.00140954" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00443677, 0.00452131, 0.00449803, 0.00445016, 0.00436249, 0.00421841, 0.00402181", \ + "0.00419936, 0.00442476, 0.00443118, 0.00440861, 0.00432604, 0.00421483, 0.00398196", \ + "0.00414438, 0.00434559, 0.00436932, 0.00436188, 0.00431798, 0.00418006, 0.00402579", \ + "0.00411049, 0.00428172, 0.0043761, 0.00439685, 0.00431387, 0.00414398, 0.00399243", \ + "0.00420575, 0.00425548, 0.00423783, 0.00434651, 0.0042809, 0.0043261, 0.00397556", \ + "0.00467159, 0.00442039, 0.00439621, 0.00431312, 0.00427261, 0.00428589, 0.00445086", \ + "0.00605162, 0.00514559, 0.00495252, 0.00480078, 0.00458784, 0.00426871, 0.00485052" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00216643, 0.00213502, 0.00210099, 0.00204033, 0.00194187, 0.00176465, 0.00151527", \ + "0.00195265, 0.00202943, 0.00212161, 0.00198365, 0.00189173, 0.00173881, 0.00145378", \ + "0.00191617, 0.002004, 0.00204679, 0.00194726, 0.00187467, 0.00171801, 0.00144467", \ + "0.00197066, 0.00197619, 0.00196827, 0.00197861, 0.00191297, 0.00168081, 0.00143668", \ + "0.00221384, 0.00209826, 0.00206259, 0.00195694, 0.00200148, 0.00174244, 0.00167497", \ + "0.00290181, 0.00243978, 0.00231206, 0.00222956, 0.00197506, 0.0018879, 0.0014415", \ + "0.00447821, 0.00343842, 0.00312905, 0.00287711, 0.00263467, 0.00228712, 0.00163735" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0055108, 0.00559228, 0.00556784, 0.00552487, 0.00544803, 0.00529691, 0.00510923", \ + "0.00527361, 0.00550373, 0.00549241, 0.00548243, 0.00541635, 0.00528193, 0.00506711", \ + "0.00521904, 0.00542646, 0.00544648, 0.00544545, 0.00538313, 0.00521777, 0.00509689", \ + "0.00516088, 0.00534659, 0.00540243, 0.00545549, 0.00538247, 0.00523737, 0.00507461", \ + "0.00519792, 0.0053141, 0.00529582, 0.00539101, 0.00529339, 0.00537186, 0.00499652", \ + "0.00549955, 0.00538783, 0.00540734, 0.00534062, 0.00534566, 0.00523882, 0.00527537", \ + "0.00665089, 0.00599803, 0.00581334, 0.00574862, 0.00557721, 0.00530805, 0.00587026" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.002749; + rise_capacitance : 0.00279909; + rise_capacitance_range (0.00279909, 0.00279909); + fall_capacitance : 0.00269891; + fall_capacitance_range (0.00269891, 0.00269891); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00283894; + rise_capacitance : 0.00292819; + rise_capacitance_range (0.00292819, 0.00292819); + fall_capacitance : 0.00274968; + fall_capacitance_range (0.00274968, 0.00274968); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00278796; + rise_capacitance : 0.00288586; + rise_capacitance_range (0.00288586, 0.00288586); + fall_capacitance : 0.00269006; + fall_capacitance_range (0.00269006, 0.00269006); + } + } + cell (sg13g2_nand3b_1) { + area : 12.7008; + cell_footprint : "nand3b1"; + cell_leakage_power : 476.702; + leakage_power () { + value : 138.718; + when : "!A_N&!B&!C"; + } + leakage_power () { + value : 219.863; + when : "!A_N&!B&C"; + } + leakage_power () { + value : 215.682; + when : "!A_N&B&!C"; + } + leakage_power () { + value : 1524.31; + when : "!A_N&B&C"; + } + leakage_power () { + value : 397.746; + when : "A_N&!B&!C"; + } + leakage_power () { + value : 408.894; + when : "A_N&!B&C"; + } + leakage_power () { + value : 405.621; + when : "A_N&B&!C"; + } + leakage_power () { + value : 502.778; + when : "A_N&B&C"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0830225, 0.183663, 0.251437, 0.363408, 0.550504, 0.862368, 1.38186", \ + "0.123721, 0.224905, 0.292762, 0.404651, 0.592704, 0.903721, 1.42323", \ + "0.15354, 0.254979, 0.323128, 0.435111, 0.622356, 0.934232, 1.45408", \ + "0.198892, 0.301617, 0.369458, 0.481207, 0.66829, 0.980138, 1.50003", \ + "0.260055, 0.368544, 0.43628, 0.547799, 0.734896, 1.04633, 1.56595", \ + "0.341729, 0.463874, 0.530467, 0.642057, 0.827306, 1.1389, 1.65819", \ + "0.452033, 0.594958, 0.664245, 0.77514, 0.962034, 1.27244, 1.79132" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0315664, 0.168817, 0.265606, 0.425566, 0.693524, 1.14058, 1.88529", \ + "0.033504, 0.168962, 0.265629, 0.426124, 0.694418, 1.14064, 1.8853", \ + "0.0366921, 0.169467, 0.265884, 0.426125, 0.694419, 1.14086, 1.88531", \ + "0.0434693, 0.17088, 0.26682, 0.426259, 0.69442, 1.14462, 1.88532", \ + "0.0568228, 0.174273, 0.268673, 0.427753, 0.694968, 1.14463, 1.88533", \ + "0.078013, 0.184311, 0.273906, 0.430427, 0.696848, 1.14464, 1.8856", \ + "0.108008, 0.205936, 0.288695, 0.439234, 0.701975, 1.14585, 1.8885" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.117295, 0.34451, 0.499232, 0.754435, 1.18136, 1.89296, 3.07863", \ + "0.154718, 0.38228, 0.53717, 0.792833, 1.21996, 1.93177, 3.11754", \ + "0.183181, 0.410917, 0.56596, 0.82174, 1.24892, 1.96077, 3.14668", \ + "0.225561, 0.452117, 0.607217, 0.862758, 1.29028, 2.00223, 3.18805", \ + "0.284745, 0.509624, 0.664805, 0.920613, 1.34823, 2.06007, 3.24589", \ + "0.356889, 0.581897, 0.736351, 0.990451, 1.41847, 2.13057, 3.3163", \ + "0.444992, 0.678623, 0.831243, 1.08498, 1.51124, 2.22221, 3.40838" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0610952, 0.35251, 0.555816, 0.89165, 1.45378, 2.39101, 3.95268", \ + "0.0617177, 0.353443, 0.555817, 0.891651, 1.45379, 2.39102, 3.95271", \ + "0.0631579, 0.353444, 0.557177, 0.891652, 1.4538, 2.39103, 3.95272", \ + "0.0668366, 0.353445, 0.557178, 0.891653, 1.45381, 2.39104, 3.95273", \ + "0.0744173, 0.354394, 0.557179, 0.891654, 1.45402, 2.39397, 3.95274", \ + "0.09098, 0.356847, 0.558208, 0.892828, 1.45403, 2.39398, 3.9723", \ + "0.119108, 0.364816, 0.562513, 0.896371, 1.45512, 2.39399, 3.97231" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0409885, 0.140641, 0.208425, 0.32032, 0.507696, 0.819321, 1.3394", \ + "0.0763859, 0.185436, 0.253279, 0.365208, 0.552527, 0.864828, 1.38393", \ + "0.100464, 0.229441, 0.299766, 0.412211, 0.599363, 0.911119, 1.43103", \ + "0.13469, 0.303209, 0.383458, 0.502258, 0.690192, 1.00146, 1.52094", \ + "0.17843, 0.413701, 0.517311, 0.659479, 0.865976, 1.18316, 1.70101", \ + "0.22626, 0.561431, 0.709244, 0.900489, 1.15744, 1.51868, 2.05825", \ + "0.278213, 0.758946, 0.966213, 1.24235, 1.60053, 2.06537, 2.7026" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0329302, 0.1713, 0.268085, 0.428214, 0.696067, 1.14369, 1.88762", \ + "0.0474511, 0.173714, 0.268292, 0.428215, 0.696208, 1.1437, 1.8881", \ + "0.0647558, 0.187047, 0.276198, 0.430876, 0.696342, 1.14371, 1.88811", \ + "0.0939837, 0.224197, 0.306515, 0.449297, 0.703048, 1.14372, 1.88812", \ + "0.14245, 0.299427, 0.384188, 0.518822, 0.751669, 1.16566, 1.8959", \ + "0.214933, 0.431182, 0.531362, 0.676897, 0.90092, 1.28088, 1.9526", \ + "0.328075, 0.638281, 0.77692, 0.959435, 1.21601, 1.59449, 2.21756" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0892158, 0.3134, 0.467657, 0.72263, 1.14949, 1.86115, 3.0467", \ + "0.119341, 0.348857, 0.503731, 0.759409, 1.1867, 1.89876, 3.08405", \ + "0.143426, 0.383769, 0.539135, 0.79499, 1.223, 1.93441, 3.12134", \ + "0.180867, 0.450928, 0.609645, 0.866304, 1.29402, 2.00626, 3.19316", \ + "0.230601, 0.563877, 0.738726, 1.00688, 1.43827, 2.15081, 3.33721", \ + "0.294843, 0.739794, 0.949711, 1.25158, 1.71145, 2.4364, 3.62444", \ + "0.387172, 1.00156, 1.27353, 1.64821, 2.18126, 2.97077, 4.197" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0608309, 0.352634, 0.555854, 0.891604, 1.45378, 2.39057, 3.95268", \ + "0.0662867, 0.353749, 0.555855, 0.891853, 1.45379, 2.39059, 3.95278", \ + "0.0758809, 0.355773, 0.556414, 0.891854, 1.4538, 2.3906, 3.95279", \ + "0.097032, 0.37312, 0.566023, 0.893538, 1.45381, 2.39061, 3.9528", \ + "0.139328, 0.42296, 0.608025, 0.921864, 1.46464, 2.39918, 3.95281", \ + "0.209741, 0.528462, 0.71162, 1.01718, 1.53216, 2.42353, 3.95593", \ + "0.320437, 0.723376, 0.924986, 1.23015, 1.73937, 2.58683, 4.05317" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0445269, 0.145291, 0.213343, 0.325483, 0.513046, 0.824552, 1.34393", \ + "0.0821851, 0.190036, 0.258146, 0.370202, 0.557589, 0.86933, 1.38855", \ + "0.108873, 0.234536, 0.304671, 0.417101, 0.604409, 0.916045, 1.43564", \ + "0.147796, 0.309697, 0.389278, 0.507551, 0.695415, 1.00656, 1.52648", \ + "0.198958, 0.423103, 0.524666, 0.66594, 0.871668, 1.1882, 1.7057", \ + "0.257589, 0.576096, 0.720805, 0.909493, 1.16462, 1.52473, 2.06334", \ + "0.325275, 0.781218, 0.984133, 1.25609, 1.61196, 2.07339, 2.70878" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0380047, 0.176493, 0.273124, 0.433064, 0.701037, 1.1476, 1.89167", \ + "0.0507428, 0.178542, 0.273302, 0.433781, 0.701038, 1.14802, 1.89196", \ + "0.0676597, 0.19117, 0.280723, 0.435643, 0.70131, 1.14803, 1.89197", \ + "0.0963329, 0.227809, 0.310396, 0.4536, 0.707729, 1.14804, 1.89198", \ + "0.145003, 0.302516, 0.388131, 0.522859, 0.75604, 1.16999, 1.90108", \ + "0.218431, 0.435261, 0.53341, 0.679911, 0.904218, 1.28467, 1.95658", \ + "0.332395, 0.641383, 0.779744, 0.962379, 1.21909, 1.59815, 2.22056" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0976198, 0.321629, 0.476057, 0.730867, 1.15772, 1.86918, 3.0549", \ + "0.129891, 0.357766, 0.512498, 0.768241, 1.19501, 1.90703, 3.09257", \ + "0.154839, 0.389233, 0.544417, 0.800138, 1.22808, 1.93944, 3.12642", \ + "0.193718, 0.447702, 0.605308, 0.861591, 1.28945, 2.00126, 3.18917", \ + "0.247164, 0.546589, 0.71619, 0.981152, 1.41166, 2.12445, 3.31056", \ + "0.31295, 0.704347, 0.900907, 1.19091, 1.64384, 2.36651, 3.55364", \ + "0.390001, 0.946023, 1.19377, 1.53929, 2.04938, 2.82239, 4.04052" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0607673, 0.352775, 0.555835, 0.891769, 1.45378, 2.39102, 3.95268", \ + "0.0636165, 0.354074, 0.555836, 0.89177, 1.45379, 2.39103, 3.95269", \ + "0.069405, 0.354486, 0.556018, 0.891771, 1.45428, 2.39104, 3.9527", \ + "0.0831237, 0.366539, 0.562684, 0.892867, 1.45429, 2.39105, 3.9533", \ + "0.114498, 0.4033, 0.594924, 0.914574, 1.46114, 2.40039, 3.95331", \ + "0.176388, 0.48456, 0.674642, 0.988052, 1.51553, 2.41816, 3.95739", \ + "0.290999, 0.654905, 0.847345, 1.15741, 1.6777, 2.54676, 4.03279" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00182367, 0.00205217, 0.00203557, 0.0019972, 0.00188278, 0.00174376, 0.00152321", \ + "0.00165823, 0.00197304, 0.00195726, 0.00195037, 0.00189603, 0.00170457, 0.00143642", \ + "0.00159808, 0.00189549, 0.0019927, 0.00193552, 0.00181929, 0.00168393, 0.00147391", \ + "0.00152011, 0.00180591, 0.001804, 0.00189241, 0.00174195, 0.00177514, 0.00138721", \ + "0.00148063, 0.00177022, 0.0018344, 0.00176655, 0.00173293, 0.00168936, 0.00138699", \ + "0.00148241, 0.00174008, 0.00176274, 0.00184435, 0.00176926, 0.00161547, 0.00192833", \ + "0.00161123, 0.00162425, 0.00168822, 0.00174576, 0.00179782, 0.00182334, 0.00155728" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00455311, 0.00476645, 0.00476043, 0.00471546, 0.00464091, 0.00448168, 0.00429682", \ + "0.00442323, 0.00471922, 0.00470665, 0.00468904, 0.00462716, 0.00447992, 0.00430799", \ + "0.00439548, 0.00464456, 0.00472097, 0.00466045, 0.00460298, 0.00446298, 0.00431172", \ + "0.00437415, 0.00460999, 0.00461817, 0.00474395, 0.00453756, 0.00442859, 0.00426994", \ + "0.00430681, 0.0044778, 0.00449597, 0.00464498, 0.00446909, 0.00441414, 0.00420583", \ + "0.00437494, 0.00447254, 0.00451748, 0.00446967, 0.00450321, 0.00439145, 0.00463705", \ + "0.00442972, 0.00439914, 0.00444226, 0.00446515, 0.00443628, 0.0042061, 0.00471239" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00187604, 0.00191324, 0.00188281, 0.00182045, 0.0017327, 0.0015819, 0.00129264", \ + "0.00162996, 0.00181484, 0.00181624, 0.00178302, 0.00171126, 0.00157295, 0.00129504", \ + "0.00158646, 0.00177598, 0.00180289, 0.00177262, 0.00169037, 0.00155173, 0.0012526", \ + "0.00165739, 0.00172322, 0.00174501, 0.00185539, 0.00179624, 0.00150419, 0.00126061", \ + "0.00192015, 0.00182073, 0.00180641, 0.00170942, 0.00180449, 0.00160138, 0.00141642", \ + "0.00263169, 0.00212817, 0.00201669, 0.00194915, 0.00171888, 0.00166458, 0.00121539", \ + "0.00421727, 0.00312046, 0.00285867, 0.00255706, 0.00233611, 0.00190494, 0.00144505" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00451606, 0.00460428, 0.00457913, 0.00453204, 0.00444289, 0.00427528, 0.00407784", \ + "0.00428366, 0.00452175, 0.00450661, 0.00449322, 0.00443027, 0.00427436, 0.00405458", \ + "0.0042376, 0.00444101, 0.00445682, 0.00444558, 0.00440759, 0.00424519, 0.00408312", \ + "0.00419572, 0.00437439, 0.00443519, 0.00449107, 0.00433379, 0.0042205, 0.00404046", \ + "0.0042754, 0.0043517, 0.00431956, 0.00441542, 0.00436587, 0.00438087, 0.003981", \ + "0.00469601, 0.00448879, 0.00446059, 0.00436231, 0.00434478, 0.00426479, 0.00451978", \ + "0.00603199, 0.00516279, 0.0050135, 0.00484799, 0.00465207, 0.00434824, 0.00449022" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00215526, 0.00213256, 0.00209434, 0.0020329, 0.00194822, 0.00176159, 0.00150842", \ + "0.00195097, 0.00202955, 0.00212102, 0.00201934, 0.00189198, 0.00173853, 0.00145162", \ + "0.00191499, 0.00200687, 0.00200199, 0.00194544, 0.00187065, 0.00172614, 0.00143589", \ + "0.00197147, 0.00197387, 0.00197019, 0.00206251, 0.00197617, 0.00168674, 0.00147701", \ + "0.00221787, 0.00210974, 0.00206327, 0.0019579, 0.00198376, 0.00178787, 0.00167147", \ + "0.00290598, 0.00244386, 0.00229581, 0.00222561, 0.00197629, 0.0019042, 0.00139126", \ + "0.00448053, 0.00344121, 0.00313024, 0.00287864, 0.00263916, 0.00228563, 0.00165626" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00580573, 0.00588628, 0.00586132, 0.00581607, 0.00572306, 0.00555527, 0.00536779", \ + "0.00555905, 0.00581295, 0.00577742, 0.00575743, 0.00568737, 0.00554148, 0.00533418", \ + "0.00551032, 0.00572335, 0.00573808, 0.00572096, 0.00569644, 0.00553507, 0.00534162", \ + "0.00545298, 0.00564057, 0.0057233, 0.00574594, 0.0056261, 0.00549502, 0.00537624", \ + "0.00547171, 0.00559201, 0.00556804, 0.0056818, 0.00565559, 0.00566176, 0.00528115", \ + "0.00570953, 0.00564216, 0.00568276, 0.00562541, 0.00561821, 0.00551189, 0.00571053", \ + "0.00671438, 0.00617542, 0.00604946, 0.00597924, 0.00583917, 0.00553636, 0.00610665" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00208096; + rise_capacitance : 0.00211559; + rise_capacitance_range (0.00211559, 0.00211559); + fall_capacitance : 0.00204634; + fall_capacitance_range (0.00204634, 0.00204634); + internal_power () { + when : "(B * !C) + (!B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00316422, 0.00299923, 0.00298039, 0.00306381, 0.00328759, 0.00387943, 0.00516259" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00175658, 0.00159948, 0.00159365, 0.00165918, 0.00194485, 0.00245143, 0.00370651" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00316422, 0.00299923, 0.00298039, 0.00306381, 0.00328759, 0.00387943, 0.00516259" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00175658, 0.00159948, 0.00159365, 0.00165918, 0.00194485, 0.00245143, 0.00370651" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00281786; + rise_capacitance : 0.00292331; + rise_capacitance_range (0.00292331, 0.00292331); + fall_capacitance : 0.00271241; + fall_capacitance_range (0.00271241, 0.00271241); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00280931; + rise_capacitance : 0.00292484; + rise_capacitance_range (0.00292484, 0.00292484); + fall_capacitance : 0.00269377; + fall_capacitance_range (0.00269377, 0.00269377); + } + } + cell (sg13g2_nand4_1) { + area : 10.8864; + cell_footprint : "nand4"; + cell_leakage_power : 184.391; + leakage_power () { + value : 39.6045; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 42.7529; + when : "!A&!B&!C&D&Y"; + } + leakage_power () { + value : 40.6653; + when : "!A&!B&C&!D&Y"; + } + leakage_power () { + value : 53.8926; + when : "!A&!B&C&D&Y"; + } + leakage_power () { + value : 39.1662; + when : "!A&B&!C&!D&Y"; + } + leakage_power () { + value : 50.5993; + when : "!A&B&!C&D&Y"; + } + leakage_power () { + value : 47.3194; + when : "!A&B&C&!D&Y"; + } + leakage_power () { + value : 147.745; + when : "!A&B&C&D&Y"; + } + leakage_power () { + value : 41.0363; + when : "A&!B&!C&!D&Y"; + } + leakage_power () { + value : 51.6373; + when : "A&!B&!C&D&Y"; + } + leakage_power () { + value : 48.426; + when : "A&!B&C&!D&Y"; + } + leakage_power () { + value : 132.771; + when : "A&!B&C&D&Y"; + } + leakage_power () { + value : 49.8893; + when : "A&B&!C&!D&Y"; + } + leakage_power () { + value : 128.55; + when : "A&B&!C&D&Y"; + } + leakage_power () { + value : 125.469; + when : "A&B&C&!D&Y"; + } + leakage_power () { + value : 1910.73; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0382388, 0.136961, 0.204498, 0.316318, 0.503488, 0.815063, 1.33483", \ + "0.0717714, 0.181993, 0.249658, 0.361427, 0.548688, 0.860829, 1.37999", \ + "0.0932899, 0.225659, 0.296039, 0.408299, 0.595367, 0.907121, 1.42717", \ + "0.12301, 0.298367, 0.379279, 0.498397, 0.68638, 0.997555, 1.51716", \ + "0.159464, 0.406489, 0.511866, 0.654765, 0.861944, 1.17912, 1.69677", \ + "0.196468, 0.549734, 0.700197, 0.893906, 1.15234, 1.51435, 2.05441", \ + "0.230791, 0.739928, 0.951186, 1.23055, 1.59338, 2.0594, 2.69793" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0294971, 0.167964, 0.264702, 0.424803, 0.693014, 1.13982, 1.88423", \ + "0.0453017, 0.170642, 0.26507, 0.424804, 0.693015, 1.1399, 1.88438", \ + "0.0632797, 0.184212, 0.273166, 0.427443, 0.693327, 1.13996, 1.88482", \ + "0.0939101, 0.221883, 0.303787, 0.446315, 0.699855, 1.13997, 1.88483", \ + "0.143757, 0.298017, 0.382546, 0.516596, 0.748778, 1.1623, 1.89251", \ + "0.219898, 0.43235, 0.531289, 0.675177, 0.898623, 1.27811, 1.94976", \ + "0.343281, 0.640934, 0.777554, 0.962654, 1.21511, 1.59321, 2.21427" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0918458, 0.386991, 0.589829, 0.925214, 1.48637, 2.42218, 3.98142", \ + "0.118991, 0.418803, 0.622453, 0.958586, 1.52057, 2.45633, 4.0159", \ + "0.14373, 0.452562, 0.656509, 0.992988, 1.55473, 2.49083, 4.05071", \ + "0.181747, 0.52113, 0.725839, 1.06166, 1.62364, 2.55958, 4.1192", \ + "0.233519, 0.640817, 0.861108, 1.20313, 1.76446, 2.69963, 4.25862", \ + "0.305048, 0.824532, 1.08311, 1.46187, 2.04448, 2.98087, 4.53688", \ + "0.407036, 1.09252, 1.41826, 1.87807, 2.54222, 3.53834, 5.11343" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0846503, 0.466941, 0.7333, 1.1719, 1.91023, 3.13353, 5.17692", \ + "0.092317, 0.467177, 0.733312, 1.17239, 1.91024, 3.13354, 5.18036", \ + "0.106715, 0.469175, 0.733854, 1.17297, 1.91025, 3.13487, 5.18037", \ + "0.134783, 0.486748, 0.740389, 1.1803, 1.91026, 3.13523, 5.18038", \ + "0.184085, 0.548941, 0.787662, 1.19931, 1.91643, 3.13524, 5.18108", \ + "0.257913, 0.67561, 0.91639, 1.30978, 1.98402, 3.15947, 5.18539", \ + "0.373495, 0.903513, 1.16632, 1.57331, 2.22802, 3.33448, 5.26483" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0441016, 0.14287, 0.210598, 0.32248, 0.509806, 0.821442, 1.3414", \ + "0.080362, 0.187905, 0.255644, 0.367584, 0.554839, 0.86713, 1.38624", \ + "0.105187, 0.232031, 0.302129, 0.414431, 0.601433, 0.913423, 1.4332", \ + "0.14082, 0.306222, 0.386166, 0.504694, 0.692589, 1.00375, 1.52321", \ + "0.186231, 0.417561, 0.520626, 0.662205, 0.86872, 1.18543, 1.70325", \ + "0.235635, 0.566159, 0.712861, 0.90374, 1.16041, 1.52122, 2.06069", \ + "0.287656, 0.764099, 0.97049, 1.24609, 1.60463, 2.06821, 2.70519" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0346809, 0.173567, 0.270498, 0.430742, 0.698937, 1.14579, 1.89018", \ + "0.0483669, 0.175888, 0.270759, 0.430743, 0.698938, 1.14603, 1.89065", \ + "0.0658851, 0.188861, 0.278301, 0.433084, 0.698939, 1.14604, 1.89066", \ + "0.095812, 0.22596, 0.308379, 0.45139, 0.705538, 1.14605, 1.89067", \ + "0.145718, 0.301013, 0.386398, 0.520925, 0.754182, 1.16811, 1.89303", \ + "0.222724, 0.433439, 0.533834, 0.678595, 0.903, 1.28313, 1.95627", \ + "0.342389, 0.644628, 0.781181, 0.962797, 1.2187, 1.59658, 2.22002" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.113362, 0.407025, 0.60981, 0.945243, 1.50654, 2.44214, 4.00111", \ + "0.142545, 0.440404, 0.643829, 0.979652, 1.54138, 2.47731, 4.03657", \ + "0.167958, 0.473494, 0.677272, 1.01335, 1.57528, 2.51137, 4.0711", \ + "0.207928, 0.53865, 0.744049, 1.08052, 1.6428, 2.57942, 4.13872", \ + "0.26324, 0.654379, 0.872519, 1.21542, 1.7789, 2.71561, 4.27532", \ + "0.33743, 0.839567, 1.09004, 1.46251, 2.04522, 2.98639, 4.54631", \ + "0.446536, 1.1189, 1.43233, 1.87619, 2.52411, 3.51456, 5.09438" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0848201, 0.467352, 0.732787, 1.17191, 1.9075, 3.13353, 5.17852", \ + "0.0895135, 0.467353, 0.732886, 1.17229, 1.9083, 3.13359, 5.18339", \ + "0.0996445, 0.468326, 0.734184, 1.17321, 1.90831, 3.13488, 5.1834", \ + "0.122737, 0.480977, 0.738447, 1.17699, 1.90861, 3.13489, 5.18341", \ + "0.16907, 0.526857, 0.771968, 1.19153, 1.91473, 3.13583, 5.18342", \ + "0.245423, 0.629268, 0.871414, 1.27209, 1.96195, 3.1527, 5.18343", \ + "0.362271, 0.831637, 1.08215, 1.47881, 2.1425, 3.27721, 5.23908" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0472943, 0.147828, 0.215901, 0.32813, 0.515749, 0.827635, 1.34744", \ + "0.0854659, 0.192584, 0.260607, 0.372979, 0.560347, 0.872516, 1.39229", \ + "0.112855, 0.2371, 0.307225, 0.419805, 0.607285, 0.919193, 1.4394", \ + "0.152655, 0.312643, 0.391932, 0.510199, 0.698202, 1.00963, 1.52928", \ + "0.205171, 0.426695, 0.528063, 0.668667, 0.874413, 1.19138, 1.70954", \ + "0.264825, 0.580243, 0.724509, 0.912595, 1.16778, 1.52777, 2.06663", \ + "0.331886, 0.785086, 0.98794, 1.25981, 1.61491, 2.07683, 2.71232" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0393663, 0.178616, 0.275555, 0.435883, 0.704133, 1.15142, 1.89597", \ + "0.051622, 0.180636, 0.275828, 0.435884, 0.704218, 1.15143, 1.89628", \ + "0.0686059, 0.19311, 0.283153, 0.438359, 0.704508, 1.15144, 1.89629", \ + "0.0982155, 0.229162, 0.31223, 0.455952, 0.710979, 1.15145, 1.8963", \ + "0.148426, 0.304181, 0.389811, 0.524501, 0.758476, 1.17347, 1.90546", \ + "0.225637, 0.436662, 0.537219, 0.68189, 0.906549, 1.28764, 1.96046", \ + "0.346415, 0.647426, 0.784298, 0.965818, 1.2219, 1.60124, 2.22445" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.125778, 0.419585, 0.622325, 0.957608, 1.51894, 2.45451, 4.01382", \ + "0.15586, 0.453106, 0.656505, 0.992564, 1.55409, 2.49, 4.04952", \ + "0.180857, 0.483139, 0.686872, 1.02298, 1.58504, 2.52107, 4.0806", \ + "0.220368, 0.540286, 0.745206, 1.08161, 1.64384, 2.58041, 4.13957", \ + "0.277209, 0.641892, 0.856585, 1.19818, 1.76128, 2.6982, 4.25769", \ + "0.349753, 0.808619, 1.04821, 1.41295, 1.99136, 2.93139, 4.49114", \ + "0.444279, 1.06851, 1.35879, 1.7797, 2.40908, 3.38867, 4.96463" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0847469, 0.467024, 0.732483, 1.1719, 1.9075, 3.13353, 5.17747", \ + "0.0875216, 0.467025, 0.73295, 1.17196, 1.90831, 3.13368, 5.17748", \ + "0.094626, 0.468413, 0.735126, 1.17224, 1.90856, 3.13369, 5.17749", \ + "0.11108, 0.477175, 0.736963, 1.17412, 1.90877, 3.13373, 5.17909", \ + "0.148701, 0.512212, 0.762856, 1.18668, 1.91394, 3.13374, 5.18143", \ + "0.220525, 0.594324, 0.840327, 1.25003, 1.9508, 3.14905, 5.18144", \ + "0.344767, 0.77135, 1.01701, 1.41723, 2.09774, 3.24856, 5.22674" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0482744, 0.151212, 0.21985, 0.332452, 0.52017, 0.832195, 1.35186", \ + "0.0882833, 0.19596, 0.264475, 0.377145, 0.565079, 0.876958, 1.39656", \ + "0.117641, 0.240851, 0.311085, 0.423945, 0.611555, 0.925363, 1.44355", \ + "0.160538, 0.317527, 0.396508, 0.514596, 0.702671, 1.014, 1.53357", \ + "0.218743, 0.43401, 0.534092, 0.674071, 0.879298, 1.19588, 1.714", \ + "0.286775, 0.592007, 0.733997, 0.920274, 1.17405, 1.5329, 2.07112", \ + "0.36679, 0.803221, 1.00292, 1.27154, 1.62436, 2.0839, 2.71801" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0434681, 0.183572, 0.280293, 0.440457, 0.708501, 1.15519, 1.89912", \ + "0.054743, 0.185078, 0.28039, 0.440458, 0.70861, 1.1552, 1.90071", \ + "0.0714051, 0.196958, 0.287301, 0.442591, 0.709736, 1.15728, 1.90072", \ + "0.100686, 0.232394, 0.315787, 0.459921, 0.71508, 1.15729, 1.90073", \ + "0.150271, 0.307232, 0.392331, 0.527781, 0.761942, 1.17697, 1.9097", \ + "0.22871, 0.441181, 0.53889, 0.684154, 0.909482, 1.29073, 1.96346", \ + "0.349346, 0.648804, 0.78547, 0.968148, 1.22347, 1.60238, 2.22703" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.131672, 0.425495, 0.628083, 0.963488, 1.52487, 2.46038, 4.01972", \ + "0.162707, 0.459547, 0.663026, 0.998757, 1.56046, 2.49606, 4.05602", \ + "0.18796, 0.488312, 0.692197, 1.02938, 1.59005, 2.52629, 4.08553", \ + "0.227466, 0.540662, 0.745352, 1.08178, 1.6441, 2.58053, 4.1398", \ + "0.284528, 0.630606, 0.843191, 1.18385, 1.74705, 2.68335, 4.24319", \ + "0.358134, 0.778627, 1.01113, 1.37075, 1.94683, 2.88609, 4.446", \ + "0.444095, 1.01213, 1.2868, 1.68986, 2.31015, 3.28248, 4.85623" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0846594, 0.466756, 0.732783, 1.1719, 1.9075, 3.13353, 5.17722", \ + "0.0863363, 0.46692, 0.733001, 1.17239, 1.90826, 3.13482, 5.17746", \ + "0.091363, 0.467677, 0.733002, 1.17389, 1.90827, 3.13483, 5.18347", \ + "0.102908, 0.47436, 0.736559, 1.1739, 1.90999, 3.13484, 5.18348", \ + "0.130393, 0.502179, 0.756793, 1.18427, 1.91247, 3.13485, 5.18349", \ + "0.189522, 0.568556, 0.819674, 1.23722, 1.94442, 3.14695, 5.1835", \ + "0.306504, 0.71659, 0.967129, 1.37536, 2.0681, 3.23321, 5.22446" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00161122, 0.00175036, 0.0017256, 0.00167812, 0.00160123, 0.00141273, 0.00115442", \ + "0.00137726, 0.00168583, 0.00172034, 0.00167095, 0.00160672, 0.00146975, 0.00120141", \ + "0.00133077, 0.00162969, 0.00174439, 0.00165653, 0.00160827, 0.0014446, 0.00120904", \ + "0.00139756, 0.00156222, 0.00160525, 0.00171551, 0.00155058, 0.00141374, 0.00117172", \ + "0.00164961, 0.00163566, 0.00165281, 0.00157555, 0.00167426, 0.00146857, 0.00133405", \ + "0.00238904, 0.00188864, 0.00184097, 0.00177408, 0.00158168, 0.00155243, 0.00113166", \ + "0.00408049, 0.00282551, 0.00252919, 0.00239477, 0.00215384, 0.00187038, 0.00128657" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00374408, 0.00387411, 0.00385825, 0.0038007, 0.00373512, 0.00353624, 0.00334189", \ + "0.00345429, 0.0037377, 0.00375807, 0.00373409, 0.00365822, 0.00351434, 0.0033684", \ + "0.00342918, 0.00364962, 0.00370141, 0.00370738, 0.0036266, 0.0035138, 0.00328861", \ + "0.0035027, 0.0036042, 0.00361956, 0.00375301, 0.00359371, 0.00348749, 0.00333568", \ + "0.00377565, 0.00360203, 0.00361249, 0.00374033, 0.00364943, 0.00344193, 0.00330089", \ + "0.00446837, 0.00397164, 0.00386812, 0.00371371, 0.00375707, 0.00355117, 0.00334477", \ + "0.00606731, 0.00497401, 0.00469455, 0.00444747, 0.00402345, 0.00393201, 0.00348626" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00186632, 0.00187446, 0.00183941, 0.00178662, 0.00169424, 0.00151563, 0.00122418", \ + "0.00162422, 0.00180391, 0.00180671, 0.00177316, 0.00168054, 0.00154921, 0.00129287", \ + "0.00156401, 0.00176127, 0.00183282, 0.00174102, 0.00166852, 0.00153374, 0.00127207", \ + "0.00159472, 0.00171784, 0.0017306, 0.00182949, 0.00176552, 0.00149839, 0.00124826", \ + "0.00181116, 0.00178648, 0.00179811, 0.00170366, 0.00180292, 0.00158217, 0.00118554", \ + "0.00247917, 0.00204237, 0.00196263, 0.00191228, 0.00170397, 0.00165917, 0.00122179", \ + "0.00398275, 0.00297354, 0.00272386, 0.00248606, 0.00229012, 0.00194156, 0.00141751" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00502696, 0.00509564, 0.00506493, 0.00500701, 0.00491467, 0.00473563, 0.00457871", \ + "0.00479104, 0.00498834, 0.00499874, 0.00497049, 0.00490237, 0.00474038, 0.00460492", \ + "0.00472471, 0.00492861, 0.00496709, 0.00493944, 0.00486933, 0.00473827, 0.00458046", \ + "0.00469122, 0.0048985, 0.00488885, 0.00494583, 0.00483889, 0.00469084, 0.00456811", \ + "0.00476264, 0.00479832, 0.00483057, 0.00496612, 0.0049115, 0.00469771, 0.00453543", \ + "0.00516239, 0.00495462, 0.00493517, 0.00484107, 0.00488984, 0.00476468, 0.00452036", \ + "0.00644484, 0.00562014, 0.00545934, 0.00530185, 0.0049864, 0.00498421, 0.00475708" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00212592, 0.00208206, 0.00204614, 0.0019919, 0.00190018, 0.0017107, 0.00144391", \ + "0.00188887, 0.00199007, 0.00197576, 0.00193289, 0.001855, 0.00168134, 0.00143876", \ + "0.00182847, 0.00195632, 0.00199547, 0.00190301, 0.00183961, 0.00168816, 0.00140655", \ + "0.00184901, 0.00191627, 0.00191562, 0.00202158, 0.00185263, 0.00165855, 0.00139163", \ + "0.0020563, 0.00200734, 0.00198834, 0.00188427, 0.00193388, 0.00170694, 0.00161814", \ + "0.00267159, 0.00228396, 0.00220594, 0.00212633, 0.00189242, 0.00184974, 0.00135642", \ + "0.00415963, 0.00326102, 0.00291465, 0.00270216, 0.0024859, 0.00216374, 0.00157077" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00614082, 0.0062114, 0.00617508, 0.00612028, 0.00601464, 0.00585943, 0.00564874", \ + "0.0059049, 0.00609887, 0.0061064, 0.00608266, 0.00602012, 0.00584424, 0.00566612", \ + "0.00583696, 0.0060647, 0.00609661, 0.00604437, 0.00599336, 0.00582173, 0.00565161", \ + "0.00578773, 0.00599491, 0.00599084, 0.00599957, 0.00595007, 0.00578731, 0.00567519", \ + "0.0058059, 0.00588927, 0.00592919, 0.00600711, 0.00599126, 0.00576346, 0.0056575", \ + "0.00604778, 0.00597842, 0.00598778, 0.00590014, 0.006018, 0.0058783, 0.00560289", \ + "0.00709476, 0.0064853, 0.00639116, 0.00629908, 0.00602246, 0.00606741, 0.0057162" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00231881, 0.00227504, 0.00222826, 0.00216717, 0.00206685, 0.00190708, 0.00161068", \ + "0.00213009, 0.00216707, 0.0021555, 0.0021035, 0.0020164, 0.00181913, 0.00159081", \ + "0.00207722, 0.00214165, 0.00212391, 0.00205725, 0.00203016, 0.00195518, 0.0015581", \ + "0.00210433, 0.00210765, 0.00210073, 0.00209327, 0.00198963, 0.00179423, 0.00156677", \ + "0.00230284, 0.00222753, 0.00218354, 0.00207659, 0.00213111, 0.00182229, 0.00179743", \ + "0.00291745, 0.00254472, 0.00240878, 0.00233634, 0.00205315, 0.00199281, 0.00149672", \ + "0.00436205, 0.0034617, 0.00317174, 0.00292209, 0.00267905, 0.0023378, 0.00173037" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00720649, 0.00727703, 0.00724822, 0.00719352, 0.00710012, 0.00692522, 0.00667628", \ + "0.00697797, 0.00717302, 0.00717764, 0.00715075, 0.00707844, 0.00693085, 0.00671277", \ + "0.0069035, 0.00714143, 0.00713125, 0.00714791, 0.00705286, 0.00692513, 0.00678386", \ + "0.00685615, 0.00707634, 0.0070708, 0.00707145, 0.00703651, 0.00686264, 0.00672465", \ + "0.00685247, 0.00694195, 0.00698554, 0.00702661, 0.00699242, 0.00681829, 0.00672457", \ + "0.00701079, 0.00700919, 0.0070187, 0.00695927, 0.00709005, 0.00689065, 0.00668921", \ + "0.00786137, 0.00743004, 0.00738474, 0.00729469, 0.00707316, 0.00710456, 0.00684465" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00271454; + rise_capacitance : 0.00276234; + rise_capacitance_range (0.00276234, 0.00276234); + fall_capacitance : 0.00266673; + fall_capacitance_range (0.00266673, 0.00266673); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0028004; + rise_capacitance : 0.00288462; + rise_capacitance_range (0.00288462, 0.00288462); + fall_capacitance : 0.00271618; + fall_capacitance_range (0.00271618, 0.00271618); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00280694; + rise_capacitance : 0.00289797; + rise_capacitance_range (0.00289797, 0.00289797); + fall_capacitance : 0.0027159; + fall_capacitance_range (0.0027159, 0.0027159); + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00277844; + rise_capacitance : 0.00287526; + rise_capacitance_range (0.00287526, 0.00287526); + fall_capacitance : 0.00268162; + fall_capacitance_range (0.00268162, 0.00268162); + } + } + cell (sg13g2_nor2_1) { + area : 7.2576; + cell_footprint : "nor2"; + cell_leakage_power : 408.955; + leakage_power () { + value : 630.641; + when : "A&!B"; + } + leakage_power () { + value : 270.337; + when : "!A&!B"; + } + leakage_power () { + value : 483.926; + when : "!A&B"; + } + leakage_power () { + value : 250.916; + when : "A&B"; + } + pin (Y) { + direction : "output"; + function : "!(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0612615, 0.266601, 0.407628, 0.641009, 1.03136, 1.68189, 2.76646", \ + "0.0922358, 0.301253, 0.442749, 0.676723, 1.06757, 1.71921, 2.80274", \ + "0.113296, 0.333876, 0.475667, 0.709615, 1.10045, 1.75214, 2.83628", \ + "0.143965, 0.394926, 0.539725, 0.77406, 1.16511, 1.81631, 2.90146", \ + "0.179871, 0.495931, 0.65516, 0.898854, 1.29228, 1.94376, 3.03313", \ + "0.215857, 0.641256, 0.836294, 1.11247, 1.53094, 2.19202, 3.27724", \ + "0.264543, 0.846967, 1.1009, 1.45141, 1.9391, 2.65883, 3.77578" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0420981, 0.321045, 0.515393, 0.836539, 1.37392, 2.27144, 3.76537", \ + "0.0486298, 0.321046, 0.515394, 0.836702, 1.37442, 2.27145, 3.76538", \ + "0.0592695, 0.324021, 0.516026, 0.836703, 1.37443, 2.2721, 3.76633", \ + "0.0800862, 0.339888, 0.524414, 0.838836, 1.37444, 2.27211, 3.76634", \ + "0.121075, 0.38802, 0.564185, 0.862499, 1.38316, 2.27217, 3.7688", \ + "0.19109, 0.488779, 0.664898, 0.952394, 1.44354, 2.2992, 3.78522", \ + "0.300685, 0.664316, 0.861323, 1.16303, 1.64135, 2.44652, 3.85232" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0350772, 0.119979, 0.177678, 0.272845, 0.43196, 0.697117, 1.13914", \ + "0.0691025, 0.169614, 0.227917, 0.323054, 0.482026, 0.747165, 1.18894", \ + "0.0912956, 0.214035, 0.27653, 0.373383, 0.532434, 0.797488, 1.23906", \ + "0.12278, 0.286268, 0.361236, 0.469195, 0.632926, 0.897666, 1.33895", \ + "0.163507, 0.393879, 0.493106, 0.626879, 0.816797, 1.09812, 1.54196", \ + "0.216342, 0.548908, 0.685587, 0.866884, 1.10897, 1.44391, 1.93077", \ + "0.28422, 0.759592, 0.963232, 1.21849, 1.5539, 1.99332, 2.59088" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0218552, 0.132241, 0.20965, 0.337614, 0.551358, 0.907946, 1.50207", \ + "0.0374347, 0.138825, 0.212226, 0.337851, 0.551466, 0.907947, 1.50211", \ + "0.0520496, 0.156346, 0.225003, 0.344423, 0.552848, 0.908209, 1.50292", \ + "0.0772201, 0.196465, 0.264329, 0.374631, 0.569103, 0.912121, 1.50293", \ + "0.118046, 0.271319, 0.343797, 0.457741, 0.640396, 0.957581, 1.51941", \ + "0.180266, 0.397164, 0.48727, 0.610756, 0.804236, 1.11105, 1.62738", \ + "0.277357, 0.609787, 0.72654, 0.883551, 1.1021, 1.43757, 1.94927" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0524661, 0.259977, 0.401143, 0.63447, 1.02473, 1.67541, 2.75997", \ + "0.0807939, 0.294511, 0.436337, 0.670711, 1.06105, 1.7132, 2.79696", \ + "0.10044, 0.332256, 0.474106, 0.708167, 1.09892, 1.75092, 2.83665", \ + "0.127952, 0.403789, 0.549545, 0.783126, 1.17398, 1.82491, 2.91004", \ + "0.163092, 0.51929, 0.685424, 0.931913, 1.32412, 1.97329, 3.05959", \ + "0.203904, 0.681814, 0.894426, 1.18576, 1.61183, 2.27206, 3.35308", \ + "0.256039, 0.897193, 1.18385, 1.56955, 2.09052, 2.83369, 3.95462" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0422797, 0.320956, 0.515365, 0.836701, 1.37453, 2.27109, 3.76535", \ + "0.0558925, 0.321331, 0.515813, 0.837084, 1.37464, 2.27116, 3.76565", \ + "0.0728645, 0.326222, 0.516463, 0.837085, 1.37465, 2.27194, 3.76566", \ + "0.100215, 0.35192, 0.529601, 0.840067, 1.37466, 2.27195, 3.76567", \ + "0.143586, 0.423027, 0.589716, 0.876945, 1.38715, 2.27196, 3.76676", \ + "0.207593, 0.55739, 0.733642, 1.00875, 1.48058, 2.31229, 3.7756", \ + "0.313099, 0.774195, 0.992708, 1.29359, 1.76026, 2.53067, 3.89546" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0304527, 0.115164, 0.172577, 0.267605, 0.426562, 0.691605, 1.13356", \ + "0.0593339, 0.164606, 0.222958, 0.317951, 0.477027, 0.742154, 1.18367", \ + "0.0771167, 0.208045, 0.271212, 0.368257, 0.527345, 0.792315, 1.23507", \ + "0.101617, 0.278285, 0.354489, 0.463476, 0.627616, 0.892424, 1.33364", \ + "0.131403, 0.382089, 0.483741, 0.619329, 0.81067, 1.09261, 1.53667", \ + "0.168964, 0.53055, 0.671712, 0.856197, 1.10061, 1.43742, 1.92472", \ + "0.213246, 0.730627, 0.941376, 1.20218, 1.5415, 1.98396, 2.58374" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167932, 0.126514, 0.203828, 0.331483, 0.54533, 0.901766, 1.49574", \ + "0.032972, 0.133564, 0.206623, 0.33212, 0.545331, 0.901767, 1.49585", \ + "0.0476309, 0.151384, 0.219629, 0.338968, 0.547027, 0.902872, 1.49684", \ + "0.0719428, 0.191491, 0.259256, 0.369287, 0.563741, 0.905697, 1.49685", \ + "0.110896, 0.26751, 0.339792, 0.452352, 0.635215, 0.95164, 1.51283", \ + "0.172295, 0.392997, 0.481416, 0.605871, 0.799041, 1.1053, 1.62109", \ + "0.272589, 0.607043, 0.72325, 0.881688, 1.09931, 1.43104, 1.94156" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00341875, 0.00354173, 0.00351539, 0.00345924, 0.00336101, 0.0033799, 0.00325244", \ + "0.00326365, 0.00342392, 0.00343553, 0.00341754, 0.00332795, 0.00334064, 0.00333289", \ + "0.00323074, 0.00336297, 0.00338384, 0.00337204, 0.00330237, 0.00333617, 0.00322653", \ + "0.00322341, 0.00332159, 0.00335018, 0.00340656, 0.00326209, 0.00327074, 0.00321647", \ + "0.00332417, 0.00331202, 0.00330501, 0.00341738, 0.00326642, 0.00325033, 0.00335709", \ + "0.00383289, 0.00347892, 0.00343579, 0.00334407, 0.00339047, 0.00330213, 0.00353191", \ + "0.00539593, 0.00422182, 0.00401171, 0.00389618, 0.00362764, 0.0033165, 0.00379248" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00178266, 0.00178936, 0.00176649, 0.00171974, 0.00163361, 0.00148216, 0.00119744", \ + "0.00154147, 0.00170492, 0.00169061, 0.0016459, 0.0015747, 0.0014408, 0.00120125", \ + "0.00150559, 0.0016426, 0.00167361, 0.00163037, 0.00159051, 0.0014606, 0.00121639", \ + "0.00158285, 0.00165161, 0.00162285, 0.0015952, 0.00175906, 0.00152495, 0.00115953", \ + "0.00188652, 0.0017138, 0.00169202, 0.00168866, 0.00148746, 0.00157785, 0.00124985", \ + "0.00261811, 0.00208963, 0.00198718, 0.00181936, 0.00178918, 0.00144062, 0.00135125", \ + "0.00437671, 0.0031986, 0.00287757, 0.00251465, 0.00232164, 0.00210414, 0.0016381" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00182005, 0.00208236, 0.00206053, 0.00200956, 0.00191528, 0.00189044, 0.00183796", \ + "0.00163781, 0.00190627, 0.00194534, 0.001931, 0.00186112, 0.00187338, 0.00180106", \ + "0.00165936, 0.00182619, 0.00186307, 0.00186959, 0.00180797, 0.00185882, 0.00178542", \ + "0.00179052, 0.00178975, 0.00182222, 0.00188949, 0.00177896, 0.00178272, 0.00176218", \ + "0.00218127, 0.00189666, 0.00184945, 0.00185083, 0.00177426, 0.00175219, 0.00180468", \ + "0.00295273, 0.00230086, 0.0021536, 0.00197089, 0.00191302, 0.00176088, 0.00181505", \ + "0.00474154, 0.00335001, 0.00313012, 0.00277688, 0.00237201, 0.00199014, 0.0021877" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0016193, 0.00184679, 0.00182963, 0.0017725, 0.00170142, 0.00156359, 0.00131297", \ + "0.00133664, 0.00175882, 0.00178187, 0.00176468, 0.00170195, 0.00157, 0.00133557", \ + "0.00131385, 0.00165791, 0.00172704, 0.00176302, 0.00169583, 0.00163018, 0.00142439", \ + "0.00141016, 0.00161521, 0.00164484, 0.00166941, 0.00170053, 0.00175266, 0.00128079", \ + "0.00175005, 0.00163533, 0.00165447, 0.00167931, 0.00157878, 0.00164449, 0.00143161", \ + "0.00257322, 0.00194189, 0.00184601, 0.00174933, 0.00180551, 0.0014903, 0.00141172", \ + "0.00443219, 0.00307577, 0.00276553, 0.00244295, 0.00230588, 0.00203457, 0.00158051" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00283217; + rise_capacitance : 0.00284566; + rise_capacitance_range (0.00284566, 0.00284566); + fall_capacitance : 0.00281868; + fall_capacitance_range (0.00281868, 0.00281868); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00276859; + rise_capacitance : 0.00283636; + rise_capacitance_range (0.00283636, 0.00283636); + fall_capacitance : 0.00270082; + fall_capacitance_range (0.00270082, 0.00270082); + } + } + cell (sg13g2_nor2_2) { + area : 10.8864; + cell_footprint : "nor2"; + cell_leakage_power : 817.905; + leakage_power () { + value : 1261.26; + when : "A&!B"; + } + leakage_power () { + value : 540.64; + when : "!A&!B"; + } + leakage_power () { + value : 967.863; + when : "!A&B"; + } + leakage_power () { + value : 501.856; + when : "A&B"; + } + pin (Y) { + direction : "output"; + function : "!(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0572475, 0.161782, 0.232919, 0.350061, 0.546271, 0.872333, 1.41641", \ + "0.0884213, 0.196742, 0.268173, 0.385665, 0.582136, 0.90888, 1.45331", \ + "0.109007, 0.228017, 0.300711, 0.418693, 0.61527, 0.942834, 1.48734", \ + "0.137571, 0.281163, 0.359967, 0.482115, 0.679752, 1.00677, 1.55157", \ + "0.169533, 0.360776, 0.455644, 0.592553, 0.802069, 1.13375, 1.67898", \ + "0.197808, 0.466282, 0.58954, 0.76176, 1.00427, 1.36479, 1.92542", \ + "0.235074, 0.609131, 0.77731, 1.0017, 1.31542, 1.74896, 2.37425" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0339764, 0.174063, 0.271582, 0.432942, 0.703087, 1.15317, 1.90345", \ + "0.0403077, 0.175123, 0.271787, 0.432943, 0.703115, 1.1533, 1.90352", \ + "0.0501144, 0.18208, 0.275825, 0.434055, 0.703686, 1.15396, 1.90353", \ + "0.0696984, 0.204163, 0.293689, 0.444861, 0.707009, 1.15409, 1.90354", \ + "0.108044, 0.252094, 0.341583, 0.487747, 0.736002, 1.1668, 1.90987", \ + "0.176603, 0.342363, 0.43935, 0.590663, 0.831887, 1.23836, 1.94426", \ + "0.287666, 0.498822, 0.608552, 0.779735, 1.03925, 1.44247, 2.11249" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0327294, 0.0769488, 0.106144, 0.154082, 0.23419, 0.367067, 0.588918", \ + "0.0656344, 0.123717, 0.155216, 0.204177, 0.284219, 0.417157, 0.638557", \ + "0.0868494, 0.160964, 0.197754, 0.251488, 0.334213, 0.467592, 0.688803", \ + "0.116783, 0.21808, 0.265906, 0.33158, 0.426856, 0.567046, 0.789322", \ + "0.155365, 0.300721, 0.366382, 0.454723, 0.574687, 0.742903, 0.986048", \ + "0.20563, 0.413072, 0.509479, 0.633049, 0.797359, 1.01561, 1.31336", \ + "0.269525, 0.560956, 0.701468, 0.885545, 1.12222, 1.42502, 1.82617" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194392, 0.0746124, 0.113335, 0.177339, 0.284994, 0.463569, 0.761554", \ + "0.0343061, 0.0864474, 0.121322, 0.181491, 0.285755, 0.464758, 0.761555", \ + "0.0481668, 0.105312, 0.139558, 0.196187, 0.294474, 0.466798, 0.763261", \ + "0.0715836, 0.142305, 0.178879, 0.236893, 0.328498, 0.488071, 0.769289", \ + "0.109692, 0.207309, 0.2513, 0.313776, 0.411543, 0.565075, 0.825651", \ + "0.168016, 0.31547, 0.372249, 0.450577, 0.559873, 0.727773, 0.985867", \ + "0.259739, 0.481605, 0.572496, 0.681935, 0.822206, 1.017, 1.30296" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.045223, 0.152134, 0.223487, 0.340808, 0.536815, 0.863046, 1.40739", \ + "0.0718835, 0.186072, 0.25769, 0.375564, 0.572118, 0.899428, 1.44367", \ + "0.0888559, 0.221528, 0.29527, 0.413339, 0.610104, 0.937284, 1.48237", \ + "0.112414, 0.280803, 0.363865, 0.487922, 0.685238, 1.01187, 1.5563", \ + "0.142242, 0.366417, 0.471548, 0.616897, 0.831, 1.16249, 1.70541", \ + "0.176629, 0.477495, 0.617655, 0.808762, 1.06851, 1.44025, 2.00305", \ + "0.219997, 0.625501, 0.81281, 1.06745, 1.41781, 1.88443, 2.53646" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0341256, 0.17407, 0.271568, 0.433158, 0.703051, 1.1529, 1.90277", \ + "0.0484074, 0.176448, 0.271935, 0.433159, 0.703052, 1.15317, 1.90317", \ + "0.0639773, 0.189099, 0.279164, 0.435299, 0.703529, 1.15318, 1.90355", \ + "0.089984, 0.223772, 0.308474, 0.452979, 0.709351, 1.1534, 1.90356", \ + "0.128715, 0.291271, 0.379344, 0.518442, 0.755165, 1.174, 1.91138", \ + "0.187741, 0.401262, 0.51002, 0.659736, 0.894512, 1.28218, 1.96467", \ + "0.286517, 0.570631, 0.709908, 0.904569, 1.16991, 1.57143, 2.2109" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0271762, 0.0714188, 0.100342, 0.148065, 0.22791, 0.360896, 0.58292", \ + "0.053242, 0.117075, 0.149135, 0.198261, 0.278315, 0.411368, 0.633014", \ + "0.0691138, 0.152218, 0.190341, 0.244941, 0.328119, 0.461693, 0.683167", \ + "0.0902411, 0.205699, 0.255667, 0.323243, 0.419903, 0.560974, 0.783683", \ + "0.115275, 0.281274, 0.35147, 0.442851, 0.565316, 0.735357, 0.979713", \ + "0.146276, 0.382734, 0.485822, 0.615332, 0.783755, 1.00496, 1.30565", \ + "0.181425, 0.513856, 0.664368, 0.85722, 1.10154, 1.40868, 1.81473" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0136915, 0.0681698, 0.106771, 0.171147, 0.278283, 0.457505, 0.755556", \ + "0.0295791, 0.0810176, 0.115439, 0.175149, 0.279499, 0.457506, 0.755557", \ + "0.0431231, 0.100126, 0.134058, 0.190356, 0.288471, 0.46033, 0.75614", \ + "0.0657224, 0.137469, 0.173661, 0.231177, 0.323257, 0.482184, 0.763364", \ + "0.101377, 0.2028, 0.246583, 0.308433, 0.406345, 0.560227, 0.819964", \ + "0.158285, 0.30968, 0.367955, 0.446502, 0.555687, 0.722381, 0.982164", \ + "0.253225, 0.47488, 0.567763, 0.679146, 0.817707, 1.01442, 1.29629" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00692174, 0.00721967, 0.00722614, 0.0071902, 0.00711328, 0.00690889, 0.0068721", \ + "0.00662732, 0.00692073, 0.00712181, 0.00699875, 0.00698317, 0.00686131, 0.00688304", \ + "0.00657501, 0.00680619, 0.00692593, 0.00689935, 0.0069182, 0.00683594, 0.00675498", \ + "0.00655472, 0.00669253, 0.0067452, 0.00692535, 0.00691934, 0.00675102, 0.00672244", \ + "0.00674656, 0.00674162, 0.00675574, 0.00672217, 0.00685262, 0.00663886, 0.00661596", \ + "0.00775601, 0.00716056, 0.00707859, 0.0070718, 0.00688346, 0.00678453, 0.00676631", \ + "0.0108998, 0.00905745, 0.00859234, 0.00821781, 0.00794258, 0.00744674, 0.00700496" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00362225, 0.00369977, 0.00368342, 0.00361058, 0.00356163, 0.0033745, 0.00321774", \ + "0.00311264, 0.00339463, 0.00343805, 0.00358445, 0.00337553, 0.00341232, 0.00304068", \ + "0.00304748, 0.00331017, 0.00333878, 0.00335881, 0.0035449, 0.00322488, 0.00321607", \ + "0.00321341, 0.00325599, 0.00332438, 0.00333888, 0.0032284, 0.00342416, 0.00295407", \ + "0.00383118, 0.00353122, 0.00346592, 0.00342923, 0.00343945, 0.00313873, 0.00302045", \ + "0.0053222, 0.00453454, 0.00427055, 0.00400508, 0.00384538, 0.00376743, 0.0032538", \ + "0.00873088, 0.00724683, 0.00665309, 0.00597419, 0.00534674, 0.0048303, 0.0045606" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00365196, 0.00425185, 0.00429193, 0.00427933, 0.00418609, 0.00399974, 0.00393939", \ + "0.00337227, 0.00377851, 0.00401106, 0.00397047, 0.00398042, 0.00387984, 0.00389018", \ + "0.00344617, 0.00365042, 0.00381532, 0.00382831, 0.00388531, 0.00379764, 0.00379403", \ + "0.00374113, 0.00363974, 0.00367694, 0.00378113, 0.00388262, 0.0037062, 0.00373956", \ + "0.00455627, 0.00407777, 0.00398284, 0.00382882, 0.00390578, 0.00370719, 0.00388393", \ + "0.0061887, 0.00504698, 0.00483924, 0.0045127, 0.004176, 0.00394566, 0.00372214", \ + "0.00992928, 0.00770619, 0.0071352, 0.00649338, 0.00580792, 0.00503688, 0.00430753" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00247895, 0.00310644, 0.00310375, 0.0031108, 0.00299383, 0.00289013, 0.00269588", \ + "0.00201208, 0.0027302, 0.00286176, 0.00306547, 0.00299499, 0.00289475, 0.00267026", \ + "0.00201112, 0.00257638, 0.00268441, 0.00281725, 0.00306532, 0.00283308, 0.00268042", \ + "0.00226041, 0.00242754, 0.00258919, 0.0026919, 0.00272742, 0.00296294, 0.00261385", \ + "0.0030102, 0.00265908, 0.00261819, 0.00268327, 0.00280552, 0.00261768, 0.0025779", \ + "0.00472352, 0.00363296, 0.00336208, 0.00313244, 0.00314273, 0.00304121, 0.00270649", \ + "0.00853885, 0.00642121, 0.00582772, 0.00513974, 0.00452672, 0.0040852, 0.00373584" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00542295; + rise_capacitance : 0.00545088; + rise_capacitance_range (0.00545088, 0.00545088); + fall_capacitance : 0.00539501; + fall_capacitance_range (0.00539501, 0.00539501); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00529543; + rise_capacitance : 0.00542675; + rise_capacitance_range (0.00542675, 0.00542675); + fall_capacitance : 0.0051641; + fall_capacitance_range (0.0051641, 0.0051641); + } + } + cell (sg13g2_nor2b_1) { + area : 9.072; + cell_footprint : "nor2b"; + cell_leakage_power : 634.148; + leakage_power () { + value : 721.882; + when : "!A*!B_N"; + } + leakage_power () { + value : 629.484; + when : "!A*B_N"; + } + leakage_power () { + value : 342.156; + when : "A*!B_N"; + } + leakage_power () { + value : 843.071; + when : "A*B_N"; + } + pin (Y) { + direction : "output"; + function : "!(A+!B_N)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0522704, 0.260304, 0.401567, 0.635035, 1.02546, 1.67642, 2.76156", \ + "0.0807962, 0.294941, 0.43683, 0.671283, 1.06182, 1.71361, 2.79865", \ + "0.100463, 0.332691, 0.474609, 0.708705, 1.09985, 1.752, 2.83751", \ + "0.12796, 0.404059, 0.549906, 0.783612, 1.17431, 1.82601, 2.91168", \ + "0.163116, 0.519469, 0.685747, 0.93238, 1.3248, 1.97453, 3.06072", \ + "0.203953, 0.682061, 0.894739, 1.18619, 1.61245, 2.27293, 3.35453", \ + "0.25613, 0.897455, 1.18417, 1.57021, 2.09114, 2.83459, 3.95647" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0428656, 0.321833, 0.516234, 0.837713, 1.37579, 2.27216, 3.76773", \ + "0.0561755, 0.322378, 0.516704, 0.837942, 1.37599, 2.27217, 3.7684", \ + "0.0730523, 0.326861, 0.517371, 0.837943, 1.376, 2.27362, 3.76841", \ + "0.100415, 0.352528, 0.530335, 0.840671, 1.37601, 2.27363, 3.76842", \ + "0.14377, 0.423427, 0.590592, 0.877792, 1.38833, 2.27364, 3.76843", \ + "0.207759, 0.557751, 0.734094, 1.00863, 1.48154, 2.31453, 3.78923", \ + "0.313277, 0.77453, 0.988201, 1.29726, 1.76112, 2.53349, 3.89918" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0303696, 0.115179, 0.172632, 0.267648, 0.426645, 0.691663, 1.13361", \ + "0.0592681, 0.164617, 0.222967, 0.317992, 0.477012, 0.742203, 1.18393", \ + "0.077041, 0.208144, 0.271209, 0.368308, 0.527384, 0.792342, 1.23515", \ + "0.101551, 0.278282, 0.354477, 0.463487, 0.627637, 0.892472, 1.33371", \ + "0.131284, 0.382088, 0.483747, 0.619343, 0.810693, 1.09271, 1.53665", \ + "0.168815, 0.530631, 0.671655, 0.856213, 1.10064, 1.4373, 1.92484", \ + "0.213102, 0.73061, 0.941202, 1.20219, 1.54153, 1.984, 2.5838" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167869, 0.126522, 0.203887, 0.33151, 0.545349, 0.901818, 1.49582", \ + "0.0329438, 0.133586, 0.206644, 0.332097, 0.545468, 0.901819, 1.496", \ + "0.0476439, 0.151465, 0.219646, 0.338678, 0.547208, 0.902927, 1.49691", \ + "0.0718926, 0.191603, 0.259639, 0.369306, 0.563773, 0.905745, 1.49692", \ + "0.11084, 0.26752, 0.339804, 0.452371, 0.635253, 0.951758, 1.51291", \ + "0.172148, 0.393034, 0.481507, 0.60589, 0.799258, 1.10517, 1.62122", \ + "0.272117, 0.606671, 0.72349, 0.881712, 1.09934, 1.43108, 1.94164" \ + ); + } + } + timing () { + related_pin : "B_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.108526, 0.314635, 0.455838, 0.689475, 1.07981, 1.73089, 2.81588", \ + "0.149272, 0.355625, 0.497125, 0.731282, 1.12152, 1.77381, 2.85791", \ + "0.179338, 0.3859, 0.527496, 0.761343, 1.15231, 1.80371, 2.89016", \ + "0.224933, 0.432172, 0.573835, 0.807556, 1.19852, 1.84996, 2.93527", \ + "0.288212, 0.497708, 0.63947, 0.873321, 1.2644, 1.91582, 3.00198", \ + "0.37265, 0.588916, 0.730681, 0.964877, 1.35547, 2.007, 3.09236", \ + "0.484041, 0.716159, 0.857582, 1.09195, 1.48251, 2.13462, 3.22027" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0432896, 0.321827, 0.516283, 0.837595, 1.37529, 2.27277, 3.76773", \ + "0.0437626, 0.322024, 0.517161, 0.838265, 1.37626, 2.27284, 3.76774", \ + "0.0447657, 0.322025, 0.517162, 0.838266, 1.37627, 2.27285, 3.76784", \ + "0.0472571, 0.322087, 0.517163, 0.838267, 1.37696, 2.27286, 3.76833", \ + "0.0539844, 0.322355, 0.517164, 0.838268, 1.37697, 2.27287, 3.7713", \ + "0.066504, 0.324182, 0.517165, 0.838269, 1.37698, 2.27288, 3.77131", \ + "0.08768, 0.330334, 0.519538, 0.839244, 1.37717, 2.27289, 3.77132" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0877513, 0.176492, 0.234673, 0.33034, 0.490302, 0.756597, 1.20003", \ + "0.127212, 0.216692, 0.2749, 0.370706, 0.530553, 0.79664, 1.24027", \ + "0.157409, 0.248027, 0.306461, 0.402261, 0.562184, 0.82827, 1.27185", \ + "0.20159, 0.294141, 0.352985, 0.448711, 0.608599, 0.874688, 1.31832", \ + "0.262807, 0.35922, 0.417233, 0.512402, 0.66928, 0.934888, 1.37791", \ + "0.335459, 0.44271, 0.500112, 0.595056, 0.753326, 1.01567, 1.45794", \ + "0.424006, 0.549841, 0.609412, 0.705644, 0.860849, 1.12527, 1.56632" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0281519, 0.137621, 0.21503, 0.343457, 0.557979, 0.916525, 1.51203", \ + "0.0294403, 0.137777, 0.215106, 0.343756, 0.558232, 0.916526, 1.51211", \ + "0.0319986, 0.13875, 0.215662, 0.343757, 0.558873, 0.916527, 1.51216", \ + "0.0375114, 0.140963, 0.216951, 0.344444, 0.558874, 0.916528, 1.51217", \ + "0.0468722, 0.144832, 0.219729, 0.346592, 0.560255, 0.916997, 1.51327", \ + "0.063845, 0.154751, 0.22532, 0.34959, 0.562613, 0.919586, 1.51397", \ + "0.090414, 0.17738, 0.241109, 0.359345, 0.567849, 0.923553, 1.51754" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00181436, 0.00207917, 0.00205743, 0.00201005, 0.0019161, 0.00173598, 0.00170466", \ + "0.00163428, 0.00191064, 0.00194699, 0.00192965, 0.00185425, 0.00171044, 0.00169576", \ + "0.00165957, 0.001824, 0.0018622, 0.00186862, 0.00181518, 0.00171659, 0.00166046", \ + "0.0017923, 0.00179457, 0.00181304, 0.00184584, 0.00177095, 0.00163999, 0.0016381", \ + "0.00218011, 0.00190466, 0.00185133, 0.00195349, 0.00176874, 0.00162454, 0.0016329", \ + "0.00295284, 0.00229125, 0.00215244, 0.00195984, 0.00197227, 0.00163457, 0.00185705", \ + "0.00474185, 0.00334788, 0.00308226, 0.0028117, 0.00235482, 0.00202368, 0.00233271" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00162681, 0.00185257, 0.00183245, 0.0017795, 0.00169874, 0.0015685, 0.00131429", \ + "0.00133808, 0.00175752, 0.00179579, 0.00179296, 0.00170028, 0.00157076, 0.00130833", \ + "0.00131311, 0.00166688, 0.00172748, 0.00172497, 0.00167729, 0.00163127, 0.00142712", \ + "0.00141109, 0.00161164, 0.00166085, 0.00166951, 0.00170567, 0.00175372, 0.0012823", \ + "0.00175063, 0.00163633, 0.00165514, 0.00168253, 0.00154995, 0.00167082, 0.0014263", \ + "0.00257386, 0.00194663, 0.00184525, 0.00175056, 0.00181209, 0.00148299, 0.00141677", \ + "0.00444408, 0.00307663, 0.00276258, 0.00244414, 0.00229841, 0.00203293, 0.00152845" \ + ); + } + } + internal_power () { + related_pin : "B_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00378878, 0.00390956, 0.00388162, 0.00383473, 0.00371642, 0.00356876, 0.00353168", \ + "0.0037103, 0.00386358, 0.00387354, 0.00383443, 0.00373988, 0.00359676, 0.00355875", \ + "0.00368935, 0.00383088, 0.00383666, 0.00380075, 0.00370528, 0.00357214, 0.00358132", \ + "0.00365164, 0.00380705, 0.0038373, 0.00377159, 0.0037253, 0.00354934, 0.00344678", \ + "0.00362139, 0.00378274, 0.00375952, 0.00380881, 0.0036957, 0.00351875, 0.00365496", \ + "0.00360267, 0.00376043, 0.00380235, 0.00380803, 0.00370099, 0.00376026, 0.00371983", \ + "0.00358095, 0.00367759, 0.00374044, 0.00378556, 0.00379612, 0.0035422, 0.00388842" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00193296, 0.00199798, 0.00198078, 0.00193046, 0.00184512, 0.00173474, 0.00141231", \ + "0.0018365, 0.00201397, 0.00197335, 0.00195509, 0.00184959, 0.00169668, 0.00146363", \ + "0.00181195, 0.0019367, 0.0019765, 0.00196611, 0.0018838, 0.00168387, 0.00140442", \ + "0.00182177, 0.00193301, 0.00192624, 0.00192384, 0.00188856, 0.0017005, 0.00146675", \ + "0.00177922, 0.00189324, 0.00190029, 0.0018608, 0.00158799, 0.00161058, 0.00115029", \ + "0.00185189, 0.00189935, 0.00189482, 0.00188591, 0.00180395, 0.00145643, 0.00133717", \ + "0.00203665, 0.00193502, 0.00183289, 0.00188105, 0.00182917, 0.00177426, 0.00139526" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00277031; + rise_capacitance : 0.00283816; + rise_capacitance_range (0.00283816, 0.00283816); + fall_capacitance : 0.00270247; + fall_capacitance_range (0.00270247, 0.00270247); + } + pin (B_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00212009; + rise_capacitance : 0.00215486; + rise_capacitance_range (0.00215486, 0.00215486); + fall_capacitance : 0.00208532; + fall_capacitance_range (0.00208532, 0.00208532); + internal_power () { + when : "A"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00295538, 0.00275418, 0.00272475, 0.0027758, 0.00299693, 0.00355207, 0.00483496" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00299436, 0.00282434, 0.00280745, 0.00283873, 0.00308896, 0.00359939, 0.00482136" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00295538, 0.00275418, 0.00272475, 0.0027758, 0.00299693, 0.00355207, 0.00483496" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00299436, 0.00282434, 0.00280745, 0.00283873, 0.00308896, 0.00359939, 0.00482136" \ + ); + } + } + } + } + cell (sg13g2_nor2b_2) { + area : 12.7008; + cell_footprint : "nor2b"; + cell_leakage_power : 1082.41; + leakage_power () { + value : 1372.71; + when : "!A*!B_N"; + } + leakage_power () { + value : 949.239; + when : "!A*B_N"; + } + leakage_power () { + value : 612.971; + when : "A*!B_N"; + } + leakage_power () { + value : 1394.7; + when : "A*B_N"; + } + pin (Y) { + direction : "output"; + function : "!(A+!B_N)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0446586, 0.25835, 0.399555, 0.633076, 1.0233, 1.6741, 2.7589", \ + "0.0715808, 0.292997, 0.434878, 0.669287, 1.05998, 1.71207, 2.79598", \ + "0.0884739, 0.330762, 0.472745, 0.706597, 1.09792, 1.74992, 2.83451", \ + "0.111737, 0.402002, 0.547994, 0.781772, 1.17257, 1.82385, 2.90913", \ + "0.140939, 0.517089, 0.683589, 0.930309, 1.32267, 1.97219, 3.0588", \ + "0.174132, 0.678605, 0.891682, 1.18389, 1.61019, 2.27065, 3.35195", \ + "0.214823, 0.891843, 1.17991, 1.56602, 2.08826, 2.83125, 3.95353" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0343389, 0.319979, 0.514409, 0.835751, 1.37406, 2.27113, 3.76625", \ + "0.0484935, 0.31998, 0.51481, 0.836371, 1.37407, 2.27119, 3.76626", \ + "0.0641073, 0.325153, 0.515396, 0.836372, 1.37408, 2.2712, 3.76722", \ + "0.0901343, 0.350557, 0.528595, 0.838994, 1.37409, 2.27121, 3.76723", \ + "0.128908, 0.421638, 0.588377, 0.875983, 1.38685, 2.27136, 3.76772", \ + "0.188162, 0.55572, 0.732263, 1.00715, 1.47876, 2.31228, 3.78052", \ + "0.287192, 0.772331, 0.991216, 1.2924, 1.75997, 2.53256, 3.89629" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0275554, 0.118518, 0.178365, 0.277333, 0.442881, 0.718847, 1.17902", \ + "0.0539421, 0.168038, 0.228674, 0.327643, 0.493242, 0.769717, 1.2298", \ + "0.0700221, 0.211805, 0.276916, 0.377979, 0.543628, 0.819316, 1.28028", \ + "0.0917883, 0.282803, 0.361242, 0.47356, 0.643796, 0.919463, 1.37876", \ + "0.117775, 0.387984, 0.492192, 0.631311, 0.828309, 1.12009, 1.58147", \ + "0.150558, 0.539045, 0.683069, 0.87212, 1.12181, 1.46807, 1.97136", \ + "0.18925, 0.743785, 0.958185, 1.22336, 1.57077, 2.02194, 2.63804" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0140174, 0.130839, 0.211203, 0.344261, 0.567483, 0.938339, 1.55701", \ + "0.0299762, 0.137444, 0.213823, 0.344914, 0.567484, 0.9392, 1.55716", \ + "0.0436586, 0.155042, 0.226601, 0.351237, 0.568405, 0.939201, 1.55768", \ + "0.0662196, 0.195477, 0.266059, 0.380503, 0.583665, 0.941899, 1.55769", \ + "0.102064, 0.271833, 0.346272, 0.463932, 0.653837, 0.984815, 1.5724", \ + "0.159342, 0.397838, 0.490349, 0.617101, 0.817776, 1.13627, 1.67536", \ + "0.254218, 0.613215, 0.731673, 0.894445, 1.11935, 1.46378, 1.99326" \ + ); + } + } + timing () { + related_pin : "B_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.118922, 0.331386, 0.47265, 0.70604, 1.09667, 1.74753, 2.83227", \ + "0.163061, 0.37541, 0.516999, 0.750749, 1.14132, 1.79294, 2.87706", \ + "0.19744, 0.410307, 0.551996, 0.785558, 1.1767, 1.82748, 2.91351", \ + "0.249984, 0.464533, 0.606104, 0.839886, 1.2307, 1.88578, 2.96708", \ + "0.324635, 0.542865, 0.684423, 0.918138, 1.30917, 1.96029, 3.0463", \ + "0.425281, 0.654409, 0.79559, 1.02969, 1.42048, 2.07137, 3.15665", \ + "0.555308, 0.807644, 0.949529, 1.18249, 1.57302, 2.2251, 3.3099" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0366055, 0.320077, 0.514196, 0.835771, 1.37406, 2.27113, 3.76625", \ + "0.0372832, 0.320894, 0.514658, 0.835992, 1.37456, 2.27114, 3.76626", \ + "0.038799, 0.320895, 0.514659, 0.836546, 1.37457, 2.27135, 3.76627", \ + "0.0424718, 0.320896, 0.51466, 0.836547, 1.37916, 2.27492, 3.76671", \ + "0.0511086, 0.320906, 0.514853, 0.836548, 1.37917, 2.27493, 3.76672", \ + "0.066972, 0.323645, 0.515504, 0.836549, 1.37918, 2.27494, 3.76673", \ + "0.093556, 0.333184, 0.520034, 0.837856, 1.37919, 2.27495, 3.76674" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.104265, 0.201862, 0.26197, 0.360669, 0.525158, 0.798447, 1.25392", \ + "0.145847, 0.244109, 0.304305, 0.402862, 0.56716, 0.840714, 1.29619", \ + "0.180158, 0.279696, 0.339909, 0.438392, 0.602758, 0.876754, 1.33187", \ + "0.232458, 0.334868, 0.395086, 0.493837, 0.658225, 0.931566, 1.38707", \ + "0.305086, 0.412137, 0.472545, 0.570466, 0.734802, 1.00729, 1.4628", \ + "0.395459, 0.514863, 0.575235, 0.672867, 0.835255, 1.10368, 1.55714", \ + "0.508254, 0.650789, 0.714448, 0.811261, 0.973868, 1.24322, 1.69433" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.030508, 0.144797, 0.223774, 0.355578, 0.576263, 0.94339, 1.55599", \ + "0.0313347, 0.145008, 0.223889, 0.355579, 0.576264, 0.943468, 1.55615", \ + "0.0341095, 0.145856, 0.224211, 0.355622, 0.576265, 0.944481, 1.55616", \ + "0.0402202, 0.148293, 0.226028, 0.356268, 0.576277, 0.944482, 1.55766", \ + "0.0508071, 0.154502, 0.23007, 0.358966, 0.578093, 0.944483, 1.55767", \ + "0.070045, 0.166372, 0.237726, 0.364038, 0.581591, 0.94684, 1.55843", \ + "0.100378, 0.193731, 0.259173, 0.376066, 0.587982, 0.951798, 1.562" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00357629, 0.00421519, 0.00416991, 0.00405464, 0.00388153, 0.00354274, 0.00345668", \ + "0.00330552, 0.00385222, 0.00394333, 0.00393952, 0.00376396, 0.0034788, 0.00337881", \ + "0.0033754, 0.00370709, 0.00390182, 0.00379265, 0.00368294, 0.00345136, 0.0034403", \ + "0.00367061, 0.00364092, 0.00374451, 0.00376031, 0.00358319, 0.00334291, 0.00333026", \ + "0.00447206, 0.00385143, 0.00374027, 0.00392966, 0.00372219, 0.00331584, 0.00341838", \ + "0.00607505, 0.00463734, 0.00432227, 0.00392788, 0.00396642, 0.00333175, 0.00363786", \ + "0.00970154, 0.00669489, 0.00626899, 0.00558366, 0.00473697, 0.00408036, 0.00420839" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00252852, 0.00315031, 0.00309767, 0.00301233, 0.00288655, 0.0025662, 0.00199153", \ + "0.00203808, 0.00296686, 0.00297746, 0.00297146, 0.00288334, 0.00266929, 0.00217308", \ + "0.00205137, 0.00276149, 0.00290702, 0.00290935, 0.00279453, 0.00256802, 0.00219369", \ + "0.00228393, 0.00267984, 0.00273034, 0.002767, 0.00309004, 0.00288939, 0.00200973", \ + "0.00301468, 0.00272158, 0.00277463, 0.00282179, 0.00257878, 0.00280038, 0.00194381", \ + "0.00470565, 0.00329228, 0.00318906, 0.00300086, 0.00293351, 0.00239315, 0.00228668", \ + "0.00845184, 0.00552712, 0.00489416, 0.0042428, 0.00404626, 0.00341967, 0.00245649" \ + ); + } + } + internal_power () { + related_pin : "B_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00734657, 0.00770097, 0.00766187, 0.00758047, 0.00740457, 0.00707237, 0.00701197", \ + "0.0072618, 0.0076388, 0.0076044, 0.0075412, 0.0073858, 0.0070291, 0.0070632", \ + "0.00723046, 0.00760926, 0.00755646, 0.00751336, 0.00736496, 0.00703126, 0.00700716", \ + "0.00716296, 0.00751176, 0.00753836, 0.00752836, 0.00758546, 0.00727916, 0.00700506", \ + "0.00711518, 0.00744698, 0.00736938, 0.00751438, 0.00727048, 0.00698948, 0.00701908", \ + "0.00709612, 0.00740172, 0.00746262, 0.00737532, 0.00737442, 0.00722582, 0.00765912", \ + "0.00716557, 0.00729087, 0.00742687, 0.00750687, 0.00749347, 0.00696387, 0.00794637" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00355094, 0.00373414, 0.00370537, 0.00364678, 0.00354575, 0.00316509, 0.00261985", \ + "0.00339853, 0.00384105, 0.0039081, 0.00366726, 0.00351137, 0.00324132, 0.00270594", \ + "0.00334732, 0.00366727, 0.0037444, 0.00360022, 0.00344063, 0.00329207, 0.00273862", \ + "0.00335693, 0.00368774, 0.00362247, 0.00369419, 0.00343867, 0.00320918, 0.00289464", \ + "0.0034008, 0.00366387, 0.00372081, 0.00350172, 0.00340284, 0.00381299, 0.00299435", \ + "0.00354747, 0.00361636, 0.00358164, 0.00358863, 0.00338184, 0.00257749, 0.00241086", \ + "0.00391493, 0.00360023, 0.00375893, 0.00360303, 0.00352183, 0.00332823, 0.00268472" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0053645; + rise_capacitance : 0.00550762; + rise_capacitance_range (0.00550762, 0.00550762); + fall_capacitance : 0.00522138; + fall_capacitance_range (0.00522138, 0.00522138); + } + pin (B_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00251891; + rise_capacitance : 0.00256832; + rise_capacitance_range (0.00256832, 0.00256832); + fall_capacitance : 0.0024695; + fall_capacitance_range (0.0024695, 0.0024695); + internal_power () { + when : "A"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00500723, 0.0047762, 0.00472394, 0.00473514, 0.00496772, 0.00559768, 0.00704723" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00499652, 0.00478278, 0.00473985, 0.00477854, 0.00496444, 0.00551915, 0.00691717" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00500723, 0.0047762, 0.00472394, 0.00473514, 0.00496772, 0.00559768, 0.00704723" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00499652, 0.00478278, 0.00473985, 0.00477854, 0.00496444, 0.00551915, 0.00691717" \ + ); + } + } + } + } + cell (sg13g2_nor3_1) { + area : 9.072; + cell_footprint : "nor3"; + cell_leakage_power : 471.511; + leakage_power () { + value : 815.153; + when : "A&!B&!C"; + } + leakage_power () { + value : 426.765; + when : "!A&!B&!C"; + } + leakage_power () { + value : 637.096; + when : "!A&B&!C"; + } + leakage_power () { + value : 490.332; + when : "!A&!B&C"; + } + leakage_power () { + value : 451.1; + when : "A&B&!C"; + } + leakage_power () { + value : 218.594; + when : "B&C"; + } + leakage_power () { + value : 261.538; + when : "A&!B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.114933, 0.426881, 0.641772, 0.996502, 1.59111, 2.5809, 4.2314", \ + "0.145831, 0.458932, 0.674764, 1.03033, 1.62458, 2.61511, 4.26575", \ + "0.169362, 0.486032, 0.701813, 1.05751, 1.65274, 2.64423, 4.29394", \ + "0.204871, 0.536239, 0.752134, 1.10812, 1.70341, 2.69509, 4.3455", \ + "0.251956, 0.623092, 0.845625, 1.2037, 1.7988, 2.79058, 4.4416", \ + "0.300108, 0.75561, 1.00117, 1.37791, 1.98196, 2.97404, 4.62604", \ + "0.354033, 0.949794, 1.24394, 1.67447, 2.32359, 3.34111, 4.99984" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0829298, 0.501881, 0.7934, 1.27482, 2.08271, 3.4262, 5.66719", \ + "0.0847353, 0.501882, 0.79361, 1.27625, 2.08272, 3.42621, 5.67033", \ + "0.0911862, 0.50211, 0.793611, 1.27626, 2.08273, 3.42816, 5.6709", \ + "0.1054, 0.507921, 0.795185, 1.2794, 2.08274, 3.42817, 5.67091", \ + "0.136484, 0.537027, 0.81341, 1.28267, 2.08655, 3.42818, 5.67092", \ + "0.203764, 0.612215, 0.883481, 1.33333, 2.10848, 3.43244, 5.67093", \ + "0.333642, 0.762893, 1.04273, 1.48884, 2.23381, 3.50632, 5.69788" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0392343, 0.123203, 0.179229, 0.270747, 0.42353, 0.677894, 1.10114", \ + "0.0779892, 0.172856, 0.229092, 0.320658, 0.473385, 0.727577, 1.15107", \ + "0.104493, 0.217811, 0.27784, 0.371047, 0.523809, 0.777814, 1.20102", \ + "0.142476, 0.291381, 0.36295, 0.466593, 0.624011, 0.877978, 1.30059", \ + "0.19309, 0.401305, 0.49574, 0.623884, 0.806724, 1.07759, 1.50347", \ + "0.258646, 0.559284, 0.689019, 0.86308, 1.09592, 1.42033, 1.8898", \ + "0.340519, 0.773893, 0.966745, 1.21203, 1.53485, 1.96218, 2.54106" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0293901, 0.1362, 0.21033, 0.332696, 0.537764, 0.879398, 1.44861", \ + "0.0446283, 0.142066, 0.212704, 0.33296, 0.537765, 0.879399, 1.44862", \ + "0.0595971, 0.158985, 0.225163, 0.33983, 0.538875, 0.8794, 1.44863", \ + "0.0874612, 0.199014, 0.263992, 0.369972, 0.556253, 0.882984, 1.44864", \ + "0.133467, 0.273879, 0.343472, 0.452564, 0.627881, 0.931032, 1.46645", \ + "0.204701, 0.399347, 0.485844, 0.604203, 0.791169, 1.08541, 1.57797", \ + "0.3146, 0.616551, 0.725239, 0.876651, 1.08777, 1.40922, 1.90078" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.108411, 0.420373, 0.635096, 0.990046, 1.58413, 2.57442, 4.22488", \ + "0.13787, 0.451448, 0.666955, 1.02238, 1.61795, 2.60748, 4.25833", \ + "0.161474, 0.481382, 0.697206, 1.05292, 1.64839, 2.63866, 4.29022", \ + "0.197139, 0.540354, 0.756428, 1.11257, 1.70774, 2.69869, 4.3499", \ + "0.244547, 0.646173, 0.871587, 1.23056, 1.82603, 2.81807, 4.46834", \ + "0.297241, 0.809868, 1.06577, 1.44936, 2.0566, 3.04881, 4.7019", \ + "0.375125, 1.04516, 1.36817, 1.8196, 2.4835, 3.51079, 5.17131" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0828136, 0.50171, 0.793289, 1.27482, 2.08275, 3.4262, 5.66719", \ + "0.0866171, 0.502098, 0.79329, 1.27552, 2.08281, 3.42796, 5.6704", \ + "0.0971328, 0.50258, 0.793291, 1.27553, 2.08335, 3.42809, 5.67041", \ + "0.119148, 0.51124, 0.796335, 1.27554, 2.08336, 3.4281, 5.67042", \ + "0.162067, 0.550463, 0.820816, 1.28611, 2.08447, 3.43011, 5.67287", \ + "0.239737, 0.650702, 0.912396, 1.35022, 2.11586, 3.4355, 5.67288", \ + "0.36073, 0.842537, 1.11938, 1.55007, 2.27135, 3.5277, 5.70424" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0384964, 0.119894, 0.175372, 0.266814, 0.419713, 0.674646, 1.09891", \ + "0.0743224, 0.169586, 0.225623, 0.317048, 0.46993, 0.72478, 1.14943", \ + "0.0980271, 0.21385, 0.274099, 0.367324, 0.520364, 0.774957, 1.19938", \ + "0.131286, 0.285785, 0.357993, 0.462525, 0.620455, 0.874948, 1.29899", \ + "0.173927, 0.392538, 0.488623, 0.618276, 0.802459, 1.07435, 1.50166", \ + "0.228117, 0.545127, 0.678063, 0.854512, 1.09025, 1.41619, 1.88757", \ + "0.292367, 0.749887, 0.948406, 1.19894, 1.52532, 1.95567, 2.53759" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0252705, 0.131377, 0.205717, 0.328324, 0.533848, 0.876299, 1.44697", \ + "0.0404715, 0.137804, 0.208468, 0.328861, 0.533907, 0.8763, 1.44801", \ + "0.0556847, 0.155236, 0.221087, 0.335934, 0.535646, 0.876398, 1.44802", \ + "0.0825923, 0.195037, 0.260632, 0.366511, 0.552624, 0.880557, 1.44803", \ + "0.127548, 0.270498, 0.33969, 0.448614, 0.625388, 0.928935, 1.46592", \ + "0.196214, 0.396135, 0.481911, 0.60114, 0.78837, 1.08399, 1.57774", \ + "0.305146, 0.61169, 0.722913, 0.874238, 1.08625, 1.40745, 1.90108" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0859512, 0.400663, 0.615756, 0.970791, 1.56461, 2.55493, 4.20547", \ + "0.112159, 0.428572, 0.644684, 1.0006, 1.59598, 2.58599, 4.23686", \ + "0.135991, 0.461022, 0.677226, 1.0332, 1.62832, 2.61943, 4.27034", \ + "0.171071, 0.527311, 0.742915, 1.09856, 1.69418, 2.68575, 4.33622", \ + "0.218899, 0.647032, 0.874325, 1.23175, 1.82512, 2.81519, 4.46603", \ + "0.278051, 0.828248, 1.09497, 1.48477, 2.09059, 3.07766, 4.7246", \ + "0.360796, 1.07601, 1.42192, 1.89571, 2.57966, 3.61072, 5.26621" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0827598, 0.501903, 0.793406, 1.2755, 2.08157, 3.4262, 5.66893", \ + "0.0904727, 0.502311, 0.793407, 1.27551, 2.0822, 3.42809, 5.6707", \ + "0.106574, 0.502738, 0.793408, 1.27551, 2.08241, 3.43, 5.67071", \ + "0.135046, 0.516372, 0.797788, 1.27674, 2.08242, 3.43001, 5.67072", \ + "0.181282, 0.573577, 0.835136, 1.29052, 2.08551, 3.43002, 5.67073", \ + "0.252559, 0.70427, 0.96131, 1.38522, 2.13117, 3.43797, 5.67368", \ + "0.367269, 0.93314, 1.21602, 1.64886, 2.35116, 3.57426, 5.71972" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0333197, 0.114195, 0.169376, 0.260638, 0.41341, 0.668234, 1.09239", \ + "0.0650443, 0.163958, 0.220054, 0.31133, 0.464102, 0.718738, 1.1434", \ + "0.0845286, 0.207385, 0.268049, 0.361623, 0.51435, 0.768955, 1.19345", \ + "0.111135, 0.277297, 0.350761, 0.45624, 0.614482, 0.869103, 1.29279", \ + "0.143286, 0.380282, 0.47875, 0.610245, 0.79561, 1.06824, 1.49559", \ + "0.182373, 0.526433, 0.663876, 0.84347, 1.08091, 1.4089, 1.881", \ + "0.224238, 0.720189, 0.925874, 1.18241, 1.51315, 1.94608, 2.52981" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0200993, 0.125777, 0.199721, 0.322556, 0.527765, 0.870046, 1.44061", \ + "0.0362404, 0.132504, 0.202864, 0.323095, 0.528002, 0.870047, 1.44163", \ + "0.0514437, 0.150474, 0.215931, 0.330048, 0.529868, 0.870193, 1.44164", \ + "0.0777097, 0.1904, 0.255569, 0.36142, 0.546941, 0.875041, 1.44496", \ + "0.121087, 0.265897, 0.334933, 0.444391, 0.620098, 0.92305, 1.45876", \ + "0.188847, 0.391863, 0.478187, 0.596737, 0.78374, 1.07949, 1.57197", \ + "0.299339, 0.608653, 0.71963, 0.869733, 1.08216, 1.40392, 1.89527" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00578055, 0.0058801, 0.00585663, 0.00579551, 0.00570299, 0.00550433, 0.00551222", \ + "0.00562111, 0.00576219, 0.00578015, 0.0057585, 0.00566613, 0.00550075, 0.00554829", \ + "0.00556949, 0.00570716, 0.00572152, 0.0057057, 0.00565326, 0.00551125, 0.00560705", \ + "0.0055463, 0.00566066, 0.00566755, 0.0057237, 0.00561846, 0.00547033, 0.00548531", \ + "0.00555495, 0.00559241, 0.00567105, 0.00559487, 0.00558984, 0.00541666, 0.00538156", \ + "0.00577946, 0.00568665, 0.00562277, 0.00564423, 0.00551575, 0.00538094, 0.00533828", \ + "0.00693306, 0.00617651, 0.0060865, 0.0059214, 0.00571955, 0.00589674, 0.00587647" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00228148, 0.00224559, 0.0022199, 0.00215922, 0.00207901, 0.00196087, 0.00171765", \ + "0.00207769, 0.00213496, 0.00211397, 0.00220391, 0.00198464, 0.00184426, 0.00163178", \ + "0.00201643, 0.00207932, 0.00209636, 0.00209833, 0.00194895, 0.00183204, 0.00157261", \ + "0.00203417, 0.00209864, 0.00205024, 0.00201111, 0.00221595, 0.00196367, 0.00156144", \ + "0.0022633, 0.00217777, 0.00214234, 0.00214457, 0.00191788, 0.00195578, 0.00166839", \ + "0.00289708, 0.00249671, 0.00239728, 0.00224397, 0.00221302, 0.00189366, 0.00162678", \ + "0.00452788, 0.00354512, 0.00322038, 0.00289402, 0.00268829, 0.00247836, 0.00200332" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00439748, 0.0045033, 0.00447607, 0.00441579, 0.00432532, 0.00412609, 0.00413189", \ + "0.00423699, 0.00438605, 0.00439041, 0.00436134, 0.00430923, 0.00414691, 0.00414015", \ + "0.00418531, 0.00436575, 0.00433824, 0.00432379, 0.00428195, 0.00415063, 0.00412897", \ + "0.00416781, 0.00428485, 0.00428313, 0.00427214, 0.00421284, 0.00406727, 0.004098", \ + "0.0042266, 0.00422389, 0.00430946, 0.00424216, 0.00417862, 0.00410282, 0.00402516", \ + "0.00460883, 0.00437001, 0.00429364, 0.0042977, 0.00418039, 0.00419783, 0.0039848", \ + "0.00598101, 0.00505631, 0.00489246, 0.00464596, 0.00442242, 0.00443377, 0.0043691" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00209839, 0.002092, 0.00206667, 0.00200233, 0.00190874, 0.00177292, 0.00151244", \ + "0.00184749, 0.00202335, 0.00202841, 0.00198965, 0.00190714, 0.00175897, 0.00155823", \ + "0.00177348, 0.00196882, 0.00198959, 0.00197704, 0.00193131, 0.00177962, 0.00153725", \ + "0.00178431, 0.00195135, 0.00194647, 0.00192772, 0.00198033, 0.00192048, 0.00147014", \ + "0.00202845, 0.00199476, 0.00197924, 0.00198495, 0.00182612, 0.00187792, 0.0015303", \ + "0.00267581, 0.002271, 0.00219042, 0.00208801, 0.00209539, 0.00182562, 0.00160706", \ + "0.00426005, 0.00327678, 0.00298864, 0.00269278, 0.00253187, 0.00232535, 0.0018578" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00285121, 0.00307525, 0.00305541, 0.00300162, 0.00289502, 0.00270603, 0.00268746", \ + "0.002632, 0.00289215, 0.00291334, 0.00289876, 0.00284857, 0.0026945, 0.0027488", \ + "0.00263009, 0.00284724, 0.00284687, 0.00285396, 0.00280277, 0.00268782, 0.00264954", \ + "0.00274006, 0.00275937, 0.00278334, 0.00280283, 0.00276002, 0.0026323, 0.00265113", \ + "0.0030798, 0.00283808, 0.00285984, 0.00275413, 0.0027357, 0.00260378, 0.0026144", \ + "0.00375629, 0.00320731, 0.00303679, 0.00297556, 0.00279743, 0.00260474, 0.00254585", \ + "0.00538221, 0.0042461, 0.00391089, 0.00364943, 0.00322131, 0.00333751, 0.00302655" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00172641, 0.00189985, 0.00185897, 0.00181127, 0.00173865, 0.00160262, 0.00133373", \ + "0.00148089, 0.00185557, 0.00188127, 0.00190838, 0.0017903, 0.00165504, 0.00144041", \ + "0.00142562, 0.00178115, 0.00183114, 0.00188772, 0.00182647, 0.00168088, 0.00139971", \ + "0.00147179, 0.00174431, 0.00177424, 0.00179166, 0.00182134, 0.00179201, 0.00177175", \ + "0.00175355, 0.00174507, 0.00177537, 0.00184356, 0.00168706, 0.00174431, 0.00136706", \ + "0.00248633, 0.00200771, 0.00196823, 0.00189036, 0.00191603, 0.00167871, 0.00143831", \ + "0.00420438, 0.00302388, 0.00273334, 0.00243424, 0.0023771, 0.00223357, 0.00174882" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00284571; + rise_capacitance : 0.00284875; + rise_capacitance_range (0.00284875, 0.00284875); + fall_capacitance : 0.00284266; + fall_capacitance_range (0.00284266, 0.00284266); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00285796; + rise_capacitance : 0.00287652; + rise_capacitance_range (0.00287652, 0.00287652); + fall_capacitance : 0.00283939; + fall_capacitance_range (0.00283939, 0.00283939); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0027624; + rise_capacitance : 0.00282884; + rise_capacitance_range (0.00282884, 0.00282884); + fall_capacitance : 0.00269597; + fall_capacitance_range (0.00269597, 0.00269597); + } + } + cell (sg13g2_nor3_2) { + area : 16.3296; + cell_footprint : "nor3"; + cell_leakage_power : 936.246; + leakage_power () { + value : 1629.82; + when : "A&!B&!C"; + } + leakage_power () { + value : 810.959; + when : "!A&!B&!C"; + } + leakage_power () { + value : 1273.68; + when : "!A&B&!C"; + } + leakage_power () { + value : 980.207; + when : "!A&!B&C"; + } + leakage_power () { + value : 901.191; + when : "A&B&!C"; + } + leakage_power () { + value : 435.73; + when : "B&C"; + } + leakage_power () { + value : 522.133; + when : "A&!B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.104669, 0.425381, 0.640947, 0.997016, 1.59381, 2.58736, 4.244", \ + "0.135874, 0.457801, 0.674344, 1.03117, 1.62858, 2.62197, 4.27869", \ + "0.159065, 0.485227, 0.701726, 1.05864, 1.65684, 2.65121, 4.30704", \ + "0.193386, 0.535655, 0.752336, 1.1096, 1.70704, 2.70162, 4.35887", \ + "0.237289, 0.622439, 0.845982, 1.20521, 1.80281, 2.79801, 4.4552", \ + "0.277078, 0.753905, 1.00026, 1.37864, 1.9855, 2.9808, 4.63873", \ + "0.314123, 0.946012, 1.24172, 1.67409, 2.32446, 3.34689, 5.01088" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0666891, 0.496777, 0.789738, 1.27386, 2.08429, 3.43318, 5.68317", \ + "0.0689987, 0.497025, 0.789974, 1.27387, 2.08438, 3.43509, 5.68633", \ + "0.0753115, 0.497499, 0.789975, 1.27388, 2.08501, 3.43513, 5.68634", \ + "0.0886443, 0.503156, 0.791666, 1.2771, 2.08502, 3.43514, 5.68635", \ + "0.118607, 0.531362, 0.809569, 1.28131, 2.08724, 3.43515, 5.68636", \ + "0.183551, 0.605161, 0.878361, 1.33084, 2.11006, 3.4406, 5.69125", \ + "0.313138, 0.756655, 1.0377, 1.48583, 2.23328, 3.51252, 5.71111" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0367274, 0.127455, 0.18597, 0.281795, 0.441525, 0.707307, 1.14959", \ + "0.074827, 0.177213, 0.235962, 0.331699, 0.491396, 0.757836, 1.1993", \ + "0.100681, 0.22269, 0.284985, 0.382084, 0.541715, 0.807134, 1.25038", \ + "0.137791, 0.297412, 0.371425, 0.478523, 0.642252, 0.907446, 1.3491", \ + "0.18731, 0.409789, 0.506663, 0.638622, 0.827247, 1.10804, 1.55218", \ + "0.251904, 0.571891, 0.704415, 0.882581, 1.12178, 1.45534, 1.9412", \ + "0.333703, 0.793925, 0.990534, 1.24016, 1.57157, 2.00768, 2.60305" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0267312, 0.141186, 0.218726, 0.346752, 0.560848, 0.918709, 1.51283", \ + "0.0416226, 0.146714, 0.220858, 0.346985, 0.560882, 0.91871, 1.51287", \ + "0.0561855, 0.16328, 0.232749, 0.353233, 0.564076, 0.918711, 1.51484", \ + "0.0822364, 0.20324, 0.271149, 0.382157, 0.577829, 0.921863, 1.51485", \ + "0.125709, 0.277513, 0.351036, 0.463952, 0.648592, 0.966056, 1.53", \ + "0.192891, 0.40442, 0.493855, 0.617541, 0.811004, 1.11817, 1.63575", \ + "0.296963, 0.623067, 0.735116, 0.89245, 1.10938, 1.44421, 1.95603" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0977562, 0.418408, 0.6341, 0.990136, 1.5865, 2.58047, 4.2371", \ + "0.127399, 0.44995, 0.666248, 1.02298, 1.62068, 2.61408, 4.27085", \ + "0.149916, 0.480118, 0.696722, 1.05371, 1.65197, 2.64514, 4.30198", \ + "0.182746, 0.539095, 0.756052, 1.11341, 1.71086, 2.70567, 4.36267", \ + "0.22416, 0.644955, 0.871312, 1.23136, 1.82902, 2.82385, 4.48312", \ + "0.265657, 0.807508, 1.06549, 1.45014, 2.05945, 3.05526, 4.7138", \ + "0.326833, 1.03935, 1.36499, 1.81873, 2.48635, 3.51624, 5.18308" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0667314, 0.497148, 0.789751, 1.27386, 2.08423, 3.43318, 5.68317", \ + "0.0711048, 0.497149, 0.789908, 1.27387, 2.08438, 3.43509, 5.68633", \ + "0.0812946, 0.49779, 0.789909, 1.27389, 2.08504, 3.43705, 5.68634", \ + "0.102048, 0.506373, 0.792577, 1.2739, 2.08505, 3.43706, 5.68635", \ + "0.143406, 0.545693, 0.817071, 1.28373, 2.08546, 3.43707, 5.68636", \ + "0.218884, 0.645416, 0.909248, 1.34829, 2.11667, 3.44209, 5.68637", \ + "0.339067, 0.837988, 1.11572, 1.54811, 2.27193, 3.53274, 5.71622" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0362576, 0.123563, 0.181315, 0.27659, 0.435809, 0.701166, 1.14303", \ + "0.0710105, 0.173359, 0.231661, 0.326895, 0.486042, 0.75128, 1.19322", \ + "0.0937339, 0.217923, 0.280213, 0.376994, 0.536451, 0.801615, 1.24449", \ + "0.125488, 0.291176, 0.365637, 0.473221, 0.636886, 0.90189, 1.34315", \ + "0.166428, 0.400129, 0.498391, 0.631687, 0.820901, 1.1023, 1.54612", \ + "0.218534, 0.556583, 0.69234, 0.872584, 1.11402, 1.44845, 1.93455", \ + "0.281409, 0.768176, 0.970498, 1.22475, 1.55966, 1.99847, 2.59536" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0224667, 0.135604, 0.212816, 0.341053, 0.554993, 0.911789, 1.50636", \ + "0.0372121, 0.141626, 0.21542, 0.341272, 0.555554, 0.911814, 1.50745", \ + "0.0515691, 0.158662, 0.227796, 0.347694, 0.556387, 0.912473, 1.50753", \ + "0.0769154, 0.19847, 0.266776, 0.377026, 0.572344, 0.916177, 1.50754", \ + "0.11886, 0.273374, 0.346501, 0.460015, 0.643386, 0.960649, 1.52245", \ + "0.183383, 0.400233, 0.488856, 0.612784, 0.806003, 1.1132, 1.6303", \ + "0.285169, 0.618018, 0.731609, 0.887724, 1.10533, 1.44048, 1.94921" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0709225, 0.394902, 0.610768, 0.9668, 1.56311, 2.55711, 4.21373", \ + "0.0967735, 0.422982, 0.639857, 0.997068, 1.59476, 2.58844, 4.24533", \ + "0.1177, 0.45555, 0.672426, 1.02972, 1.62712, 2.62198, 4.27903", \ + "0.14856, 0.521689, 0.738192, 1.09506, 1.69256, 2.68813, 4.3447", \ + "0.18869, 0.641322, 0.869948, 1.22892, 1.82455, 2.81855, 4.47575", \ + "0.238113, 0.820947, 1.08928, 1.48089, 2.08903, 3.0799, 4.73456", \ + "0.306454, 1.06463, 1.4141, 1.89045, 2.57702, 3.612, 5.27442" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0665386, 0.496945, 0.789788, 1.27315, 2.08422, 3.43536, 5.68317", \ + "0.0757012, 0.497436, 0.789789, 1.27411, 2.08437, 3.43537, 5.6868", \ + "0.0909518, 0.498266, 0.78979, 1.27412, 2.08539, 3.43538, 5.68681", \ + "0.117141, 0.511771, 0.793753, 1.27491, 2.0854, 3.43539, 5.68682", \ + "0.161024, 0.568957, 0.831292, 1.28913, 2.08905, 3.4354, 5.68683", \ + "0.225983, 0.699422, 0.957672, 1.38336, 2.13247, 3.4448, 5.68895", \ + "0.332799, 0.928245, 1.21318, 1.65063, 2.35189, 3.58094, 5.73197" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0301668, 0.116785, 0.174335, 0.269326, 0.428393, 0.693527, 1.13556", \ + "0.0593921, 0.166718, 0.225017, 0.320068, 0.479113, 0.744384, 1.18616", \ + "0.0770194, 0.210549, 0.273425, 0.370412, 0.529566, 0.794607, 1.23757", \ + "0.100884, 0.281431, 0.357233, 0.465788, 0.629943, 0.894863, 1.33598", \ + "0.12889, 0.385943, 0.487247, 0.622299, 0.813178, 1.09506, 1.53921", \ + "0.162676, 0.535036, 0.675858, 0.859952, 1.10326, 1.44014, 1.9274", \ + "0.19836, 0.734594, 0.944931, 1.20583, 1.54489, 1.98733, 2.58636" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0165736, 0.128877, 0.206284, 0.334182, 0.547981, 0.904705, 1.50005", \ + "0.0322796, 0.135677, 0.208838, 0.334407, 0.548099, 0.904706, 1.50018", \ + "0.0466767, 0.15325, 0.221711, 0.34129, 0.549493, 0.905776, 1.50098", \ + "0.0711227, 0.193223, 0.26131, 0.371302, 0.565925, 0.908699, 1.50099", \ + "0.11108, 0.269082, 0.340971, 0.454378, 0.637098, 0.954306, 1.51608", \ + "0.173818, 0.395924, 0.484596, 0.607227, 0.800458, 1.10675, 1.62393", \ + "0.277406, 0.613884, 0.727844, 0.881994, 1.09969, 1.4335, 1.94519" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0112034, 0.0114143, 0.0113511, 0.0112365, 0.0112445, 0.0111215, 0.0111136", \ + "0.0108712, 0.0111936, 0.0112078, 0.0111646, 0.0111954, 0.0109929, 0.0110871", \ + "0.0107833, 0.0111174, 0.0110935, 0.011061, 0.0111619, 0.0110545, 0.0110583", \ + "0.0107406, 0.0110143, 0.0110054, 0.0110844, 0.011025, 0.010954, 0.0110403", \ + "0.0107755, 0.0108641, 0.0109501, 0.0108944, 0.0108391, 0.0108704, 0.0108662", \ + "0.0112066, 0.0110057, 0.0109064, 0.010955, 0.0109268, 0.0108178, 0.0109075", \ + "0.0134711, 0.0119076, 0.0117556, 0.0114364, 0.0110297, 0.0114164, 0.0114876" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00444309, 0.00437295, 0.00431464, 0.00421123, 0.004026, 0.00380777, 0.00320044", \ + "0.00403203, 0.00416722, 0.00409332, 0.00400699, 0.0038691, 0.0036796, 0.00311185", \ + "0.00390727, 0.00407131, 0.00407307, 0.00395482, 0.00397783, 0.00354344, 0.00326923", \ + "0.00395323, 0.00407992, 0.00396235, 0.00392621, 0.00389765, 0.00350562, 0.0030757", \ + "0.00440822, 0.0041972, 0.00417291, 0.00407377, 0.00376017, 0.0037652, 0.00324715", \ + "0.00567282, 0.0048209, 0.00464706, 0.00437564, 0.0042291, 0.00353492, 0.00331532", \ + "0.00890399, 0.00686337, 0.0062559, 0.00563399, 0.00518653, 0.00475486, 0.00370652" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00841849, 0.00866222, 0.00860144, 0.00848244, 0.00849544, 0.00836942, 0.00836184", \ + "0.00811281, 0.00843888, 0.00844319, 0.0083781, 0.00844615, 0.00823585, 0.00832619", \ + "0.00802366, 0.00842577, 0.0083399, 0.00832886, 0.00823671, 0.00831255, 0.00831536", \ + "0.00798552, 0.00824064, 0.00823865, 0.00821817, 0.00812183, 0.00820187, 0.00826753", \ + "0.00810878, 0.00811398, 0.00827521, 0.00817247, 0.00798297, 0.00830141, 0.0080848", \ + "0.00885468, 0.00837465, 0.00824959, 0.00826191, 0.00803728, 0.00811445, 0.00797616", \ + "0.011605, 0.00962966, 0.00936012, 0.00887379, 0.00844294, 0.00892815, 0.00854051" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00396421, 0.00397452, 0.00388711, 0.00381325, 0.00363187, 0.00331943, 0.00281456", \ + "0.00343346, 0.00383181, 0.00378931, 0.00373633, 0.00370654, 0.00331027, 0.00285405", \ + "0.0032858, 0.00369019, 0.00376033, 0.00366089, 0.00352759, 0.0033714, 0.00293143", \ + "0.00332488, 0.00366592, 0.0036599, 0.00360702, 0.00361101, 0.00384922, 0.00272112", \ + "0.00382476, 0.00370657, 0.00374373, 0.0037978, 0.00339671, 0.00362677, 0.00274304", \ + "0.00513187, 0.00427837, 0.00413727, 0.00392224, 0.00387959, 0.00319636, 0.00303512", \ + "0.00826978, 0.00619221, 0.00568055, 0.00507892, 0.00474473, 0.00446334, 0.00324824" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00518992, 0.00572844, 0.00568743, 0.0055565, 0.00554731, 0.00556264, 0.00544393", \ + "0.00482099, 0.00538801, 0.00542106, 0.00541228, 0.00548167, 0.0055043, 0.00546221", \ + "0.0048628, 0.005296, 0.00527819, 0.00530806, 0.00540754, 0.00532656, 0.00511843", \ + "0.00510112, 0.00513735, 0.00516718, 0.0052018, 0.00512007, 0.00520318, 0.00530846", \ + "0.00572632, 0.00520984, 0.00532068, 0.00517497, 0.0053022, 0.00529443, 0.00508045", \ + "0.00721676, 0.0060025, 0.0056298, 0.00553469, 0.00517866, 0.0051561, 0.0052285", \ + "0.0105322, 0.00800832, 0.00738485, 0.00688836, 0.00595614, 0.00634663, 0.00551166" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00269171, 0.0031389, 0.00312307, 0.00303481, 0.00286434, 0.00257852, 0.00211264", \ + "0.00224357, 0.00311508, 0.00313121, 0.00308503, 0.00297083, 0.00270243, 0.00228744", \ + "0.0021772, 0.00295317, 0.00307527, 0.00308272, 0.00309812, 0.00283733, 0.00240634", \ + "0.00232333, 0.00288521, 0.00297428, 0.00295002, 0.00302036, 0.00352614, 0.00215744", \ + "0.00292964, 0.0028793, 0.00296045, 0.00301933, 0.00273076, 0.00292874, 0.00238876", \ + "0.00448902, 0.00339542, 0.00331815, 0.00314994, 0.00315856, 0.00254309, 0.00247714", \ + "0.00793132, 0.00537599, 0.00482914, 0.00423209, 0.00400687, 0.00368562, 0.00274247" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00538028; + rise_capacitance : 0.00540253; + rise_capacitance_range (0.00540253, 0.00540253); + fall_capacitance : 0.00535804; + fall_capacitance_range (0.00535804, 0.00535804); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00537167; + rise_capacitance : 0.00542243; + rise_capacitance_range (0.00542243, 0.00542243); + fall_capacitance : 0.00532091; + fall_capacitance_range (0.00532091, 0.00532091); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0052785; + rise_capacitance : 0.00542447; + rise_capacitance_range (0.00542447, 0.00542447); + fall_capacitance : 0.00513253; + fall_capacitance_range (0.00513253, 0.00513253); + } + } + cell (sg13g2_nor4_1) { + area : 10.8864; + cell_footprint : "nor4"; + cell_leakage_power : 447.998; + leakage_power () { + value : 540.621; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 496.325; + when : "!A&!B&!C&D&!Y"; + } + leakage_power () { + value : 643.05; + when : "!A&!B&C&!D&!Y"; + } + leakage_power () { + value : 263.334; + when : "!A&!B&C&D&!Y"; + } + leakage_power () { + value : 821.129; + when : "!A&B&!C&!D&!Y"; + } + leakage_power () { + value : 267.298; + when : "!A&B&!C&D&!Y"; + } + leakage_power () { + value : 456.817; + when : "!A&B&C&!D&!Y"; + } + leakage_power () { + value : 224.097; + when : "!A&B&C&D&!Y"; + } + leakage_power () { + value : 995.892; + when : "A&!B&!C&!D&!Y"; + } + leakage_power () { + value : 270.809; + when : "A&!B&!C&D&!Y"; + } + leakage_power () { + value : 459.904; + when : "A&!B&C&!D&!Y"; + } + leakage_power () { + value : 223.758; + when : "A&!B&C&D&!Y"; + } + leakage_power () { + value : 643.282; + when : "A&B&!C&!D&!Y"; + } + leakage_power () { + value : 231.079; + when : "A&B&!C&D&!Y"; + } + leakage_power () { + value : 421.378; + when : "A&B&C&!D&!Y"; + } + leakage_power () { + value : 209.189; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.176969, 0.59567, 0.884036, 1.36084, 2.15902, 3.48873, 5.70749", \ + "0.206461, 0.626689, 0.915983, 1.3942, 2.19264, 3.52295, 5.74024", \ + "0.23104, 0.651922, 0.941685, 1.41947, 2.21911, 3.54925, 5.76775", \ + "0.269373, 0.697096, 0.986727, 1.46487, 2.26506, 3.59545, 5.81519", \ + "0.320528, 0.774371, 1.06655, 1.54484, 2.34519, 3.67711, 5.89476", \ + "0.377368, 0.892601, 1.20012, 1.6888, 2.49026, 3.82257, 6.04148", \ + "0.429815, 1.06816, 1.41514, 1.94076, 2.77218, 4.11452, 6.3336" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.125115, 0.684438, 1.07336, 1.71627, 2.79267, 4.58348, 7.58142", \ + "0.125387, 0.684439, 1.07337, 1.7163, 2.79279, 4.58459, 7.58143", \ + "0.128993, 0.68444, 1.07338, 1.71631, 2.7928, 4.5846, 7.58144", \ + "0.139616, 0.686193, 1.07497, 1.71632, 2.79452, 4.58461, 7.58162", \ + "0.161906, 0.702592, 1.08176, 1.71858, 2.79453, 4.58946, 7.58163", \ + "0.214519, 0.758765, 1.12819, 1.745, 2.8015, 4.59131, 7.58164", \ + "0.339156, 0.885031, 1.25398, 1.86036, 2.88052, 4.62239, 7.58389" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0420095, 0.133015, 0.192325, 0.288908, 0.449331, 0.715399, 1.15825", \ + "0.0844591, 0.182935, 0.242322, 0.338724, 0.499, 0.765748, 1.20775", \ + "0.114372, 0.229294, 0.291646, 0.389114, 0.549398, 0.815167, 1.25847", \ + "0.158495, 0.305992, 0.379502, 0.486099, 0.649885, 0.915462, 1.35748", \ + "0.218758, 0.422393, 0.517454, 0.648185, 0.835279, 1.11624, 1.56045", \ + "0.298269, 0.590244, 0.719973, 0.895402, 1.13278, 1.46482, 1.9498", \ + "0.398987, 0.821882, 1.0133, 1.25879, 1.58641, 2.02017, 2.61444" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0355027, 0.150066, 0.227195, 0.355271, 0.56971, 0.926602, 1.52186", \ + "0.0505074, 0.154372, 0.229083, 0.355601, 0.569711, 0.926894, 1.52187", \ + "0.066448, 0.170161, 0.240138, 0.361137, 0.570573, 0.926895, 1.52206", \ + "0.0958048, 0.209526, 0.277585, 0.389087, 0.585594, 0.929271, 1.52207", \ + "0.145673, 0.283765, 0.35747, 0.470382, 0.654797, 0.973961, 1.5362", \ + "0.223206, 0.412101, 0.500539, 0.623539, 0.817474, 1.12394, 1.64272", \ + "0.3446, 0.63485, 0.743695, 0.898455, 1.11669, 1.44947, 1.96348" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.171172, 0.589886, 0.878492, 1.35514, 2.15332, 3.48368, 5.7019", \ + "0.199516, 0.619776, 0.90913, 1.38655, 2.1851, 3.51616, 5.73368", \ + "0.22417, 0.646072, 0.935707, 1.41343, 2.21234, 3.54342, 5.76162", \ + "0.262297, 0.694567, 0.984228, 1.46254, 2.26203, 3.59302, 5.81276", \ + "0.31434, 0.783452, 1.07668, 1.55511, 2.35549, 3.68751, 5.90687", \ + "0.372392, 0.926716, 1.23905, 1.72965, 2.53252, 3.86427, 6.08338", \ + "0.438703, 1.14339, 1.50623, 2.04364, 2.88165, 4.22715, 6.44563" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.125028, 0.684536, 1.07324, 1.71626, 2.79267, 4.58663, 7.57686", \ + "0.125865, 0.684537, 1.07325, 1.71627, 2.79268, 4.58664, 7.57687", \ + "0.131529, 0.687044, 1.07326, 1.71628, 2.79269, 4.58665, 7.57688", \ + "0.146745, 0.687569, 1.07532, 1.71629, 2.7927, 4.58666, 7.58163", \ + "0.17867, 0.708907, 1.08452, 1.7183, 2.79271, 4.58937, 7.58164", \ + "0.249017, 0.781819, 1.14263, 1.75289, 2.80345, 4.58938, 7.58165", \ + "0.390002, 0.944667, 1.30135, 1.89229, 2.89555, 4.63047, 7.58185" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0431896, 0.131245, 0.189836, 0.285948, 0.446264, 0.712672, 1.15665", \ + "0.0836989, 0.181083, 0.239972, 0.335919, 0.496166, 0.763313, 1.20642", \ + "0.111793, 0.226795, 0.289021, 0.386328, 0.546502, 0.812799, 1.25717", \ + "0.152486, 0.302229, 0.375951, 0.482884, 0.646853, 0.912947, 1.35612", \ + "0.206975, 0.41594, 0.51206, 0.643623, 0.832018, 1.11343, 1.55875", \ + "0.277159, 0.579208, 0.71132, 0.888925, 1.12785, 1.46122, 1.94795", \ + "0.363388, 0.802386, 0.998086, 1.24689, 1.57862, 2.01467, 2.61042" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0330834, 0.144995, 0.222719, 0.350935, 0.566305, 0.924455, 1.5204", \ + "0.04714, 0.150271, 0.224753, 0.351397, 0.566306, 0.924638, 1.52041", \ + "0.0625613, 0.166218, 0.236191, 0.357368, 0.567471, 0.924639, 1.52188", \ + "0.0910768, 0.205882, 0.274043, 0.38581, 0.582171, 0.927192, 1.52189", \ + "0.139467, 0.281149, 0.353999, 0.467263, 0.65178, 0.97142, 1.53686", \ + "0.215223, 0.408541, 0.496682, 0.621041, 0.814825, 1.12262, 1.64256", \ + "0.334043, 0.631475, 0.740785, 0.899054, 1.11372, 1.44858, 1.96158" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.151376, 0.570167, 0.858415, 1.3355, 2.13353, 3.46388, 5.68117", \ + "0.177135, 0.597321, 0.887015, 1.3642, 2.16338, 3.49389, 5.71146", \ + "0.201355, 0.625089, 0.915438, 1.39278, 2.19203, 3.52226, 5.74054", \ + "0.239719, 0.680272, 0.970033, 1.44839, 2.24759, 3.5792, 5.79775", \ + "0.292424, 0.785615, 1.0802, 1.55885, 2.35939, 3.69148, 5.91093", \ + "0.354287, 0.95732, 1.27808, 1.77255, 2.5763, 3.90874, 6.12612", \ + "0.44582, 1.21575, 1.60235, 2.15575, 3.00262, 4.35074, 6.5719" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.124998, 0.68444, 1.07284, 1.71635, 2.79267, 4.58663, 7.57652", \ + "0.126978, 0.684462, 1.07326, 1.71636, 2.79439, 4.58664, 7.57653", \ + "0.135855, 0.68483, 1.07466, 1.71637, 2.7944, 4.58665, 7.57654", \ + "0.157008, 0.688996, 1.07467, 1.71638, 2.79441, 4.58666, 7.57655", \ + "0.200333, 0.718292, 1.08924, 1.71917, 2.79442, 4.58929, 7.58189", \ + "0.281286, 0.812428, 1.16383, 1.76339, 2.80779, 4.59825, 7.5819", \ + "0.412339, 1.01123, 1.364, 1.9382, 2.92307, 4.63839, 7.58769" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0413987, 0.126315, 0.184267, 0.2798, 0.439531, 0.70565, 1.14927", \ + "0.0793167, 0.176585, 0.23496, 0.3305, 0.490154, 0.756981, 1.19952", \ + "0.104717, 0.221679, 0.283881, 0.380939, 0.540671, 0.806567, 1.25081", \ + "0.140497, 0.295462, 0.369594, 0.476986, 0.641041, 0.90674, 1.34953", \ + "0.186651, 0.405657, 0.503108, 0.636253, 0.825514, 1.10699, 1.55248", \ + "0.244567, 0.56351, 0.698419, 0.878199, 1.1187, 1.45404, 1.94116", \ + "0.312806, 0.776273, 0.977756, 1.23138, 1.56614, 2.00469, 2.60229" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0282197, 0.139547, 0.216809, 0.34536, 0.559902, 0.917518, 1.51404", \ + "0.0430408, 0.145024, 0.219406, 0.346696, 0.559903, 0.918412, 1.51535", \ + "0.0580565, 0.161696, 0.231167, 0.351814, 0.561363, 0.918413, 1.51536", \ + "0.0861185, 0.201685, 0.269662, 0.380903, 0.576784, 0.921703, 1.51537", \ + "0.133169, 0.276486, 0.349902, 0.462388, 0.647642, 0.965795, 1.53126", \ + "0.206881, 0.404749, 0.491602, 0.616328, 0.810252, 1.11721, 1.63728", \ + "0.322375, 0.625562, 0.737413, 0.892558, 1.10914, 1.44453, 1.9578" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.111636, 0.533833, 0.822317, 1.29882, 2.09731, 3.42769, 5.64458", \ + "0.134595, 0.557381, 0.847356, 1.32554, 2.12442, 3.45591, 5.6734", \ + "0.16, 0.58606, 0.876161, 1.35451, 2.15403, 3.48583, 5.70325", \ + "0.199658, 0.646098, 0.935497, 1.41403, 2.21367, 3.54501, 5.76482", \ + "0.254913, 0.764558, 1.05836, 1.53462, 2.33318, 3.66536, 5.8831", \ + "0.324729, 0.954646, 1.28037, 1.77506, 2.57368, 3.90083, 6.11563", \ + "0.423145, 1.22751, 1.63076, 2.20048, 3.05348, 4.39627, 6.60891" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.12517, 0.684061, 1.07272, 1.71466, 2.7912, 4.58664, 7.57397", \ + "0.127309, 0.684062, 1.07326, 1.71497, 2.79121, 4.58665, 7.57653", \ + "0.140537, 0.684456, 1.07327, 1.71516, 2.79122, 4.58666, 7.57654", \ + "0.167976, 0.690192, 1.07967, 1.71682, 2.79123, 4.58667, 7.57705", \ + "0.214089, 0.732837, 1.09688, 1.72063, 2.79124, 4.58904, 7.57706", \ + "0.289608, 0.855124, 1.19837, 1.78446, 2.81335, 4.59029, 7.57707", \ + "0.409846, 1.08013, 1.4454, 2.01593, 2.97806, 4.66366, 7.59442" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0355758, 0.11979, 0.177477, 0.272795, 0.432365, 0.698439, 1.14179", \ + "0.0693079, 0.169977, 0.228348, 0.323675, 0.483283, 0.749339, 1.19256", \ + "0.0905028, 0.214361, 0.277021, 0.374092, 0.53365, 0.799634, 1.24391", \ + "0.119443, 0.286183, 0.361381, 0.46981, 0.634201, 0.900054, 1.34255", \ + "0.154331, 0.392452, 0.492548, 0.626916, 0.817817, 1.10006, 1.5457", \ + "0.196698, 0.543775, 0.682887, 0.866062, 1.1088, 1.44578, 1.93387", \ + "0.242032, 0.744804, 0.953727, 1.2133, 1.55121, 1.99309, 2.59351" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0225447, 0.132933, 0.210374, 0.338591, 0.553246, 0.910872, 1.50823", \ + "0.0384821, 0.139249, 0.213062, 0.338986, 0.553369, 0.91095, 1.50824", \ + "0.0536369, 0.156461, 0.225549, 0.345383, 0.554655, 0.911342, 1.50825", \ + "0.0807411, 0.196452, 0.264747, 0.375202, 0.570541, 0.914732, 1.50826", \ + "0.126584, 0.272462, 0.344108, 0.458211, 0.64194, 0.959717, 1.52404", \ + "0.198339, 0.400375, 0.488427, 0.611248, 0.805165, 1.11252, 1.63079", \ + "0.314911, 0.621816, 0.733751, 0.889814, 1.106, 1.43861, 1.95379" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00743614, 0.00751691, 0.00748836, 0.00742944, 0.00732819, 0.00733748, 0.00734683", \ + "0.00726503, 0.00739736, 0.00740339, 0.00738391, 0.00729498, 0.00728898, 0.0072744", \ + "0.0072224, 0.00734221, 0.00735656, 0.0073389, 0.00727866, 0.00729433, 0.00735064", \ + "0.00719063, 0.00729138, 0.00731376, 0.00728483, 0.00724558, 0.00723995, 0.0072789", \ + "0.00718725, 0.00727108, 0.00725321, 0.00724012, 0.0071874, 0.00721603, 0.00722178", \ + "0.0072776, 0.00725732, 0.00722977, 0.00735276, 0.00714585, 0.00723494, 0.00720386", \ + "0.00796184, 0.00756517, 0.00749721, 0.00738659, 0.00733246, 0.00725427, 0.00723478" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00263693, 0.00262283, 0.00256597, 0.00252052, 0.00244354, 0.00228546, 0.00208539", \ + "0.00255548, 0.00258155, 0.00254714, 0.00249821, 0.00242683, 0.00229555, 0.00205889", \ + "0.00252, 0.00254623, 0.00255173, 0.00248182, 0.00239588, 0.00230423, 0.00209078", \ + "0.00253007, 0.00256207, 0.00253231, 0.00248436, 0.00258587, 0.00223739, 0.00203718", \ + "0.00269328, 0.00263672, 0.00261623, 0.00257741, 0.00236132, 0.0024507, 0.0020465", \ + "0.00323318, 0.00290115, 0.00285603, 0.00269514, 0.00263518, 0.00228958, 0.00212269", \ + "0.00469736, 0.00385582, 0.00360012, 0.00332761, 0.00311567, 0.00285632, 0.00240338" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00615034, 0.00623643, 0.00620725, 0.00614694, 0.00604684, 0.00608621, 0.00604967", \ + "0.00598487, 0.00612257, 0.00611896, 0.00608842, 0.00600716, 0.00600939, 0.00599856", \ + "0.0059376, 0.00609711, 0.00607404, 0.00605369, 0.00597055, 0.00598216, 0.00596461", \ + "0.00590231, 0.00603982, 0.00602889, 0.00599703, 0.00594641, 0.00596007, 0.00599456", \ + "0.00590463, 0.00598315, 0.00596548, 0.00594343, 0.00591109, 0.00595829, 0.00600314", \ + "0.00604744, 0.00597778, 0.0059672, 0.00607753, 0.00587807, 0.00587572, 0.00588959", \ + "0.00692382, 0.0063964, 0.0062681, 0.0061284, 0.00607876, 0.00600533, 0.00591793" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00247111, 0.00242037, 0.0023889, 0.00233493, 0.00226975, 0.00211263, 0.00185081", \ + "0.0023658, 0.00244012, 0.00240761, 0.00236032, 0.00230955, 0.00218259, 0.00188362", \ + "0.00230378, 0.00239038, 0.00241079, 0.00234989, 0.00228225, 0.00216945, 0.00197886", \ + "0.00229022, 0.00240729, 0.00236556, 0.0023574, 0.00246773, 0.00234256, 0.00192704", \ + "0.00244582, 0.00247374, 0.00245987, 0.00242479, 0.00223535, 0.00231509, 0.00202019", \ + "0.00299872, 0.00270835, 0.0026476, 0.00254923, 0.00249702, 0.00217071, 0.00207384", \ + "0.00442158, 0.00361414, 0.00336096, 0.00313108, 0.00294587, 0.00272346, 0.00222361" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00505894, 0.00514477, 0.00511033, 0.00506152, 0.00495605, 0.00499501, 0.00492082", \ + "0.00490025, 0.00503594, 0.00504289, 0.00500528, 0.00494528, 0.00493603, 0.00501102", \ + "0.00484531, 0.00497596, 0.00500351, 0.0049725, 0.00491274, 0.00489154, 0.00482684", \ + "0.00481948, 0.00493095, 0.00492398, 0.00491586, 0.00485707, 0.00490423, 0.00488667", \ + "0.00485122, 0.0048886, 0.00487875, 0.00484746, 0.00481369, 0.00485688, 0.00488378", \ + "0.00515245, 0.00494753, 0.00492385, 0.00491115, 0.00477887, 0.00494738, 0.0047485", \ + "0.00630095, 0.00555218, 0.00537737, 0.00515929, 0.00507188, 0.0049846, 0.00486814" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00164097, 0.00165011, 0.00159467, 0.00155307, 0.0014633, 0.00128984, 0.00103084", \ + "0.0014628, 0.00163315, 0.0016067, 0.00164041, 0.00149165, 0.00142269, 0.00116623", \ + "0.00138373, 0.00157272, 0.00160049, 0.00156344, 0.00147907, 0.00139899, 0.00116997", \ + "0.00136053, 0.00156692, 0.00153305, 0.00154924, 0.00170625, 0.00135976, 0.00110411", \ + "0.00151977, 0.00156104, 0.00158637, 0.00159126, 0.001453, 0.00151624, 0.001149", \ + "0.00208153, 0.00179187, 0.00174965, 0.00166354, 0.00164345, 0.00132254, 0.00120875", \ + "0.00349918, 0.0026452, 0.00241995, 0.00216615, 0.0020263, 0.00188098, 0.00138849" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00299079, 0.00318217, 0.00315165, 0.00309078, 0.00299784, 0.00299889, 0.0029587", \ + "0.00277661, 0.00298768, 0.00302038, 0.00299909, 0.00292334, 0.0029233, 0.00292777", \ + "0.00273209, 0.00291034, 0.00294218, 0.00294536, 0.00288145, 0.00290632, 0.00290743", \ + "0.0028273, 0.00285968, 0.00294455, 0.002892, 0.00284917, 0.00289383, 0.00290253", \ + "0.0030839, 0.00291292, 0.00288662, 0.0029675, 0.00280102, 0.00283277, 0.00283003", \ + "0.00372714, 0.0032086, 0.00309923, 0.00299153, 0.00282536, 0.00288552, 0.00281534", \ + "0.00515217, 0.00413164, 0.00385452, 0.00350564, 0.0033376, 0.00318719, 0.00299115" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00049328, 0.00063006, 0.00061275, 0.00056206, 0.00047605, 0.00034036, 0.0001201", \ + "0.00030503, 0.00064644, 0.0006485, 0.00061928, 0.00059024, 0.00042954, 0.00018595", \ + "0.00025377, 0.00058788, 0.00064972, 0.00061921, 0.00056408, 0.0004799, 0.00029153", \ + "0.00027584, 0.00056713, 0.00058556, 0.00058683, 0.00078678, 0.00092117, 0.00018403", \ + "0.00049641, 0.0005733, 0.00060005, 0.00061873, 0.00049579, 0.00055987, 0.00022556", \ + "0.0011251, 0.00077871, 0.00076643, 0.00069346, 0.00069299, 0.0004049, 0.00031136", \ + "0.00269297, 0.00165732, 0.00141535, 0.00120519, 0.00110724, 0.00087549, 0.0005267" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00280566; + rise_capacitance : 0.00283722; + rise_capacitance_range (0.00283722, 0.00283722); + fall_capacitance : 0.0027741; + fall_capacitance_range (0.0027741, 0.0027741); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000142948, 5.94828e-05, 3.63753e-05, 1.53968e-05, 2.72219e-07, -1.19748e-05, -2.21932e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.81274e-05, 6.93558e-05, 6.75216e-05, 6.57231e-05, 5.81002e-05, 5.94269e-05, 5.70527e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000142948, 5.94828e-05, 3.63753e-05, 1.53968e-05, 2.72219e-07, -1.19748e-05, -2.21932e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.81274e-05, 6.93558e-05, 6.75216e-05, 6.57231e-05, 5.81002e-05, 5.94269e-05, 5.70527e-05" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00280462; + rise_capacitance : 0.00284365; + rise_capacitance_range (0.00284365, 0.00284365); + fall_capacitance : 0.00276559; + fall_capacitance_range (0.00276559, 0.00276559); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000188924, 9.19011e-05, 6.94826e-05, 5.12459e-05, 3.83516e-05, 2.70781e-05, 1.75897e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.65311e-06, 5.5449e-06, 4.40289e-06, 3.13715e-06, -2.42011e-07, 2.17321e-06, 1.63597e-06" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000188924, 9.19011e-05, 6.94826e-05, 5.12459e-05, 3.83516e-05, 2.70781e-05, 1.75897e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.65311e-06, 5.5449e-06, 4.40289e-06, 3.13715e-06, -2.42011e-07, 2.17321e-06, 1.63597e-06" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00248458; + rise_capacitance : 0.00241162; + rise_capacitance_range (0.00241162, 0.00241162); + fall_capacitance : 0.00255754; + fall_capacitance_range (0.00255754, 0.00255754); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000578891, 0.000578975, 0.000580635, 0.000581301, 0.000582507, 0.000584373, 0.000586512" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000260325, -0.000255911, -0.000254929, -0.000255564, -0.00025427, -0.00025544, -0.00025267" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000578891, 0.000578975, 0.000580635, 0.000581301, 0.000582507, 0.000584373, 0.000586512" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000260325, -0.000255911, -0.000254929, -0.000255564, -0.00025427, -0.00025544, -0.00025267" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00252088; + rise_capacitance : 0.00244899; + rise_capacitance_range (0.00244899, 0.00244899); + fall_capacitance : 0.00259276; + fall_capacitance_range (0.00259276, 0.00259276); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00123875, 0.00123773, 0.00123777, 0.00123892, 0.00124025, 0.00124165, 0.00124032" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000270582, 0.000280263, 0.000287915, 0.000287957, 0.000290951, 0.000298414, 0.000301194" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00123875, 0.00123773, 0.00123777, 0.00123892, 0.00124025, 0.00124165, 0.00124032" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000270582, 0.000280263, 0.000287915, 0.000287957, 0.000290951, 0.000298414, 0.000301194" \ + ); + } + } + } + } + cell (sg13g2_nor4_2) { + area : 21.7728; + cell_footprint : "nor4"; + cell_leakage_power : 895.98; + leakage_power () { + value : 1081.28; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 992.623; + when : "!A&!B&!C&D&!Y"; + } + leakage_power () { + value : 1286.08; + when : "!A&!B&C&!D&!Y"; + } + leakage_power () { + value : 526.639; + when : "!A&!B&C&D&!Y"; + } + leakage_power () { + value : 1642.25; + when : "!A&B&!C&!D&!Y"; + } + leakage_power () { + value : 534.574; + when : "!A&B&!C&D&!Y"; + } + leakage_power () { + value : 913.617; + when : "!A&B&C&!D&!Y"; + } + leakage_power () { + value : 448.169; + when : "!A&B&C&D&!Y"; + } + leakage_power () { + value : 1991.79; + when : "A&!B&!C&!D&!Y"; + } + leakage_power () { + value : 541.596; + when : "A&!B&!C&D&!Y"; + } + leakage_power () { + value : 919.793; + when : "A&!B&C&!D&!Y"; + } + leakage_power () { + value : 447.483; + when : "A&!B&C&D&!Y"; + } + leakage_power () { + value : 1286.56; + when : "A&B&!C&!D&!Y"; + } + leakage_power () { + value : 462.135; + when : "A&B&!C&D&!Y"; + } + leakage_power () { + value : 842.742; + when : "A&B&C&!D&!Y"; + } + leakage_power () { + value : 418.341; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.168591, 0.599098, 0.888822, 1.36747, 2.16926, 3.50431, 5.73126", \ + "0.198674, 0.630599, 0.920916, 1.40119, 2.20304, 3.53886, 5.7666", \ + "0.223308, 0.656183, 0.946907, 1.42713, 2.22971, 3.56584, 5.79301", \ + "0.261505, 0.701527, 0.992422, 1.47268, 2.2763, 3.61189, 5.84079", \ + "0.31206, 0.779398, 1.07265, 1.55294, 2.35607, 3.69391, 5.92257", \ + "0.365682, 0.898105, 1.207, 1.69641, 2.50143, 3.83837, 6.06677", \ + "0.410428, 1.07308, 1.42005, 1.9472, 2.78252, 4.13008, 6.35775" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.109393, 0.683688, 1.07465, 1.72059, 2.80202, 4.60137, 7.60715", \ + "0.109849, 0.68439, 1.07466, 1.72062, 2.80203, 4.60288, 7.61204", \ + "0.113572, 0.686967, 1.07467, 1.72071, 2.80204, 4.60417, 7.61205", \ + "0.123767, 0.686968, 1.07605, 1.72072, 2.804, 4.60418, 7.61223", \ + "0.145155, 0.701735, 1.08302, 1.72192, 2.80401, 4.60642, 7.61224", \ + "0.195863, 0.75749, 1.12875, 1.74946, 2.81176, 4.61159, 7.61225", \ + "0.319324, 0.882866, 1.2518, 1.86148, 2.88857, 4.64081, 7.61511" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0387993, 0.132933, 0.19242, 0.28921, 0.449735, 0.716063, 1.15916", \ + "0.080584, 0.182877, 0.242408, 0.339003, 0.499465, 0.766434, 1.20867", \ + "0.109744, 0.229222, 0.291758, 0.389404, 0.549798, 0.815952, 1.25941", \ + "0.15256, 0.306037, 0.379678, 0.486414, 0.650268, 0.916111, 1.35842", \ + "0.210918, 0.422609, 0.51774, 0.648586, 0.836311, 1.11676, 1.56139", \ + "0.287875, 0.59081, 0.720345, 0.896017, 1.1334, 1.46546, 1.95099", \ + "0.385222, 0.822848, 1.01421, 1.25954, 1.58729, 2.02091, 2.61425" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0322996, 0.149844, 0.227613, 0.355729, 0.569838, 0.92758, 1.52344", \ + "0.0477474, 0.154354, 0.229299, 0.355758, 0.57006, 0.927871, 1.52345", \ + "0.0630731, 0.170241, 0.240425, 0.361209, 0.571242, 0.927872, 1.5237", \ + "0.0914777, 0.209578, 0.277713, 0.38941, 0.585657, 0.930734, 1.52371", \ + "0.139327, 0.283643, 0.357506, 0.470268, 0.654957, 0.974025, 1.53772", \ + "0.214012, 0.41196, 0.500955, 0.623804, 0.817798, 1.1246, 1.64471", \ + "0.330459, 0.634368, 0.743364, 0.901118, 1.11628, 1.45034, 1.9648" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.162324, 0.592905, 0.882512, 1.36126, 2.16275, 3.49907, 5.72359", \ + "0.191013, 0.622873, 0.913353, 1.39285, 2.19469, 3.5312, 5.75714", \ + "0.215585, 0.649496, 0.940294, 1.42003, 2.22299, 3.55861, 5.78628", \ + "0.253173, 0.698304, 0.989047, 1.46929, 2.27245, 3.6087, 5.83734", \ + "0.303212, 0.787373, 1.08147, 1.56187, 2.36563, 3.70333, 5.93004", \ + "0.356177, 0.930773, 1.2448, 1.73675, 2.54264, 3.88017, 6.10779", \ + "0.41142, 1.14604, 1.51113, 2.05165, 2.89104, 4.24111, 6.46945" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.10937, 0.684044, 1.0748, 1.72059, 2.80183, 4.60676, 7.60151", \ + "0.11043, 0.684069, 1.07481, 1.7206, 2.80314, 4.60677, 7.602", \ + "0.116173, 0.686921, 1.07498, 1.72061, 2.80315, 4.60678, 7.60557", \ + "0.130921, 0.686922, 1.07499, 1.72062, 2.80316, 4.60679, 7.60816", \ + "0.161759, 0.708146, 1.08598, 1.72337, 2.80326, 4.6068, 7.60817", \ + "0.230263, 0.779753, 1.14319, 1.75643, 2.81261, 4.60922, 7.60818", \ + "0.370595, 0.942061, 1.30158, 1.89681, 2.90421, 4.64708, 7.61726" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0401565, 0.130909, 0.189511, 0.285551, 0.445696, 0.711775, 1.15506", \ + "0.0798763, 0.180768, 0.239611, 0.335561, 0.495548, 0.762374, 1.20466", \ + "0.107115, 0.226507, 0.288726, 0.385889, 0.545908, 0.811894, 1.25557", \ + "0.146216, 0.301947, 0.375654, 0.48249, 0.64629, 0.912079, 1.35454", \ + "0.198269, 0.415562, 0.511696, 0.642767, 0.831068, 1.11233, 1.55708", \ + "0.265421, 0.578928, 0.711067, 0.888551, 1.12732, 1.46042, 1.9466", \ + "0.347348, 0.802289, 0.997798, 1.24655, 1.57814, 2.01393, 2.60955" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0301632, 0.144621, 0.222332, 0.350661, 0.56557, 0.922878, 1.52", \ + "0.044457, 0.149882, 0.224444, 0.350847, 0.565571, 0.92356, 1.52001", \ + "0.0591416, 0.165901, 0.235805, 0.356767, 0.566745, 0.923561, 1.52014", \ + "0.086714, 0.205455, 0.273611, 0.385024, 0.581998, 0.926603, 1.52015", \ + "0.133094, 0.279942, 0.353667, 0.467109, 0.651371, 0.970615, 1.53577", \ + "0.205314, 0.407821, 0.495977, 0.620306, 0.813812, 1.12089, 1.64091", \ + "0.318475, 0.630462, 0.73993, 0.898184, 1.11257, 1.44764, 1.96253" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.140596, 0.571004, 0.860742, 1.33952, 2.14104, 3.47686, 5.70298", \ + "0.166484, 0.598412, 0.889009, 1.36856, 2.17036, 3.50652, 5.73349", \ + "0.189977, 0.626122, 0.917725, 1.39713, 2.19972, 3.53639, 5.76288", \ + "0.22641, 0.681343, 0.972365, 1.45267, 2.25519, 3.59179, 5.8193", \ + "0.275392, 0.786561, 1.08234, 1.56302, 2.3668, 3.70438, 5.93129", \ + "0.330441, 0.958412, 1.27988, 1.77642, 2.58323, 3.92029, 6.14786", \ + "0.411996, 1.21544, 1.60409, 2.15861, 3.00929, 4.36269, 6.59318" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.109376, 0.683737, 1.07411, 1.72059, 2.80183, 4.60385, 7.60327", \ + "0.111694, 0.684097, 1.07432, 1.7206, 2.80184, 4.60386, 7.60341", \ + "0.12077, 0.684769, 1.07508, 1.7206, 2.80215, 4.6042, 7.60342", \ + "0.141019, 0.688301, 1.07687, 1.72061, 2.80216, 4.60421, 7.60343", \ + "0.183015, 0.717721, 1.08991, 1.72431, 2.80303, 4.60422, 7.60754", \ + "0.261608, 0.811514, 1.16443, 1.76739, 2.81601, 4.60884, 7.60755", \ + "0.3912, 1.00989, 1.3655, 1.94163, 2.93127, 4.6577, 7.61504" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0386313, 0.125771, 0.183681, 0.279128, 0.438655, 0.704383, 1.14749", \ + "0.0753336, 0.17608, 0.234405, 0.329819, 0.489348, 0.755801, 1.19786", \ + "0.0995338, 0.221145, 0.2833, 0.380253, 0.539841, 0.805384, 1.24905", \ + "0.133298, 0.294869, 0.369015, 0.476359, 0.640252, 0.905501, 1.34783", \ + "0.176454, 0.404735, 0.5027, 0.63494, 0.824325, 1.10579, 1.55028", \ + "0.230047, 0.562403, 0.697532, 0.877409, 1.11806, 1.45279, 1.93941", \ + "0.292984, 0.774922, 0.976368, 1.23049, 1.564, 2.00324, 2.60078" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0252724, 0.138789, 0.216239, 0.344555, 0.558913, 0.917022, 1.51217", \ + "0.0398338, 0.144405, 0.218514, 0.344698, 0.559186, 0.917168, 1.51218", \ + "0.0545954, 0.161064, 0.23047, 0.350914, 0.560394, 0.917169, 1.51331", \ + "0.0811529, 0.201061, 0.269258, 0.379872, 0.575855, 0.919866, 1.51332", \ + "0.126027, 0.276566, 0.348786, 0.462529, 0.646893, 0.965595, 1.52809", \ + "0.196378, 0.403985, 0.491127, 0.615181, 0.808895, 1.11611, 1.63508", \ + "0.306286, 0.625014, 0.736271, 0.891327, 1.10857, 1.44257, 1.95668" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0972033, 0.531631, 0.821281, 1.30012, 2.10122, 3.43745, 5.66466", \ + "0.12088, 0.555331, 0.846459, 1.32646, 2.12883, 3.46582, 5.6925", \ + "0.145218, 0.584073, 0.875457, 1.35578, 2.15864, 3.49588, 5.72258", \ + "0.181661, 0.644031, 0.934586, 1.41474, 2.21816, 3.55487, 5.78394", \ + "0.232116, 0.76255, 1.05742, 1.53581, 2.33826, 3.6751, 5.9026", \ + "0.295939, 0.952632, 1.27965, 1.7764, 2.5779, 3.91082, 6.13485", \ + "0.386441, 1.22554, 1.62985, 2.20197, 3.05857, 4.40607, 6.6272" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108432, 0.683707, 1.07413, 1.72055, 2.80166, 4.60384, 7.61214", \ + "0.111661, 0.684058, 1.07463, 1.72056, 2.80167, 4.60385, 7.61215", \ + "0.12538, 0.68411, 1.07464, 1.72057, 2.80168, 4.60386, 7.61216", \ + "0.151439, 0.689936, 1.0768, 1.72071, 2.80169, 4.60387, 7.61217", \ + "0.194678, 0.732267, 1.09721, 1.7254, 2.8017, 4.60661, 7.61218", \ + "0.265944, 0.853673, 1.19936, 1.78804, 2.82204, 4.61476, 7.61219", \ + "0.38005, 1.0788, 1.44564, 2.01847, 2.98578, 4.68589, 7.6189" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0325885, 0.118837, 0.176327, 0.271358, 0.430454, 0.695689, 1.13782", \ + "0.0642761, 0.169063, 0.227232, 0.322313, 0.481444, 0.746716, 1.1888", \ + "0.0837359, 0.213258, 0.275819, 0.372756, 0.531827, 0.79698, 1.24", \ + "0.109875, 0.284895, 0.360227, 0.468381, 0.632329, 0.897311, 1.33873", \ + "0.140658, 0.390854, 0.491099, 0.625262, 0.815922, 1.09759, 1.54189", \ + "0.17718, 0.541146, 0.680853, 0.864017, 1.10662, 1.44307, 1.93033", \ + "0.213626, 0.741559, 0.95098, 1.21069, 1.54842, 1.99, 2.58939" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0193363, 0.131756, 0.209128, 0.337049, 0.550957, 0.908518, 1.50331", \ + "0.0350726, 0.138213, 0.21162, 0.337324, 0.551095, 0.908519, 1.50332", \ + "0.0496667, 0.155599, 0.224307, 0.343775, 0.552465, 0.90855, 1.50333", \ + "0.0755053, 0.195321, 0.263243, 0.373768, 0.568606, 0.911844, 1.50334", \ + "0.118605, 0.271189, 0.343002, 0.456462, 0.640051, 0.95693, 1.51858", \ + "0.186507, 0.399224, 0.487197, 0.609948, 0.803376, 1.11009, 1.62761", \ + "0.298324, 0.620508, 0.732077, 0.888108, 1.10399, 1.43647, 1.94602" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0149183, 0.0151228, 0.0150733, 0.0149631, 0.0148913, 0.014792, 0.0147041", \ + "0.014614, 0.0149049, 0.0148962, 0.0148501, 0.0146979, 0.0147589, 0.0147237", \ + "0.0145142, 0.0148681, 0.0148078, 0.0147866, 0.0146568, 0.0146544, 0.0148116", \ + "0.0144686, 0.0147331, 0.0147139, 0.0146699, 0.0146166, 0.014553, 0.0148388", \ + "0.0144514, 0.0146383, 0.0145929, 0.0146468, 0.0144889, 0.014596, 0.0146054", \ + "0.0146237, 0.0146075, 0.0145855, 0.0146234, 0.0143933, 0.0146779, 0.0147029", \ + "0.0159892, 0.0151788, 0.0150409, 0.0147956, 0.0147147, 0.01464, 0.0144777" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00537489, 0.00526419, 0.00521291, 0.0051178, 0.00491857, 0.00467053, 0.00424693", \ + "0.00517547, 0.00522553, 0.0051524, 0.00504958, 0.00491575, 0.00467836, 0.00417974", \ + "0.00508612, 0.00515903, 0.00516821, 0.00501248, 0.00486061, 0.00462347, 0.00418971", \ + "0.00511411, 0.00519331, 0.00512849, 0.00504211, 0.00491778, 0.0050299, 0.00413415", \ + "0.00545863, 0.00533867, 0.00531294, 0.00521401, 0.00484197, 0.00493176, 0.00409502", \ + "0.00655067, 0.0058895, 0.00577853, 0.00551235, 0.00533983, 0.004658, 0.00434465", \ + "0.00948391, 0.00775618, 0.00725148, 0.00671737, 0.006229, 0.00577172, 0.0047551" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0123494, 0.0125573, 0.0124974, 0.0123923, 0.0123807, 0.012207, 0.0119768", \ + "0.0120492, 0.0123231, 0.0123284, 0.0122536, 0.0121697, 0.0121665, 0.0119576", \ + "0.0119322, 0.0122815, 0.0122286, 0.0121852, 0.0120732, 0.0120468, 0.0120315", \ + "0.0118777, 0.0121557, 0.0121078, 0.0120682, 0.0119911, 0.0119752, 0.0120492", \ + "0.0118747, 0.0120522, 0.0120164, 0.012041, 0.0118989, 0.0119833, 0.0121344", \ + "0.012163, 0.012022, 0.0120152, 0.0120751, 0.0117863, 0.0120128, 0.0120571", \ + "0.0139168, 0.0127924, 0.0126058, 0.0124356, 0.01224, 0.0120857, 0.0119441" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00484333, 0.00475949, 0.00470446, 0.00459278, 0.00445621, 0.00417416, 0.00371347", \ + "0.00464954, 0.00480785, 0.00473881, 0.00464224, 0.00455745, 0.0042945, 0.00371182", \ + "0.00452435, 0.00472749, 0.00475636, 0.00459923, 0.00450033, 0.00419672, 0.00383153", \ + "0.00450304, 0.00472929, 0.00467816, 0.00463328, 0.00487517, 0.00503201, 0.00377694", \ + "0.00484622, 0.00482727, 0.00485043, 0.00474784, 0.00437751, 0.00457281, 0.00387738", \ + "0.0059493, 0.00530971, 0.00521358, 0.00502636, 0.00496787, 0.00422029, 0.0041123", \ + "0.00882837, 0.00714802, 0.00661174, 0.00617915, 0.00581397, 0.00537172, 0.0045768" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00994839, 0.010153, 0.01009, 0.00997474, 0.00997239, 0.00985326, 0.00965412", \ + "0.00964325, 0.00992774, 0.00992526, 0.00986204, 0.00970567, 0.00971815, 0.00957536", \ + "0.00952043, 0.00981549, 0.0098533, 0.00980116, 0.00968783, 0.00969979, 0.00979935", \ + "0.00948001, 0.00967634, 0.00974144, 0.009671, 0.0095823, 0.00958932, 0.0095225", \ + "0.00954903, 0.00965978, 0.00959604, 0.00973147, 0.00950926, 0.00957094, 0.00972689", \ + "0.0101629, 0.00975572, 0.00969033, 0.00965339, 0.00938389, 0.00963393, 0.00947756", \ + "0.0125212, 0.01091, 0.0105906, 0.0101429, 0.0100233, 0.00965882, 0.00962379" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00309825, 0.00310205, 0.00302307, 0.00293707, 0.00274738, 0.00250485, 0.00200116", \ + "0.00272046, 0.00308463, 0.00303595, 0.0029633, 0.00286893, 0.00265194, 0.00198899", \ + "0.00256108, 0.00296971, 0.00302829, 0.00293041, 0.00277902, 0.00254709, 0.002178", \ + "0.00253264, 0.00295752, 0.00291909, 0.00287044, 0.00293049, 0.00253671, 0.00210923", \ + "0.00290842, 0.00298593, 0.00301577, 0.00297146, 0.00267669, 0.00284414, 0.00227425", \ + "0.00403114, 0.00340912, 0.00334253, 0.00314968, 0.00307981, 0.0024567, 0.00229319", \ + "0.00690672, 0.00509136, 0.00466475, 0.00417348, 0.00385596, 0.00355525, 0.00264822" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00551897, 0.00599049, 0.00592679, 0.00582767, 0.00582048, 0.00562057, 0.00577066", \ + "0.00508435, 0.005589, 0.00563951, 0.00561135, 0.00546994, 0.00549171, 0.00545492", \ + "0.00505611, 0.00543061, 0.00548912, 0.00550454, 0.0054005, 0.00552679, 0.00537631", \ + "0.00526767, 0.00533125, 0.00539736, 0.00537945, 0.00530661, 0.00537163, 0.00544133", \ + "0.00581177, 0.0054628, 0.00532101, 0.00547983, 0.00520097, 0.00535057, 0.00542462", \ + "0.00715316, 0.00606732, 0.00582213, 0.00559847, 0.00526456, 0.00551827, 0.0052395", \ + "0.0101075, 0.0079035, 0.00731759, 0.00660639, 0.00628402, 0.00608072, 0.00543283" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00050806, 0.0008728, 0.00083676, 0.00075229, 0.00057288, 0.0003563, -0.00020565", \ + "0.0001409, 0.00091012, 0.00090456, 0.00090549, 0.00080427, 0.00050284, -3.494e-05", \ + "6.66599e-05, 0.00079966, 0.00091262, 0.00087323, 0.00071968, 0.00059407, 0.00024007", \ + "0.00015547, 0.00073925, 0.00076791, 0.00079169, 0.00094369, 0.00064994, -7.97003e-06", \ + "0.00065162, 0.00076197, 0.00082785, 0.00082321, 0.00064401, 0.000812, 0.00046611", \ + "0.00197619, 0.00117838, 0.00114033, 0.00096182, 0.00099478, 0.00046351, 0.00025161", \ + "0.00515645, 0.00295814, 0.00247561, 0.00201653, 0.00184062, 0.00145713, 0.00043945" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00537061; + rise_capacitance : 0.00543274; + rise_capacitance_range (0.00543274, 0.00543274); + fall_capacitance : 0.00530848; + fall_capacitance_range (0.00530848, 0.00530848); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000153782, -8.80671e-06, -5.80921e-05, -0.000100408, -0.000130292, -0.00015636, -0.00017811" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000257805, 0.000278886, 0.000274082, 0.00027257, 0.000257477, 0.000253148, 0.000259221" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000153782, -8.80671e-06, -5.80921e-05, -0.000100408, -0.000130292, -0.00015636, -0.00017811" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000257805, 0.000278886, 0.000274082, 0.00027257, 0.000257477, 0.000253148, 0.000259221" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00530193; + rise_capacitance : 0.00537839; + rise_capacitance_range (0.00537839, 0.00537839); + fall_capacitance : 0.00522548; + fall_capacitance_range (0.00522548, 0.00522548); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000224301, 1.81578e-05, -3.05611e-05, -6.93923e-05, -9.65965e-05, -0.000118242, -0.000138781" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000172431, 0.000190421, 0.000189716, 0.000187893, 0.000182373, 0.000183247, 0.00018534" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000224301, 1.81578e-05, -3.05611e-05, -6.93923e-05, -9.65965e-05, -0.000118242, -0.000138781" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000172431, 0.000190421, 0.000189716, 0.000187893, 0.000182373, 0.000183247, 0.00018534" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00471374; + rise_capacitance : 0.00456682; + rise_capacitance_range (0.00456682, 0.00456682); + fall_capacitance : 0.00486066; + fall_capacitance_range (0.00486066, 0.00486066); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000893229, 0.000899839, 0.00090597, 0.000909093, 0.000908131, 0.000915002, 0.000916375" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000138719, -0.000129288, -0.000128266, -0.000124926, -0.000125482, -0.000127868, -0.000122671" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000893229, 0.000899839, 0.00090597, 0.000909093, 0.000908131, 0.000915002, 0.000916375" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000138719, -0.000129288, -0.000128266, -0.000124926, -0.000125482, -0.000127868, -0.000122671" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0048376; + rise_capacitance : 0.00469714; + rise_capacitance_range (0.00469714, 0.00469714); + fall_capacitance : 0.00497805; + fall_capacitance_range (0.00497805, 0.00497805); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00225055, 0.0022459, 0.00224971, 0.00225008, 0.00225341, 0.00225536, 0.00225437" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0011044, 0.00114266, 0.00114234, 0.00115697, 0.00115646, 0.00116106, 0.00117125" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00225055, 0.0022459, 0.00224971, 0.00225008, 0.00225341, 0.00225536, 0.00225437" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0011044, 0.00114266, 0.00114234, 0.00115697, 0.00115646, 0.00116106, 0.00117125" \ + ); + } + } + } + } + cell (sg13g2_o21ai_1) { + area : 9.072; + cell_footprint : "o21ai"; + cell_leakage_power : 493.36; + leakage_power () { + value : 110.318; + when : "!A1*!A2*!B1*Y"; + } + leakage_power () { + value : 418.513; + when : "!A1*!A2*B1*Y"; + } + leakage_power () { + value : 248.199; + when : "!A1*A2*!B1*Y"; + } + leakage_power () { + value : 899.582; + when : "!A1*A2*B1*!Y"; + } + leakage_power () { + value : 248.208; + when : "A1*!A2*!B1*Y"; + } + leakage_power () { + value : 1064.96; + when : "A1*!A2*B1*!Y"; + } + leakage_power () { + value : 248.414; + when : "A1*A2*!B1*Y"; + } + leakage_power () { + value : 708.686; + when : "A1*A2*B1*!Y"; + } + pin (Y) { + direction : "output"; + function : "!((A1+A2)*B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0972961, 0.338908, 0.504814, 0.778291, 1.23558, 1.99777, 3.26781", \ + "0.130886, 0.374755, 0.540596, 0.814353, 1.2724, 2.03447, 3.30475", \ + "0.156792, 0.406883, 0.573137, 0.84688, 1.3045, 2.06704, 3.33819", \ + "0.196325, 0.468021, 0.63538, 0.909281, 1.36739, 2.13092, 3.40008", \ + "0.250985, 0.572728, 0.751536, 1.03149, 1.49023, 2.2528, 3.52325", \ + "0.316796, 0.730358, 0.940249, 1.24817, 1.72513, 2.4923, 3.76279", \ + "0.412005, 0.958766, 1.22547, 1.60171, 2.14219, 2.95693, 4.24661" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0709385, 0.397564, 0.624636, 0.99932, 1.62641, 2.67118, 4.41198", \ + "0.0740741, 0.397939, 0.624637, 0.999321, 1.62642, 2.67119, 4.41201", \ + "0.0832937, 0.399211, 0.625153, 0.999322, 1.62643, 2.6715, 4.41202", \ + "0.103026, 0.410666, 0.630206, 1.00143, 1.62766, 2.67175, 4.41203", \ + "0.141965, 0.45378, 0.661635, 1.01696, 1.63129, 2.67176, 4.41204", \ + "0.213567, 0.55163, 0.758925, 1.09799, 1.67961, 2.68963, 4.41205", \ + "0.325748, 0.728424, 0.953895, 1.30137, 1.86267, 2.81416, 4.4742" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0705355, 0.2289, 0.337156, 0.516074, 0.814599, 1.31196, 2.14097", \ + "0.104246, 0.26752, 0.375934, 0.554563, 0.853306, 1.35087, 2.18019", \ + "0.128691, 0.303171, 0.412277, 0.591141, 0.889862, 1.38773, 2.21676", \ + "0.164026, 0.36655, 0.481701, 0.662985, 0.96209, 1.46004, 2.29022", \ + "0.208807, 0.468399, 0.59982, 0.796599, 1.10495, 1.60459, 2.43378", \ + "0.261881, 0.619206, 0.782695, 1.01496, 1.35781, 1.88307, 2.71994", \ + "0.32569, 0.82808, 1.05342, 1.35099, 1.7696, 2.36666, 3.26558" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0437737, 0.251575, 0.395733, 0.634118, 1.03316, 1.69676, 2.80389", \ + "0.0498673, 0.252329, 0.395813, 0.634119, 1.03317, 1.69764, 2.8039", \ + "0.0595718, 0.257589, 0.398381, 0.638856, 1.03318, 1.69765, 2.8056", \ + "0.0804141, 0.279585, 0.413519, 0.641805, 1.03385, 1.69766, 2.8069", \ + "0.119978, 0.331275, 0.463546, 0.682581, 1.05808, 1.70605, 2.80691", \ + "0.186372, 0.434354, 0.569311, 0.786686, 1.14962, 1.76511, 2.83113", \ + "0.291778, 0.623999, 0.77437, 1.00516, 1.36679, 1.96801, 2.97812" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0864611, 0.32917, 0.494764, 0.768463, 1.22577, 1.98796, 3.25801", \ + "0.117341, 0.363536, 0.5298, 0.803884, 1.26149, 2.02444, 3.29426", \ + "0.144885, 0.401197, 0.567531, 0.841401, 1.29926, 2.06202, 3.33272", \ + "0.186339, 0.4748, 0.642388, 0.915943, 1.37393, 2.13744, 3.40751", \ + "0.244793, 0.600909, 0.78478, 1.06561, 1.52256, 2.2843, 3.55381", \ + "0.320701, 0.787437, 1.01247, 1.33279, 1.81567, 2.58003, 3.84615", \ + "0.42886, 1.04386, 1.34476, 1.75234, 2.32432, 3.15819, 4.45069" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0709873, 0.398235, 0.624601, 0.999321, 1.62648, 2.67118, 4.41198", \ + "0.0781275, 0.398808, 0.624602, 0.999322, 1.62649, 2.67119, 4.41201", \ + "0.0933002, 0.400624, 0.625661, 0.999323, 1.6265, 2.6712, 4.41202", \ + "0.121627, 0.418692, 0.632658, 0.999581, 1.62651, 2.67121, 4.41203", \ + "0.165037, 0.483463, 0.681791, 1.02678, 1.63258, 2.67122, 4.41204", \ + "0.233643, 0.615643, 0.818725, 1.14289, 1.70514, 2.69575, 4.41302", \ + "0.338779, 0.834205, 1.07308, 1.42271, 1.96208, 2.88368, 4.50599" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0593889, 0.216158, 0.32367, 0.501388, 0.799111, 1.29488, 2.12181", \ + "0.089528, 0.255042, 0.363075, 0.541087, 0.839002, 1.33493, 2.16195", \ + "0.110008, 0.290148, 0.39914, 0.577492, 0.875398, 1.37162, 2.19851", \ + "0.137577, 0.351822, 0.467877, 0.64918, 0.947675, 1.44412, 2.27202", \ + "0.16928, 0.449639, 0.583242, 0.781591, 1.09021, 1.58878, 2.41583", \ + "0.202706, 0.59378, 0.761634, 0.996996, 1.34192, 1.86691, 2.70217", \ + "0.238473, 0.789506, 1.02269, 1.32654, 1.74878, 2.34945, 3.24745" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0313095, 0.237309, 0.380763, 0.617926, 1.01508, 1.67696, 2.78002", \ + "0.0385185, 0.238338, 0.38382, 0.61831, 1.01574, 1.67801, 2.78209", \ + "0.0486309, 0.243997, 0.383821, 0.618405, 1.01575, 1.67802, 2.7821", \ + "0.0690844, 0.26655, 0.399755, 0.626681, 1.0167, 1.67845, 2.78319", \ + "0.107326, 0.319112, 0.450456, 0.667409, 1.04133, 1.68502, 2.7832", \ + "0.173452, 0.42408, 0.557618, 0.773756, 1.13467, 1.7469, 2.8087", \ + "0.281339, 0.617647, 0.7649, 0.993175, 1.3505, 1.95095, 2.95688" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0369321, 0.155692, 0.236442, 0.369172, 0.592138, 0.96269, 1.58064", \ + "0.0681724, 0.199596, 0.280352, 0.413631, 0.636478, 1.00811, 1.62599", \ + "0.0881045, 0.243639, 0.326441, 0.46, 0.682798, 1.0541, 1.6738", \ + "0.116517, 0.318909, 0.411781, 0.550199, 0.773059, 1.14373, 1.76171", \ + "0.154132, 0.432976, 0.550454, 0.712298, 0.950817, 1.3239, 1.94", \ + "0.200288, 0.588176, 0.753248, 0.965268, 1.25408, 1.66655, 2.29691", \ + "0.261257, 0.801896, 1.0306, 1.33421, 1.72562, 2.24389, 2.9652" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.034216, 0.204223, 0.31787, 0.505449, 0.820332, 1.34503, 2.21994", \ + "0.0551702, 0.205844, 0.317871, 0.50545, 0.820333, 1.34594, 2.21995", \ + "0.0792354, 0.217954, 0.32362, 0.506791, 0.820334, 1.34595, 2.22154", \ + "0.115788, 0.254793, 0.350864, 0.521314, 0.823861, 1.34596, 2.22155", \ + "0.172195, 0.332948, 0.428564, 0.585661, 0.863937, 1.35955, 2.22156", \ + "0.255903, 0.469216, 0.579402, 0.742569, 1.0045, 1.4582, 2.26513", \ + "0.389682, 0.680394, 0.829908, 1.03048, 1.31941, 1.75877, 2.50222" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0594874, 0.219706, 0.32809, 0.506584, 0.805188, 1.30303, 2.13189", \ + "0.0916384, 0.259145, 0.367809, 0.546717, 0.845763, 1.34338, 2.17306", \ + "0.114701, 0.298802, 0.408377, 0.587239, 0.886263, 1.3842, 2.21319", \ + "0.147043, 0.369426, 0.487118, 0.668663, 0.967336, 1.46494, 2.29511", \ + "0.188467, 0.477769, 0.617548, 0.8206, 1.13105, 1.62958, 2.45747", \ + "0.241523, 0.630947, 0.810761, 1.05985, 1.4171, 1.94838, 2.7831", \ + "0.307559, 0.838395, 1.08369, 1.41031, 1.8625, 2.49262, 3.40975" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0437666, 0.251418, 0.395428, 0.634228, 1.0322, 1.69778, 2.80389", \ + "0.0551324, 0.252654, 0.397141, 0.634229, 1.03305, 1.69779, 2.8054", \ + "0.0697514, 0.26209, 0.400074, 0.640046, 1.03306, 1.6978, 2.80541", \ + "0.0964208, 0.294976, 0.42374, 0.646263, 1.0346, 1.69781, 2.80542", \ + "0.138654, 0.367623, 0.497164, 0.706848, 1.07035, 1.70969, 2.80543", \ + "0.202722, 0.497784, 0.637615, 0.853715, 1.20377, 1.79784, 2.84105", \ + "0.304099, 0.712739, 0.886002, 1.13077, 1.50175, 2.08448, 3.06043" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0361698, 0.154751, 0.235281, 0.367775, 0.59021, 0.959914, 1.57698", \ + "0.066871, 0.198718, 0.279218, 0.412241, 0.634668, 1.00538, 1.62295", \ + "0.0862005, 0.242669, 0.325327, 0.458506, 0.681036, 1.05132, 1.67021", \ + "0.113531, 0.317617, 0.41052, 0.548768, 0.771111, 1.14116, 1.76386", \ + "0.149298, 0.430862, 0.548722, 0.710581, 0.94886, 1.32117, 1.93649", \ + "0.191977, 0.584815, 0.750364, 0.962809, 1.25146, 1.66369, 2.29324", \ + "0.24737, 0.796413, 1.0254, 1.32925, 1.72195, 2.23988, 2.96117" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0245213, 0.187471, 0.301289, 0.489204, 0.804206, 1.32912, 2.20437", \ + "0.0404732, 0.189118, 0.30129, 0.489255, 0.804328, 1.32974, 2.20445", \ + "0.0572905, 0.201201, 0.307271, 0.490766, 0.804329, 1.32975, 2.206", \ + "0.0844441, 0.237446, 0.334447, 0.505334, 0.807812, 1.32976, 2.21008", \ + "0.125571, 0.312875, 0.411295, 0.569603, 0.848088, 1.34336, 2.21009", \ + "0.187928, 0.444828, 0.558636, 0.725401, 0.988569, 1.44269, 2.24974", \ + "0.290141, 0.644478, 0.804751, 1.0097, 1.30201, 1.74138, 2.48707" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0456242, 0.203377, 0.310943, 0.48864, 0.786394, 1.28222, 2.10878", \ + "0.0722233, 0.242803, 0.350738, 0.528789, 0.826554, 1.32271, 2.15008", \ + "0.0894896, 0.282008, 0.391231, 0.569378, 0.867295, 1.36376, 2.19014", \ + "0.113015, 0.350275, 0.469209, 0.650708, 0.948329, 1.44431, 2.27186", \ + "0.141517, 0.453908, 0.59641, 0.801207, 1.11173, 1.60897, 2.43427", \ + "0.17751, 0.599452, 0.784006, 1.03622, 1.39571, 1.92715, 2.75999", \ + "0.220324, 0.79401, 1.04701, 1.37958, 1.83586, 2.46829, 3.38513" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0315653, 0.237286, 0.380728, 0.617841, 1.01579, 1.67696, 2.78011", \ + "0.0462117, 0.239274, 0.381104, 0.617842, 1.01585, 1.67712, 2.78215", \ + "0.0612045, 0.249439, 0.385555, 0.618733, 1.01586, 1.67717, 2.78216", \ + "0.0857965, 0.284196, 0.4116, 0.632323, 1.01758, 1.67751, 2.78307", \ + "0.124347, 0.357952, 0.485416, 0.694574, 1.05494, 1.69001, 2.78308", \ + "0.183634, 0.488468, 0.62854, 0.842437, 1.191, 1.78069, 2.81847", \ + "0.280449, 0.70256, 0.874884, 1.12176, 1.48757, 2.06851, 3.03995" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0369321, 0.155692, 0.236442, 0.369172, 0.592138, 0.96269, 1.58064", \ + "0.0681724, 0.199596, 0.280352, 0.413631, 0.636478, 1.00811, 1.62599", \ + "0.0881045, 0.243639, 0.326441, 0.46, 0.682798, 1.0541, 1.6738", \ + "0.116517, 0.318909, 0.411781, 0.550199, 0.773059, 1.14373, 1.76171", \ + "0.154132, 0.432976, 0.550454, 0.712298, 0.950817, 1.3239, 1.94", \ + "0.200288, 0.588176, 0.753248, 0.965268, 1.25408, 1.66655, 2.29691", \ + "0.261257, 0.801896, 1.0306, 1.33421, 1.72562, 2.24389, 2.9652" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.034216, 0.204223, 0.31787, 0.505449, 0.820332, 1.34503, 2.21994", \ + "0.0551702, 0.205844, 0.317871, 0.50545, 0.820333, 1.34594, 2.21995", \ + "0.0792354, 0.217954, 0.32362, 0.506791, 0.820334, 1.34595, 2.22154", \ + "0.115788, 0.254793, 0.350864, 0.521314, 0.823861, 1.34596, 2.22155", \ + "0.172195, 0.332948, 0.428564, 0.585661, 0.863937, 1.35955, 2.22156", \ + "0.255903, 0.469216, 0.579402, 0.742569, 1.0045, 1.4582, 2.26513", \ + "0.389682, 0.680394, 0.829908, 1.03048, 1.31941, 1.75877, 2.50222" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0594874, 0.219706, 0.32809, 0.506584, 0.805188, 1.30303, 2.13189", \ + "0.0916384, 0.259145, 0.367809, 0.546717, 0.845763, 1.34338, 2.17306", \ + "0.114701, 0.298802, 0.408377, 0.587239, 0.886263, 1.3842, 2.21319", \ + "0.147043, 0.369426, 0.487118, 0.668663, 0.967336, 1.46494, 2.29511", \ + "0.188467, 0.477769, 0.617548, 0.8206, 1.13105, 1.62958, 2.45747", \ + "0.241523, 0.630947, 0.810761, 1.05985, 1.4171, 1.94838, 2.7831", \ + "0.307559, 0.838395, 1.08369, 1.41031, 1.8625, 2.49262, 3.40975" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0437666, 0.251418, 0.395428, 0.634228, 1.0322, 1.69778, 2.80389", \ + "0.0551324, 0.252654, 0.397141, 0.634229, 1.03305, 1.69779, 2.8054", \ + "0.0697514, 0.26209, 0.400074, 0.640046, 1.03306, 1.6978, 2.80541", \ + "0.0964208, 0.294976, 0.42374, 0.646263, 1.0346, 1.69781, 2.80542", \ + "0.138654, 0.367623, 0.497164, 0.706848, 1.07035, 1.70969, 2.80543", \ + "0.202722, 0.497784, 0.637615, 0.853715, 1.20377, 1.79784, 2.84105", \ + "0.304099, 0.712739, 0.886002, 1.13077, 1.50175, 2.08448, 3.06043" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00373582, 0.00371646, 0.00369194, 0.00363489, 0.00352487, 0.00335472, 0.00347114", \ + "0.00364114, 0.00372026, 0.00369299, 0.00366329, 0.00358364, 0.00342695, 0.0035278", \ + "0.00361306, 0.00370171, 0.00369753, 0.00365626, 0.00357214, 0.00343094, 0.00352505", \ + "0.00360178, 0.00371485, 0.00367558, 0.00367236, 0.00362902, 0.00345565, 0.0035415", \ + "0.00369899, 0.00367844, 0.00369673, 0.00363978, 0.00372379, 0.00343471, 0.00348842", \ + "0.00417812, 0.00391301, 0.00383862, 0.0037473, 0.0038815, 0.00360389, 0.00349558", \ + "0.00576891, 0.00478213, 0.00455425, 0.0043705, 0.00403294, 0.00389399, 0.00371722" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00406941, 0.00402455, 0.00399372, 0.00395763, 0.00386743, 0.00368967, 0.00343728", \ + "0.00385129, 0.00393738, 0.00392473, 0.00387005, 0.00379247, 0.00366592, 0.00340802", \ + "0.00377755, 0.00394062, 0.00388864, 0.00401109, 0.00377982, 0.0036598, 0.00344442", \ + "0.00372917, 0.00385229, 0.00388386, 0.003826, 0.00374371, 0.00362024, 0.00350183", \ + "0.003829, 0.00388615, 0.00386724, 0.003824, 0.00396146, 0.00378243, 0.00345886", \ + "0.00432684, 0.00407699, 0.00403265, 0.00399949, 0.0038062, 0.00387592, 0.00360405", \ + "0.0058539, 0.00498016, 0.00478377, 0.0046382, 0.00444984, 0.00399301, 0.00375687" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00205333, 0.00209741, 0.00205668, 0.00199736, 0.00191563, 0.00172952, 0.00183838", \ + "0.00185798, 0.00205796, 0.00202973, 0.00201022, 0.00191952, 0.00178422, 0.00188467", \ + "0.00185567, 0.00200805, 0.00202828, 0.00198823, 0.0019335, 0.00178518, 0.00185025", \ + "0.00196587, 0.00202011, 0.00200531, 0.00196726, 0.00191734, 0.001803, 0.00185843", \ + "0.00233302, 0.00208762, 0.00208515, 0.00200327, 0.00202168, 0.0017527, 0.00182668", \ + "0.00309203, 0.0025227, 0.00236207, 0.00219929, 0.00224188, 0.0018035, 0.00186862", \ + "0.00486855, 0.00370014, 0.00338195, 0.00301007, 0.00255903, 0.00241276, 0.00224999" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0037816, 0.00392559, 0.00389618, 0.00384566, 0.00376531, 0.00359816, 0.00334633", \ + "0.00344644, 0.00385662, 0.00396932, 0.00385022, 0.00379654, 0.0036606, 0.00345803", \ + "0.00334671, 0.00380178, 0.00380953, 0.00381051, 0.00377426, 0.00364234, 0.00340796", \ + "0.00331119, 0.00366864, 0.00375819, 0.00375662, 0.00372956, 0.00368274, 0.00344433", \ + "0.00346265, 0.00362562, 0.00368199, 0.00367989, 0.00377876, 0.00378801, 0.00344922", \ + "0.00403662, 0.003777, 0.00377633, 0.00381859, 0.00367853, 0.00378843, 0.00346356", \ + "0.00569814, 0.00469187, 0.00446763, 0.00434265, 0.0041964, 0.00384823, 0.00363929" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00273759, 0.00302137, 0.00300797, 0.00294274, 0.00286859, 0.0026705, 0.00240372", \ + "0.00245952, 0.00284199, 0.00287351, 0.00287102, 0.00280142, 0.00269319, 0.00243854", \ + "0.00247685, 0.00277806, 0.00280425, 0.00288374, 0.00276885, 0.00264147, 0.00249102", \ + "0.00263577, 0.0026782, 0.00275413, 0.00277811, 0.00287351, 0.00263068, 0.00232953", \ + "0.00304131, 0.00281111, 0.00277052, 0.00275325, 0.00293481, 0.00263957, 0.00228941", \ + "0.00403699, 0.00322896, 0.00310028, 0.00296095, 0.00275451, 0.00285479, 0.00236831", \ + "0.00615449, 0.00458684, 0.00414311, 0.00377976, 0.00351771, 0.00299334, 0.00260273" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00234991, 0.00247007, 0.00244733, 0.0024025, 0.00231133, 0.00219018, 0.00189778", \ + "0.00207895, 0.00233613, 0.00239365, 0.0023417, 0.00228063, 0.00212399, 0.00194647", \ + "0.00204449, 0.00230521, 0.0022878, 0.00245667, 0.00223441, 0.00213635, 0.00185167", \ + "0.00212914, 0.00219982, 0.00226244, 0.00222992, 0.00218825, 0.0020751, 0.00191854", \ + "0.00248602, 0.00230931, 0.0022653, 0.00221496, 0.00226932, 0.00244767, 0.00187281", \ + "0.00336268, 0.00278263, 0.0026474, 0.00254673, 0.00230092, 0.00233318, 0.00213609", \ + "0.00541096, 0.00419203, 0.00379369, 0.00345523, 0.00313401, 0.00251249, 0.00233023" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00103023, 0.00134292, 0.00132614, 0.00126164, 0.00118895, 0.000973066, 0.000746606", \ + "0.000771976, 0.00118565, 0.00119696, 0.00118601, 0.00112611, 0.00100017, 0.000760376", \ + "0.000790789, 0.00108761, 0.00112462, 0.00122652, 0.00109216, 0.000972529, 0.000802599", \ + "0.000949689, 0.000994859, 0.00107419, 0.00113288, 0.00112171, 0.000921609, 0.00101973", \ + "0.00136808, 0.00112711, 0.00108674, 0.00108035, 0.00109356, 0.000929675, 0.000612725", \ + "0.00238755, 0.0015695, 0.0014244, 0.0013152, 0.00107624, 0.00107422, 0.000725303", \ + "0.00455941, 0.00291184, 0.00251147, 0.00213605, 0.00184955, 0.00135175, 0.000996592" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00189071, 0.00212607, 0.0020966, 0.00205237, 0.00198442, 0.00180897, 0.00154564", \ + "0.00163141, 0.00198916, 0.00200853, 0.00198022, 0.00194178, 0.00177706, 0.00160388", \ + "0.00164154, 0.00192489, 0.00194441, 0.00193886, 0.00189994, 0.00178256, 0.0015473", \ + "0.00179228, 0.00184083, 0.00190744, 0.00189269, 0.00184854, 0.00176734, 0.0015649", \ + "0.00223499, 0.00196571, 0.00192606, 0.00188509, 0.00194536, 0.00184431, 0.00156229", \ + "0.00322601, 0.00245855, 0.00232618, 0.00220233, 0.00194263, 0.00197191, 0.00164798", \ + "0.00538359, 0.00395539, 0.00351415, 0.00316977, 0.00278774, 0.00221785, 0.00196839" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00103023, 0.00134292, 0.00132614, 0.00126164, 0.00118895, 0.000973066, 0.000746606", \ + "0.000771976, 0.00118565, 0.00119696, 0.00118601, 0.00112611, 0.00100017, 0.000760376", \ + "0.000790789, 0.00108761, 0.00112462, 0.00122652, 0.00109216, 0.000972529, 0.000802599", \ + "0.000949689, 0.000994859, 0.00107419, 0.00113288, 0.00112171, 0.000921609, 0.00101973", \ + "0.00136808, 0.00112711, 0.00108674, 0.00108035, 0.00109356, 0.000929675, 0.000612725", \ + "0.00238755, 0.0015695, 0.0014244, 0.0013152, 0.00107624, 0.00107422, 0.000725303", \ + "0.00455941, 0.00291184, 0.00251147, 0.00213605, 0.00184955, 0.00135175, 0.000996592" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00189071, 0.00212607, 0.0020966, 0.00205237, 0.00198442, 0.00180897, 0.00154564", \ + "0.00163141, 0.00198916, 0.00200853, 0.00198022, 0.00194178, 0.00177706, 0.00160388", \ + "0.00164154, 0.00192489, 0.00194441, 0.00193886, 0.00189994, 0.00178256, 0.0015473", \ + "0.00179228, 0.00184083, 0.00190744, 0.00189269, 0.00184854, 0.00176734, 0.0015649", \ + "0.00223499, 0.00196571, 0.00192606, 0.00188509, 0.00194536, 0.00184431, 0.00156229", \ + "0.00322601, 0.00245855, 0.00232618, 0.00220233, 0.00194263, 0.00197191, 0.00164798", \ + "0.00538359, 0.00395539, 0.00351415, 0.00316977, 0.00278774, 0.00221785, 0.00196839" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00310369; + rise_capacitance : 0.00317673; + rise_capacitance_range (0.00317673, 0.00317673); + fall_capacitance : 0.00303064; + fall_capacitance_range (0.00303064, 0.00303064); + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000169316, -0.000174341, -0.00017444, -0.000174176, -0.000173096, -0.000171209, -0.000175044" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000404937, 0.000330811, 0.000308684, 0.000288392, 0.000275429, 0.000264569, 0.000253656" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000169316, -0.000174341, -0.00017444, -0.000174176, -0.000173096, -0.000171209, -0.000175044" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000404937, 0.000330811, 0.000308684, 0.000288392, 0.000275429, 0.000264569, 0.000253656" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00314274; + rise_capacitance : 0.00322634; + rise_capacitance_range (0.00322634, 0.00322634); + fall_capacitance : 0.00305915; + fall_capacitance_range (0.00305915, 0.00305915); + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00012828, -0.00012498, -0.000124075, -0.000124843, -0.00012577, -0.000125728, -0.000127163" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000355936, 0.000281714, 0.000257193, 0.00023769, 0.000223911, 0.000215917, 0.000205007" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00012828, -0.00012498, -0.000124075, -0.000124843, -0.00012577, -0.000125728, -0.000127163" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000355936, 0.000281714, 0.000257193, 0.00023769, 0.000223911, 0.000215917, 0.000205007" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0029012; + rise_capacitance : 0.0030608; + rise_capacitance_range (0.0030608, 0.0030608); + fall_capacitance : 0.0027416; + fall_capacitance_range (0.0027416, 0.0027416); + internal_power () { + when : "(!A1 * !A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000194926, 0.00019338, 0.00019496, 0.00019962, 0.000202373, 0.000199077, 0.000200371" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000470294, 0.000484994, 0.000484201, 0.000482891, 0.000485035, 0.000486517, 0.000488608" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000194926, 0.00019338, 0.00019496, 0.00019962, 0.000202373, 0.000199077, 0.000200371" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000470294, 0.000484994, 0.000484201, 0.000482891, 0.000485035, 0.000486517, 0.000488608" \ + ); + } + } + } + } + cell (sg13g2_or2_1) { + area : 9.072; + cell_footprint : "or2"; + cell_leakage_power : 522.726; + leakage_power () { + value : 660.027; + when : "!A&!B"; + } + leakage_power () { + value : 608.43; + when : "A&!B"; + } + leakage_power () { + value : 498.985; + when : "!A&B"; + } + leakage_power () { + value : 323.46; + when : "A&B"; + } + pin (X) { + direction : "output"; + function : "(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0848483, 0.187895, 0.255903, 0.367956, 0.555205, 0.866892, 1.38596", \ + "0.128974, 0.232121, 0.300345, 0.412488, 0.59997, 0.911152, 1.43072", \ + "0.162287, 0.266416, 0.334459, 0.44662, 0.633928, 0.94569, 1.46487", \ + "0.212719, 0.318635, 0.386648, 0.498858, 0.685909, 0.997559, 1.51815", \ + "0.28246, 0.395014, 0.46276, 0.57467, 0.761733, 1.07327, 1.59277", \ + "0.376651, 0.504625, 0.57175, 0.682592, 0.869675, 1.18024, 1.69948", \ + "0.49758, 0.651642, 0.720951, 0.831704, 1.01756, 1.32885, 1.84694" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0244063, 0.159894, 0.256416, 0.41642, 0.683958, 1.13019, 1.87348", \ + "0.026202, 0.159991, 0.256521, 0.417101, 0.684775, 1.13028, 1.87389", \ + "0.029549, 0.160573, 0.256711, 0.417102, 0.684776, 1.13089, 1.87407", \ + "0.0358927, 0.161744, 0.257578, 0.417103, 0.684777, 1.13285, 1.87542", \ + "0.0484948, 0.165291, 0.25932, 0.418211, 0.685433, 1.13286, 1.87543", \ + "0.066935, 0.175033, 0.264399, 0.420473, 0.68707, 1.13287, 1.87544", \ + "0.093601, 0.195461, 0.27763, 0.427949, 0.691358, 1.13525, 1.87742" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.141267, 0.245343, 0.304443, 0.400265, 0.559534, 0.824993, 1.2668", \ + "0.175808, 0.279912, 0.339096, 0.434928, 0.594414, 0.859654, 1.30214", \ + "0.20456, 0.309899, 0.369237, 0.465088, 0.624573, 0.88996, 1.33174", \ + "0.250291, 0.359138, 0.41884, 0.515091, 0.67477, 0.939985, 1.38177", \ + "0.314459, 0.430196, 0.490269, 0.586839, 0.74644, 1.01216, 1.45387", \ + "0.393576, 0.521988, 0.5829, 0.679511, 0.839091, 1.10554, 1.54694", \ + "0.49456, 0.648738, 0.713598, 0.811411, 0.971127, 1.23653, 1.67744" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0351649, 0.140854, 0.214917, 0.340942, 0.554088, 0.910312, 1.50429", \ + "0.0351659, 0.140855, 0.215115, 0.340943, 0.554089, 0.910485, 1.50431", \ + "0.0368919, 0.141198, 0.215564, 0.341453, 0.554265, 0.911092, 1.50432", \ + "0.0413521, 0.144031, 0.217544, 0.342382, 0.554975, 0.911093, 1.50474", \ + "0.0495857, 0.150413, 0.221871, 0.345178, 0.556833, 0.911456, 1.50512", \ + "0.066058, 0.160981, 0.230373, 0.350794, 0.559719, 0.91378, 1.50654", \ + "0.093163, 0.187199, 0.251361, 0.363196, 0.566886, 0.917702, 1.50946" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0783295, 0.180814, 0.248729, 0.360213, 0.547269, 0.858949, 1.37772", \ + "0.120003, 0.222584, 0.29069, 0.402514, 0.589677, 0.900939, 1.42037", \ + "0.150312, 0.253744, 0.321946, 0.433577, 0.621189, 0.932572, 1.452", \ + "0.195677, 0.301713, 0.369305, 0.481121, 0.667954, 0.979307, 1.49877", \ + "0.256788, 0.370793, 0.43816, 0.549361, 0.736454, 1.04796, 1.56705", \ + "0.334768, 0.466975, 0.533768, 0.644089, 0.830037, 1.14076, 1.66013", \ + "0.438639, 0.600528, 0.669184, 0.780701, 0.965228, 1.27631, 1.7943" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0235323, 0.159385, 0.256069, 0.415898, 0.683829, 1.13023, 1.87423", \ + "0.0258941, 0.159615, 0.25607, 0.416095, 0.683831, 1.13024, 1.87424", \ + "0.029565, 0.160166, 0.256376, 0.416096, 0.683832, 1.13025, 1.87425", \ + "0.0368909, 0.161628, 0.257321, 0.416658, 0.684106, 1.13546, 1.87441", \ + "0.0504248, 0.165653, 0.259314, 0.418033, 0.684983, 1.13547, 1.87442", \ + "0.07057, 0.177308, 0.265305, 0.420919, 0.686772, 1.13548, 1.87445", \ + "0.098695, 0.202787, 0.281533, 0.430352, 0.692116, 1.1358, 1.87737" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.132205, 0.236337, 0.295459, 0.391215, 0.550741, 0.816048, 1.25783", \ + "0.166052, 0.270219, 0.329363, 0.425252, 0.584817, 0.850385, 1.29186", \ + "0.197128, 0.302782, 0.362214, 0.458132, 0.617712, 0.882931, 1.32476", \ + "0.245239, 0.354302, 0.41417, 0.510683, 0.670532, 0.935845, 1.37757", \ + "0.311601, 0.426866, 0.486743, 0.583361, 0.743565, 1.00974, 1.45134", \ + "0.393847, 0.52161, 0.58219, 0.678231, 0.837159, 1.10144, 1.54336", \ + "0.500162, 0.652022, 0.715843, 0.812464, 0.97132, 1.23365, 1.67517" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0350933, 0.140398, 0.215233, 0.341085, 0.554091, 0.91033, 1.50444", \ + "0.0355714, 0.140453, 0.215234, 0.341148, 0.554092, 0.910658, 1.50445", \ + "0.0382729, 0.141797, 0.215876, 0.34127, 0.554232, 0.910659, 1.50446", \ + "0.0447556, 0.145178, 0.218457, 0.343262, 0.555542, 0.91066, 1.50613", \ + "0.0561656, 0.151818, 0.223244, 0.346741, 0.558137, 0.912578, 1.50614", \ + "0.075373, 0.162438, 0.230731, 0.351488, 0.561891, 0.916285, 1.50861", \ + "0.104699, 0.189138, 0.24979, 0.362798, 0.56725, 0.920289, 1.51204" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00495766, 0.00526232, 0.00526088, 0.00523805, 0.00515373, 0.00498442, 0.00501871", \ + "0.00476224, 0.00507702, 0.00507089, 0.00507859, 0.00500998, 0.00481287, 0.00482458", \ + "0.00471133, 0.00495238, 0.00506911, 0.00499301, 0.00491135, 0.0047683, 0.00482797", \ + "0.00470758, 0.00486684, 0.00487987, 0.00497727, 0.0048216, 0.00480034, 0.0047783", \ + "0.00489866, 0.00500413, 0.00505426, 0.00502793, 0.00495508, 0.00489438, 0.00479729", \ + "0.00543344, 0.00548888, 0.00549735, 0.00550289, 0.00554794, 0.00521526, 0.0056155", \ + "0.0067877, 0.00660591, 0.00661798, 0.00664396, 0.00664316, 0.00669562, 0.00640663" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00592029, 0.00627088, 0.00631073, 0.00627756, 0.0061833, 0.00607586, 0.00582477", \ + "0.00580028, 0.00616713, 0.0062037, 0.0061924, 0.00615042, 0.00600716, 0.00581605", \ + "0.00576983, 0.0060919, 0.00618239, 0.0061398, 0.00607118, 0.00597448, 0.00569705", \ + "0.00574835, 0.00601873, 0.00604126, 0.00609108, 0.00601907, 0.0058755, 0.00568124", \ + "0.00580051, 0.00604695, 0.00609777, 0.00609635, 0.00600225, 0.00627322, 0.00574742", \ + "0.00613568, 0.0061809, 0.00621309, 0.00625153, 0.00619885, 0.00600357, 0.00604708", \ + "0.00723254, 0.00707003, 0.00711174, 0.0070417, 0.00701992, 0.00707488, 0.00688017" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00476286, 0.00510672, 0.0051059, 0.00504567, 0.00496508, 0.00495217, 0.00487", \ + "0.00448405, 0.00483091, 0.004814, 0.00479228, 0.0047212, 0.00452995, 0.00463036", \ + "0.00441054, 0.00466421, 0.00477107, 0.00470761, 0.00463776, 0.0044819, 0.00454535", \ + "0.00439874, 0.00460982, 0.00459412, 0.00468644, 0.00453957, 0.00459711, 0.00443984", \ + "0.00461023, 0.00475628, 0.0048078, 0.00476803, 0.00470936, 0.00499277, 0.00463756", \ + "0.00514974, 0.00524861, 0.00526279, 0.0052748, 0.005274, 0.00504235, 0.00529279", \ + "0.00661876, 0.00647744, 0.00643201, 0.00648615, 0.00645246, 0.00650307, 0.00627228" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00484042, 0.00520749, 0.0052256, 0.00518607, 0.00512846, 0.00498054, 0.00470685", \ + "0.00465827, 0.00501505, 0.00512606, 0.00503599, 0.00499515, 0.00489477, 0.00462944", \ + "0.00465081, 0.00493277, 0.00503819, 0.0049835, 0.00493157, 0.00481809, 0.00456646", \ + "0.00471246, 0.00495314, 0.00496046, 0.00502332, 0.0049696, 0.00483257, 0.00467805", \ + "0.00504734, 0.00513907, 0.00517435, 0.00515111, 0.00510762, 0.00532156, 0.00481272", \ + "0.00555838, 0.00553583, 0.00556158, 0.00558741, 0.00552443, 0.00512652, 0.00512235", \ + "0.00694197, 0.00674492, 0.00674517, 0.00666399, 0.00668685, 0.00654499, 0.00638259" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00230954; + rise_capacitance : 0.00232466; + rise_capacitance_range (0.00232466, 0.00232466); + fall_capacitance : 0.00229441; + fall_capacitance_range (0.00229441, 0.00229441); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0021504; + rise_capacitance : 0.00219185; + rise_capacitance_range (0.00219185, 0.00219185); + fall_capacitance : 0.00210896; + fall_capacitance_range (0.00210896, 0.00210896); + } + } + cell (sg13g2_or2_2) { + area : 10.8864; + cell_footprint : "or2"; + cell_leakage_power : 743.361; + leakage_power () { + value : 1137.65; + when : "!A&!B"; + } + leakage_power () { + value : 743.404; + when : "A&!B"; + } + leakage_power () { + value : 633.959; + when : "!A&B"; + } + leakage_power () { + value : 458.432; + when : "A&B"; + } + pin (X) { + direction : "output"; + function : "(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.101526, 0.213051, 0.281275, 0.393416, 0.581096, 0.892973, 1.41186", \ + "0.148647, 0.260424, 0.328696, 0.44086, 0.628165, 0.940113, 1.45979", \ + "0.186597, 0.299947, 0.368033, 0.480371, 0.667642, 0.979441, 1.4989", \ + "0.245159, 0.362793, 0.430746, 0.542815, 0.729853, 1.04178, 1.56141", \ + "0.32908, 0.457225, 0.524666, 0.63646, 0.82291, 1.13467, 1.65348", \ + "0.443141, 0.591803, 0.658753, 0.769765, 0.955516, 1.26593, 1.78421", \ + "0.596871, 0.779249, 0.849217, 0.959881, 1.14456, 1.45489, 1.97099" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.027398, 0.162084, 0.258422, 0.418296, 0.68603, 1.13249, 1.87755", \ + "0.0291017, 0.16232, 0.258423, 0.418297, 0.686212, 1.1325, 1.87756", \ + "0.0330398, 0.162887, 0.258814, 0.418298, 0.68726, 1.13282, 1.87757", \ + "0.0410772, 0.16525, 0.259961, 0.418969, 0.687261, 1.13633, 1.87758", \ + "0.0558089, 0.170998, 0.263021, 0.420486, 0.687423, 1.13634, 1.87759", \ + "0.080085, 0.186884, 0.271854, 0.42533, 0.690221, 1.13635, 1.87764", \ + "0.115934, 0.219909, 0.294068, 0.438248, 0.696469, 1.13933, 1.88069" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.182971, 0.307997, 0.369788, 0.46703, 0.627259, 0.8929, 1.33523", \ + "0.217969, 0.343396, 0.404926, 0.502463, 0.662599, 0.928478, 1.37102", \ + "0.248998, 0.374694, 0.436747, 0.534111, 0.694389, 0.960212, 1.40248", \ + "0.301674, 0.430462, 0.492507, 0.590179, 0.750551, 1.01638, 1.45869", \ + "0.379808, 0.516201, 0.579426, 0.677768, 0.838481, 1.10433, 1.54678", \ + "0.482445, 0.632422, 0.696948, 0.796364, 0.957972, 1.22152, 1.66316", \ + "0.621252, 0.794917, 0.863941, 0.965107, 1.12483, 1.3897, 1.83017" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0472376, 0.156887, 0.229847, 0.35354, 0.565297, 0.920797, 1.51514", \ + "0.0472386, 0.156888, 0.2302, 0.353541, 0.565298, 0.920817, 1.51515", \ + "0.0482214, 0.156981, 0.230201, 0.353677, 0.56553, 0.920818, 1.51516", \ + "0.0526979, 0.16008, 0.231932, 0.354827, 0.565954, 0.920819, 1.51558", \ + "0.0625628, 0.166968, 0.237312, 0.358675, 0.567607, 0.921835, 1.51606", \ + "0.080108, 0.182763, 0.249571, 0.367382, 0.573095, 0.924973, 1.51686", \ + "0.113702, 0.21101, 0.27303, 0.383586, 0.583727, 0.931264, 1.52106" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0951292, 0.205983, 0.273974, 0.386306, 0.573374, 0.885146, 1.40424", \ + "0.140986, 0.252115, 0.32028, 0.43234, 0.619571, 0.931203, 1.45077", \ + "0.176867, 0.289935, 0.357985, 0.470015, 0.657199, 0.969018, 1.4885", \ + "0.231337, 0.348682, 0.416536, 0.528211, 0.715448, 1.02727, 1.54692", \ + "0.308388, 0.436327, 0.503679, 0.614545, 0.800155, 1.11083, 1.62986", \ + "0.411689, 0.563566, 0.631721, 0.741154, 0.927526, 1.23616, 1.75394", \ + "0.549636, 0.73682, 0.808218, 0.915749, 1.10052, 1.41254, 1.92898" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0264094, 0.16158, 0.257935, 0.417834, 0.685811, 1.13216, 1.87701", \ + "0.0285974, 0.161855, 0.257971, 0.418065, 0.685812, 1.13246, 1.87702", \ + "0.0330119, 0.16256, 0.258254, 0.418066, 0.686849, 1.13247, 1.87703", \ + "0.0416006, 0.165359, 0.259604, 0.41856, 0.68685, 1.13248, 1.87704", \ + "0.0578972, 0.171565, 0.263248, 0.420653, 0.687109, 1.13277, 1.87705", \ + "0.083185, 0.187579, 0.273057, 0.42522, 0.689788, 1.13479, 1.87743", \ + "0.12092, 0.225593, 0.297976, 0.44035, 0.697919, 1.13902, 1.88125" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.174434, 0.299978, 0.361303, 0.458819, 0.618943, 0.884679, 1.32698", \ + "0.208809, 0.334277, 0.39582, 0.493227, 0.65378, 0.919685, 1.36187", \ + "0.244134, 0.369903, 0.431795, 0.529308, 0.689623, 0.957221, 1.39773", \ + "0.302902, 0.431619, 0.494025, 0.591758, 0.752258, 1.01825, 1.4603", \ + "0.387688, 0.525178, 0.587868, 0.686087, 0.84677, 1.11284, 1.55492", \ + "0.496701, 0.649014, 0.714343, 0.81174, 0.972851, 1.23822, 1.6806", \ + "0.643714, 0.821817, 0.889455, 0.988469, 1.14565, 1.40989, 1.85006" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0470207, 0.157058, 0.229794, 0.35347, 0.565369, 0.921376, 1.51493", \ + "0.0470217, 0.157059, 0.2298, 0.353724, 0.56537, 0.921377, 1.51502", \ + "0.0492663, 0.157393, 0.230166, 0.353734, 0.566555, 0.922602, 1.51503", \ + "0.0562987, 0.161188, 0.23274, 0.355332, 0.566556, 0.922603, 1.51552", \ + "0.0715425, 0.169456, 0.238938, 0.359725, 0.568827, 0.922604, 1.51602", \ + "0.094855, 0.187212, 0.253043, 0.368567, 0.574294, 0.926567, 1.51845", \ + "0.133354, 0.219369, 0.277489, 0.385366, 0.584773, 0.933073, 1.52368" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00794614, 0.00861031, 0.00863342, 0.00858979, 0.0084781, 0.00812992, 0.00830325", \ + "0.00777445, 0.00838392, 0.00865507, 0.00841006, 0.00828599, 0.00795474, 0.00812348", \ + "0.00774653, 0.00823831, 0.00832203, 0.00831273, 0.00826488, 0.00790548, 0.00798962", \ + "0.00779767, 0.00816488, 0.00817827, 0.00841392, 0.0081071, 0.00812, 0.00801355", \ + "0.00805739, 0.00818673, 0.00823341, 0.00818433, 0.00805753, 0.00852204, 0.00826006", \ + "0.00883637, 0.00860937, 0.00855349, 0.00861276, 0.00864361, 0.00807167, 0.00883305", \ + "0.0106167, 0.00974138, 0.00954847, 0.00970619, 0.00967127, 0.00981526, 0.00893785" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00884829, 0.00940504, 0.00942457, 0.00944222, 0.0093623, 0.00888449, 0.00837781", \ + "0.00875109, 0.00940906, 0.00953658, 0.009527, 0.00937926, 0.00910644, 0.00870283", \ + "0.00870352, 0.0092682, 0.00957699, 0.00942097, 0.00933833, 0.00906262, 0.00852245", \ + "0.00877036, 0.0091524, 0.00919577, 0.00935866, 0.00927654, 0.0089998, 0.00852601", \ + "0.00895771, 0.00912537, 0.00923884, 0.00924053, 0.00910307, 0.00977976, 0.0093882", \ + "0.00945202, 0.009324, 0.00925035, 0.00929658, 0.00957461, 0.00891904, 0.00903692", \ + "0.0111371, 0.0100066, 0.00993432, 0.0099602, 0.00976934, 0.00978757, 0.00892115" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00777064, 0.00851072, 0.00852488, 0.00851298, 0.00833139, 0.00797885, 0.00820112", \ + "0.00756382, 0.00822593, 0.00830667, 0.00824278, 0.00809591, 0.00808772, 0.00792232", \ + "0.0075072, 0.00807253, 0.0082233, 0.0080705, 0.00804887, 0.00770239, 0.00787592", \ + "0.00750307, 0.007881, 0.00789434, 0.00801573, 0.00784523, 0.00757148, 0.0077836", \ + "0.00774552, 0.00789509, 0.00797411, 0.0078307, 0.00763391, 0.00815171, 0.00746794", \ + "0.00858977, 0.00827894, 0.00834309, 0.00834419, 0.008437, 0.007675, 0.00799899", \ + "0.0104309, 0.00951288, 0.00933849, 0.00949613, 0.00964559, 0.00956474, 0.00879073" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00779492, 0.00841857, 0.00840552, 0.00846553, 0.00835873, 0.00798898, 0.0074248", \ + "0.00761936, 0.00826431, 0.0083291, 0.008342, 0.00830756, 0.00800284, 0.00758668", \ + "0.00760224, 0.00810775, 0.00838412, 0.00825677, 0.00831146, 0.00821748, 0.00741832", \ + "0.00777892, 0.00798148, 0.00806079, 0.00822505, 0.00828035, 0.00802456, 0.00742181", \ + "0.00817657, 0.00818112, 0.00826028, 0.00819219, 0.00814506, 0.00843964, 0.0075304", \ + "0.00910559, 0.00851637, 0.00864978, 0.00857188, 0.00867219, 0.00831208, 0.00852557", \ + "0.0111373, 0.00963196, 0.00955339, 0.00961786, 0.0092812, 0.00933122, 0.00851908" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00230736; + rise_capacitance : 0.00232137; + rise_capacitance_range (0.00232137, 0.00232137); + fall_capacitance : 0.00229336; + fall_capacitance_range (0.00229336, 0.00229336); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00214936; + rise_capacitance : 0.00219408; + rise_capacitance_range (0.00219408, 0.00219408); + fall_capacitance : 0.00210464; + fall_capacitance_range (0.00210464, 0.00210464); + } + } + cell (sg13g2_or3_1) { + area : 12.7008; + cell_footprint : "or3"; + cell_leakage_power : 560.779; + leakage_power () { + value : 754.244; + when : "!A&!B&!C"; + } + leakage_power () { + value : 572.782; + when : "!A&!B&C"; + } + leakage_power () { + value : 703.482; + when : "!A&B&!C"; + } + leakage_power () { + value : 363.362; + when : "!A&B&C"; + } + leakage_power () { + value : 862.219; + when : "A&!B&!C"; + } + leakage_power () { + value : 366.982; + when : "A&!B&C"; + } + leakage_power () { + value : 535.843; + when : "A&B&!C"; + } + leakage_power () { + value : 327.316; + when : "A&B&C"; + } + pin (X) { + direction : "output"; + function : "(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.099133, 0.204721, 0.273025, 0.385545, 0.573002, 0.884663, 1.40388", \ + "0.145889, 0.251537, 0.319976, 0.432284, 0.620197, 0.931518, 1.45089", \ + "0.183411, 0.289924, 0.35847, 0.470787, 0.658067, 0.970681, 1.4895", \ + "0.241059, 0.350198, 0.418169, 0.530665, 0.717816, 1.03001, 1.54964", \ + "0.324028, 0.438734, 0.506677, 0.618579, 0.805503, 1.11724, 1.63618", \ + "0.437523, 0.568047, 0.635899, 0.747763, 0.934159, 1.2449, 1.76371", \ + "0.590317, 0.749464, 0.818491, 0.9299, 1.11711, 1.42627, 1.94586" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0270102, 0.161071, 0.257314, 0.417012, 0.6843, 1.13037, 1.87353", \ + "0.0281631, 0.161097, 0.257336, 0.417868, 0.684822, 1.13047, 1.87354", \ + "0.0310266, 0.161395, 0.257469, 0.417869, 0.684823, 1.13147, 1.87382", \ + "0.0370116, 0.162749, 0.258186, 0.41787, 0.684824, 1.13299, 1.87383", \ + "0.0485981, 0.166264, 0.260249, 0.418633, 0.685515, 1.133, 1.87384", \ + "0.066789, 0.175924, 0.265246, 0.421046, 0.687248, 1.13301, 1.87421", \ + "0.092919, 0.197107, 0.278721, 0.428617, 0.690895, 1.13541, 1.8769" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.204487, 0.324386, 0.385892, 0.483579, 0.644265, 0.910602, 1.3545", \ + "0.236702, 0.356837, 0.418643, 0.516352, 0.676957, 0.943644, 1.3873", \ + "0.263322, 0.38345, 0.445896, 0.543462, 0.704059, 0.970499, 1.41407", \ + "0.30641, 0.429223, 0.491753, 0.589706, 0.75045, 1.01715, 1.46066", \ + "0.367521, 0.49714, 0.560732, 0.659584, 0.821191, 1.08781, 1.53173", \ + "0.442753, 0.584029, 0.649633, 0.750245, 0.91242, 1.17953, 1.62359", \ + "0.529685, 0.697638, 0.769107, 0.871767, 1.03494, 1.30096, 1.74524" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0450028, 0.153081, 0.22533, 0.34869, 0.560024, 0.916675, 1.51253", \ + "0.0450038, 0.153082, 0.225331, 0.348691, 0.560039, 0.916816, 1.51254", \ + "0.0454225, 0.153083, 0.22539, 0.348925, 0.560592, 0.916817, 1.51261", \ + "0.0482533, 0.155322, 0.227274, 0.349677, 0.560676, 0.916885, 1.51327", \ + "0.054015, 0.160824, 0.23161, 0.353313, 0.562654, 0.917734, 1.51377", \ + "0.066188, 0.172792, 0.241358, 0.359978, 0.567186, 0.920615, 1.51449", \ + "0.09151, 0.198185, 0.263463, 0.375073, 0.575827, 0.925224, 1.51779" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0942063, 0.198246, 0.266133, 0.37833, 0.565122, 0.87668, 1.39561", \ + "0.139408, 0.243734, 0.311851, 0.42371, 0.610794, 0.922331, 1.44166", \ + "0.174627, 0.279924, 0.348105, 0.460352, 0.647598, 0.959177, 1.47817", \ + "0.22802, 0.335908, 0.403765, 0.515821, 0.703004, 1.01454, 1.53369", \ + "0.303697, 0.419121, 0.486672, 0.598309, 0.785145, 1.09655, 1.61585", \ + "0.406631, 0.538257, 0.604471, 0.716172, 0.902888, 1.21301, 1.73221", \ + "0.536722, 0.700073, 0.769824, 0.880829, 1.06784, 1.3779, 1.89642" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0255445, 0.159839, 0.256147, 0.415953, 0.683619, 1.12954, 1.8728", \ + "0.0270513, 0.159945, 0.256261, 0.416414, 0.68362, 1.12958, 1.87281", \ + "0.0302534, 0.160446, 0.256466, 0.416415, 0.68378, 1.12974, 1.87282", \ + "0.0365872, 0.161919, 0.257324, 0.416633, 0.683894, 1.13038, 1.87283", \ + "0.0490201, 0.165542, 0.259378, 0.417785, 0.684661, 1.13039, 1.87284", \ + "0.067638, 0.176658, 0.264681, 0.420322, 0.686448, 1.13154, 1.87379", \ + "0.095107, 0.199941, 0.280125, 0.428685, 0.690533, 1.13466, 1.876" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.197056, 0.316981, 0.378547, 0.476256, 0.636774, 0.903217, 1.34695", \ + "0.227884, 0.347533, 0.409735, 0.507413, 0.668146, 0.935008, 1.37824", \ + "0.25595, 0.376335, 0.438573, 0.536334, 0.696997, 0.963383, 1.40696", \ + "0.302272, 0.426098, 0.48888, 0.587099, 0.747878, 1.0146, 1.45799", \ + "0.368644, 0.499919, 0.563755, 0.663111, 0.824951, 1.09198, 1.53603", \ + "0.451025, 0.594012, 0.660495, 0.759731, 0.921536, 1.18986, 1.63446", \ + "0.557007, 0.726008, 0.795254, 0.896372, 1.05895, 1.32532, 1.7692" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0449548, 0.153015, 0.2253, 0.348617, 0.560321, 0.916597, 1.51253", \ + "0.0449558, 0.153016, 0.225564, 0.348618, 0.560471, 0.916754, 1.51262", \ + "0.0458918, 0.153106, 0.225565, 0.348957, 0.56055, 0.917015, 1.51263", \ + "0.0498475, 0.156437, 0.228068, 0.350403, 0.560909, 0.918179, 1.51305", \ + "0.057681, 0.162379, 0.23295, 0.354711, 0.564129, 0.919137, 1.51327", \ + "0.073419, 0.174571, 0.243226, 0.361141, 0.568564, 0.922201, 1.51602", \ + "0.100284, 0.199774, 0.264366, 0.374475, 0.575964, 0.926547, 1.51973" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0857282, 0.188942, 0.257077, 0.368635, 0.55533, 0.866682, 1.38558", \ + "0.129055, 0.232713, 0.300707, 0.412468, 0.599763, 0.910778, 1.42931", \ + "0.161496, 0.266254, 0.334339, 0.446322, 0.633306, 0.944678, 1.46366", \ + "0.209902, 0.317495, 0.385085, 0.497213, 0.684074, 0.995187, 1.51405", \ + "0.275508, 0.392696, 0.460174, 0.571127, 0.75741, 1.06831, 1.58702", \ + "0.364438, 0.499726, 0.567846, 0.678271, 0.864409, 1.17514, 1.69337", \ + "0.480035, 0.648443, 0.719561, 0.830549, 1.01621, 1.32603, 1.84361" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0245287, 0.159157, 0.255987, 0.415505, 0.683222, 1.12877, 1.87296", \ + "0.0267229, 0.159372, 0.255988, 0.416261, 0.683389, 1.1291, 1.87297", \ + "0.0303641, 0.159996, 0.255989, 0.416262, 0.68339, 1.12968, 1.87298", \ + "0.0374709, 0.161618, 0.257073, 0.416263, 0.68352, 1.13016, 1.87299", \ + "0.051091, 0.166187, 0.259116, 0.417662, 0.684276, 1.13017, 1.873", \ + "0.070705, 0.177404, 0.265768, 0.420313, 0.68625, 1.13118, 1.87335", \ + "0.098767, 0.205309, 0.284024, 0.430554, 0.691401, 1.13429, 1.87575" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.176748, 0.296514, 0.358685, 0.456404, 0.616871, 0.88327, 1.32662", \ + "0.204677, 0.324695, 0.386474, 0.484265, 0.644993, 0.911482, 1.35509", \ + "0.234501, 0.355234, 0.417504, 0.515282, 0.675933, 0.942443, 1.38599", \ + "0.283392, 0.406591, 0.469768, 0.568116, 0.728936, 0.995569, 1.43932", \ + "0.352447, 0.481921, 0.545288, 0.644695, 0.806694, 1.07425, 1.5189", \ + "0.438421, 0.578803, 0.643065, 0.742503, 0.903664, 1.17171, 1.61611", \ + "0.548886, 0.713563, 0.780293, 0.881213, 1.04161, 1.30536, 1.74997" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0448577, 0.152759, 0.225143, 0.348829, 0.560044, 0.916663, 1.51276", \ + "0.0448623, 0.15276, 0.225144, 0.34883, 0.560045, 0.916687, 1.51277", \ + "0.0465705, 0.15359, 0.225921, 0.349005, 0.56138, 0.916688, 1.51278", \ + "0.0516047, 0.157112, 0.229062, 0.351303, 0.561941, 0.919766, 1.51371", \ + "0.0623026, 0.163248, 0.234026, 0.355946, 0.565519, 0.920228, 1.51372", \ + "0.079822, 0.173788, 0.241569, 0.361309, 0.570165, 0.924463, 1.51819", \ + "0.108219, 0.199196, 0.259039, 0.372781, 0.575254, 0.928054, 1.52255" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00528891, 0.00556853, 0.00556891, 0.00556783, 0.00547661, 0.00534093, 0.00540631", \ + "0.00510427, 0.00537933, 0.00545004, 0.0054163, 0.0053356, 0.00517409, 0.00523399", \ + "0.00503988, 0.00527106, 0.00538361, 0.00528228, 0.00522704, 0.0051541, 0.00518868", \ + "0.00504399, 0.0052213, 0.00519966, 0.00532056, 0.00514149, 0.00513795, 0.0051128", \ + "0.00520961, 0.00524828, 0.00528265, 0.00521072, 0.00516198, 0.00528626, 0.00503869", \ + "0.00572527, 0.00568494, 0.00567234, 0.00568507, 0.00567585, 0.00535184, 0.00566505", \ + "0.00707649, 0.00690948, 0.00681606, 0.00686774, 0.0068912, 0.00680215, 0.00652337" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00835372, 0.0086899, 0.00865176, 0.00863503, 0.00859607, 0.00840885, 0.00821989", \ + "0.00824386, 0.00861273, 0.00862695, 0.00862588, 0.00858267, 0.00846883, 0.0082338", \ + "0.00818705, 0.00852134, 0.00865115, 0.00862834, 0.0085533, 0.00840969, 0.00818148", \ + "0.0081949, 0.00844569, 0.00847519, 0.00855062, 0.00853596, 0.00833534, 0.00811878", \ + "0.00819301, 0.00843041, 0.0084895, 0.00849091, 0.00845697, 0.00868705, 0.00842116", \ + "0.0083547, 0.00849755, 0.00849435, 0.0085442, 0.00862451, 0.00840681, 0.00846699", \ + "0.00929482, 0.00912682, 0.00916962, 0.00913559, 0.00910755, 0.00912977, 0.00897739" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0050256, 0.00533786, 0.00533933, 0.00532892, 0.00522952, 0.00521495, 0.00512541", \ + "0.0048062, 0.00510231, 0.00516363, 0.00511904, 0.00502961, 0.00486827, 0.00496178", \ + "0.00472165, 0.00497612, 0.00506871, 0.00500363, 0.00493771, 0.00480362, 0.0048757", \ + "0.00468655, 0.00487011, 0.00486586, 0.00496974, 0.00481949, 0.00473367, 0.00471752", \ + "0.00482688, 0.00494176, 0.00498238, 0.00491848, 0.00486332, 0.00516207, 0.0047372", \ + "0.00538407, 0.00543064, 0.00535209, 0.00540942, 0.00543472, 0.00511483, 0.0054433", \ + "0.00672769, 0.00658858, 0.00650519, 0.00657156, 0.00661803, 0.00658602, 0.0063281" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00714611, 0.00749346, 0.00746357, 0.00745829, 0.00741653, 0.00726188, 0.00701693", \ + "0.007051, 0.00737622, 0.00743121, 0.0074196, 0.00740062, 0.00727315, 0.00702792", \ + "0.00699093, 0.00731358, 0.0074386, 0.00738046, 0.00734761, 0.00719558, 0.00696406", \ + "0.00700606, 0.00721721, 0.00724776, 0.00732438, 0.00723993, 0.00723945, 0.00689895", \ + "0.00704517, 0.00723616, 0.00729704, 0.00730206, 0.00727849, 0.00727895, 0.00725764", \ + "0.00744721, 0.00743336, 0.00747691, 0.00743369, 0.00747081, 0.0072369, 0.0074154", \ + "0.00848906, 0.00823827, 0.0082957, 0.00825306, 0.00822199, 0.00823699, 0.00789221" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00483229, 0.00517263, 0.00519616, 0.00514356, 0.00503949, 0.00485292, 0.00497118", \ + "0.00457824, 0.0049323, 0.00493146, 0.00492866, 0.00482687, 0.00464502, 0.00468474", \ + "0.0044982, 0.00476223, 0.00482168, 0.00482618, 0.00472453, 0.00456829, 0.0046474", \ + "0.00445354, 0.00464545, 0.00463117, 0.00473491, 0.00461903, 0.00445537, 0.00440806", \ + "0.00462374, 0.00477313, 0.00481296, 0.00472421, 0.00465972, 0.00457261, 0.00457242", \ + "0.00520699, 0.00520633, 0.00525804, 0.0052905, 0.00529193, 0.00498091, 0.00537474", \ + "0.00665776, 0.00652673, 0.00653671, 0.00655011, 0.00655286, 0.00656924, 0.00629532" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00581492, 0.00612573, 0.00618157, 0.00618315, 0.0060934, 0.00594183, 0.00567456", \ + "0.00563262, 0.00597933, 0.00600663, 0.00602004, 0.00599014, 0.00588243, 0.0056343", \ + "0.00559163, 0.00588585, 0.00601431, 0.00594458, 0.00596079, 0.00579495, 0.00556445", \ + "0.00568479, 0.00586041, 0.00589423, 0.00596201, 0.00589756, 0.0059042, 0.00553355", \ + "0.00603659, 0.00611796, 0.00616957, 0.00618994, 0.00611858, 0.00640423, 0.00606545", \ + "0.00655893, 0.00651829, 0.00650415, 0.00654903, 0.00652748, 0.00625982, 0.00643729", \ + "0.00795034, 0.00775737, 0.00765194, 0.00770083, 0.00764175, 0.00746311, 0.00711619" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00240209; + rise_capacitance : 0.0024467; + rise_capacitance_range (0.0024467, 0.0024467); + fall_capacitance : 0.00235748; + fall_capacitance_range (0.00235748, 0.00235748); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00235124; + rise_capacitance : 0.00240359; + rise_capacitance_range (0.00240359, 0.00240359); + fall_capacitance : 0.00229889; + fall_capacitance_range (0.00229889, 0.00229889); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00225812; + rise_capacitance : 0.00233486; + rise_capacitance_range (0.00233486, 0.00233486); + fall_capacitance : 0.00218137; + fall_capacitance_range (0.00218137, 0.00218137); + } + } + cell (sg13g2_or3_2) { + area : 14.5152; + cell_footprint : "or3"; + cell_leakage_power : 738.678; + leakage_power () { + value : 1231.98; + when : "!A&!B&!C"; + } + leakage_power () { + value : 707.848; + when : "!A&!B&C"; + } + leakage_power () { + value : 838.549; + when : "!A&B&!C"; + } + leakage_power () { + value : 498.427; + when : "!A&B&C"; + } + leakage_power () { + value : 997.287; + when : "A&!B&!C"; + } + leakage_power () { + value : 502.047; + when : "A&!B&C"; + } + leakage_power () { + value : 670.908; + when : "A&B&!C"; + } + leakage_power () { + value : 462.38; + when : "A&B&C"; + } + pin (X) { + direction : "output"; + function : "(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.115373, 0.230336, 0.298995, 0.41159, 0.599401, 0.911373, 1.43093", \ + "0.16383, 0.279008, 0.347632, 0.460027, 0.647682, 0.960567, 1.47909", \ + "0.204742, 0.321184, 0.389816, 0.502334, 0.690224, 1.00218, 1.52173", \ + "0.269388, 0.390428, 0.458842, 0.571284, 0.758913, 1.07099, 1.59059", \ + "0.363652, 0.494906, 0.562599, 0.674674, 0.861424, 1.17306, 1.69227", \ + "0.49853, 0.648122, 0.71579, 0.827267, 1.0137, 1.32384, 1.84255", \ + "0.677126, 0.862583, 0.93456, 1.04592, 1.23116, 1.54002, 2.05749" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0298533, 0.16324, 0.25932, 0.418736, 0.686302, 1.1325, 1.87629", \ + "0.030869, 0.163385, 0.259321, 0.418801, 0.686356, 1.13345, 1.8763", \ + "0.0342943, 0.163918, 0.259387, 0.418816, 0.687479, 1.13346, 1.87631", \ + "0.0419564, 0.166045, 0.260649, 0.419299, 0.68748, 1.13463, 1.87633", \ + "0.0546551, 0.172547, 0.263853, 0.420868, 0.687528, 1.13464, 1.87634", \ + "0.076896, 0.1857, 0.271816, 0.425268, 0.690081, 1.13492, 1.87743", \ + "0.111373, 0.218849, 0.294506, 0.437822, 0.696929, 1.13877, 1.88033" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.25582, 0.40061, 0.467556, 0.567894, 0.729824, 0.997275, 1.44061", \ + "0.28799, 0.43337, 0.499588, 0.600234, 0.762532, 1.02967, 1.47343", \ + "0.315288, 0.460986, 0.527562, 0.627988, 0.790234, 1.05774, 1.50081", \ + "0.361785, 0.508923, 0.575469, 0.676397, 0.839026, 1.10584, 1.54972", \ + "0.431432, 0.584314, 0.651906, 0.754055, 0.91698, 1.18409, 1.62775", \ + "0.523593, 0.689264, 0.759094, 0.864157, 1.02698, 1.29528, 1.73854", \ + "0.643233, 0.831159, 0.905204, 1.01208, 1.17617, 1.44252, 1.88601" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0591461, 0.174862, 0.246615, 0.367116, 0.575322, 0.928958, 1.52368", \ + "0.0591471, 0.174863, 0.246616, 0.367117, 0.575422, 0.929701, 1.52369", \ + "0.0594764, 0.174864, 0.246617, 0.367118, 0.575423, 0.929991, 1.5238", \ + "0.0616989, 0.17589, 0.24699, 0.36793, 0.576077, 0.931727, 1.52501", \ + "0.0685492, 0.182226, 0.252121, 0.371709, 0.577818, 0.931728, 1.52502", \ + "0.081228, 0.195541, 0.263501, 0.380768, 0.584078, 0.933936, 1.52553", \ + "0.109428, 0.221277, 0.286784, 0.397851, 0.596635, 0.941652, 1.53069" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.109928, 0.223312, 0.291606, 0.403765, 0.591066, 0.902838, 1.42209", \ + "0.157625, 0.271433, 0.339634, 0.451836, 0.639094, 0.95089, 1.47094", \ + "0.196764, 0.312132, 0.380483, 0.492765, 0.679986, 0.992168, 1.51098", \ + "0.257668, 0.378173, 0.446298, 0.558354, 0.74557, 1.05743, 1.57677", \ + "0.346735, 0.477778, 0.544819, 0.65682, 0.843417, 1.15481, 1.67339", \ + "0.467313, 0.620534, 0.687655, 0.799229, 0.98505, 1.2953, 1.81374", \ + "0.630888, 0.819783, 0.893738, 1.00435, 1.18844, 1.49652, 2.01277" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0283447, 0.162121, 0.258143, 0.417906, 0.6854, 1.13147, 1.87611", \ + "0.0298639, 0.162197, 0.258144, 0.417907, 0.685579, 1.13179, 1.87625", \ + "0.0335151, 0.162979, 0.258427, 0.418056, 0.68851, 1.13212, 1.87626", \ + "0.0413239, 0.165224, 0.259665, 0.418548, 0.688511, 1.13213, 1.87627", \ + "0.0550188, 0.172024, 0.263145, 0.420226, 0.688512, 1.13227, 1.8763", \ + "0.07881, 0.187283, 0.272012, 0.424732, 0.689514, 1.13429, 1.87695", \ + "0.114156, 0.222003, 0.296475, 0.438313, 0.696665, 1.13798, 1.87939" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.24839, 0.393691, 0.460137, 0.560508, 0.722295, 0.989627, 1.43263", \ + "0.279149, 0.424594, 0.490485, 0.591393, 0.753904, 1.02082, 1.4645", \ + "0.308637, 0.454033, 0.520898, 0.621392, 0.783682, 1.05124, 1.49394", \ + "0.360676, 0.508515, 0.575189, 0.676298, 0.838938, 1.10572, 1.54967", \ + "0.440504, 0.594607, 0.662711, 0.764944, 0.928017, 1.19528, 1.63871", \ + "0.545421, 0.71415, 0.784697, 0.888859, 1.05199, 1.31975, 1.76404", \ + "0.687054, 0.87833, 0.95257, 1.0584, 1.22091, 1.48782, 1.93128" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0591793, 0.175106, 0.246617, 0.367063, 0.575269, 0.929716, 1.52368", \ + "0.0591803, 0.175107, 0.246618, 0.367064, 0.575539, 0.929717, 1.52369", \ + "0.0595609, 0.175108, 0.246619, 0.367065, 0.575827, 0.92975, 1.52383", \ + "0.0631019, 0.176652, 0.24763, 0.368231, 0.576235, 0.931287, 1.525", \ + "0.0725865, 0.183813, 0.253782, 0.372672, 0.579222, 0.931288, 1.52501", \ + "0.090369, 0.19915, 0.266834, 0.382649, 0.585262, 0.934872, 1.52748", \ + "0.122737, 0.227921, 0.289946, 0.399544, 0.597483, 0.942155, 1.53211" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.101673, 0.21431, 0.282259, 0.394521, 0.58156, 0.893224, 1.41227", \ + "0.148424, 0.261382, 0.329549, 0.441563, 0.628682, 0.940299, 1.45938", \ + "0.185544, 0.300453, 0.36857, 0.480548, 0.667719, 0.979252, 1.4985", \ + "0.24215, 0.361712, 0.429985, 0.541653, 0.728896, 1.04031, 1.55972", \ + "0.323431, 0.454796, 0.521857, 0.633, 0.818616, 1.12971, 1.6477", \ + "0.432413, 0.590344, 0.657489, 0.768252, 0.954451, 1.26398, 1.77873", \ + "0.57906, 0.773027, 0.846385, 0.956991, 1.14117, 1.45014, 1.96625" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0272745, 0.161388, 0.257614, 0.417393, 0.685013, 1.13121, 1.87601", \ + "0.0291763, 0.161738, 0.257633, 0.417476, 0.685274, 1.13128, 1.87602", \ + "0.0333282, 0.162444, 0.257963, 0.417536, 0.686304, 1.13146, 1.87603", \ + "0.0416372, 0.165356, 0.259312, 0.418145, 0.686305, 1.13544, 1.87604", \ + "0.0572189, 0.171996, 0.263348, 0.420081, 0.686893, 1.13545, 1.87605", \ + "0.081835, 0.188977, 0.27324, 0.425018, 0.689245, 1.13546, 1.87665", \ + "0.119175, 0.227759, 0.300657, 0.440939, 0.696978, 1.13795, 1.87961" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.228782, 0.373686, 0.440825, 0.541063, 0.703088, 0.970046, 1.41399", \ + "0.256499, 0.401994, 0.468221, 0.568754, 0.73105, 0.998641, 1.4418", \ + "0.288588, 0.433985, 0.500458, 0.601538, 0.763642, 1.03088, 1.47446", \ + "0.346063, 0.493443, 0.5602, 0.661471, 0.823918, 1.09115, 1.53526", \ + "0.432069, 0.585479, 0.653278, 0.755226, 0.918526, 1.18644, 1.6298", \ + "0.542996, 0.710815, 0.779912, 0.883518, 1.04656, 1.31471, 1.75891", \ + "0.691899, 0.883946, 0.956443, 1.05914, 1.22092, 1.4865, 1.92849" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0591302, 0.174563, 0.246134, 0.367023, 0.575231, 0.92965, 1.52362", \ + "0.0591312, 0.174564, 0.246135, 0.367024, 0.575232, 0.929651, 1.5237", \ + "0.0600222, 0.174565, 0.246136, 0.367025, 0.575635, 0.929652, 1.52396", \ + "0.065229, 0.177386, 0.248367, 0.368684, 0.576005, 0.929653, 1.52414", \ + "0.0789824, 0.185133, 0.254824, 0.373829, 0.57989, 0.932168, 1.52556", \ + "0.101901, 0.201402, 0.267759, 0.382973, 0.586643, 0.936623, 1.52813", \ + "0.137966, 0.232677, 0.291484, 0.399867, 0.59727, 0.943064, 1.53443" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00822693, 0.00884464, 0.00887787, 0.0088438, 0.00873798, 0.00838072, 0.00854674", \ + "0.00809486, 0.00866604, 0.00881074, 0.00867707, 0.00856001, 0.00841337, 0.00839257", \ + "0.0080713, 0.00853474, 0.00863998, 0.00855, 0.00858568, 0.0082104, 0.00835155", \ + "0.00816272, 0.00840983, 0.00847875, 0.00872323, 0.00847717, 0.00828401, 0.00831172", \ + "0.0083732, 0.0084754, 0.00853188, 0.00849162, 0.00840879, 0.00845805, 0.0086948", \ + "0.00914431, 0.00881162, 0.00876019, 0.00877554, 0.0087259, 0.00803586, 0.00896499", \ + "0.0109391, 0.00999485, 0.00991717, 0.00990273, 0.0100207, 0.00982677, 0.00894637" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0113782, 0.0115682, 0.0118166, 0.0116992, 0.0115138, 0.0114199, 0.0108454", \ + "0.0113307, 0.0116747, 0.0118003, 0.0118087, 0.0116741, 0.0115022, 0.011013", \ + "0.0112248, 0.0117395, 0.0118381, 0.0118245, 0.0118541, 0.0117246, 0.0110608", \ + "0.011275, 0.0114966, 0.0116045, 0.0117919, 0.0116718, 0.0116247, 0.0110129", \ + "0.0113879, 0.0114689, 0.0115235, 0.0114796, 0.0114672, 0.0114444, 0.011905", \ + "0.0117675, 0.0115246, 0.0116358, 0.0119115, 0.0118748, 0.0114535, 0.0118363", \ + "0.0128955, 0.0120031, 0.0119655, 0.012055, 0.0119928, 0.0118246, 0.0114679" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00795507, 0.00863636, 0.0086788, 0.00864442, 0.00846661, 0.00817429, 0.00833711", \ + "0.00780065, 0.00843866, 0.00860097, 0.00846486, 0.00832635, 0.00802302, 0.00832811", \ + "0.00775481, 0.00827374, 0.00836125, 0.00838417, 0.00852088, 0.00793651, 0.00807585", \ + "0.00776024, 0.00815324, 0.00818499, 0.00844166, 0.00807921, 0.00785725, 0.00800239", \ + "0.00803378, 0.00818972, 0.00820442, 0.00818571, 0.00812265, 0.00812383, 0.0083927", \ + "0.00878916, 0.00853474, 0.00846831, 0.00851867, 0.008491, 0.00792702, 0.00857767", \ + "0.0106127, 0.00974153, 0.00959684, 0.00962242, 0.00974048, 0.00957035, 0.00858533" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0101991, 0.0105133, 0.0106108, 0.010544, 0.0103257, 0.0101987, 0.00945103", \ + "0.0101288, 0.0104891, 0.0105474, 0.010608, 0.0105989, 0.0102219, 0.00981913", \ + "0.0100338, 0.010472, 0.0106172, 0.0106028, 0.0107157, 0.010478, 0.0097664", \ + "0.0100729, 0.010257, 0.0103741, 0.010592, 0.0104479, 0.0104111, 0.00977061", \ + "0.0102988, 0.0102259, 0.01034, 0.0102716, 0.010215, 0.010425, 0.0110877", \ + "0.0109336, 0.0104578, 0.0106903, 0.0108474, 0.0107971, 0.0103219, 0.0107662", \ + "0.0126433, 0.0113205, 0.0112434, 0.0112828, 0.0109937, 0.0109316, 0.0105324" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00782174, 0.00857002, 0.00856481, 0.00852182, 0.0083756, 0.00803016, 0.00826231", \ + "0.00762776, 0.00828598, 0.00857891, 0.00832412, 0.00818114, 0.00783869, 0.0080618", \ + "0.00755456, 0.00809943, 0.0083065, 0.00813768, 0.00813162, 0.00771601, 0.00781808", \ + "0.00753854, 0.0078862, 0.0079361, 0.00820206, 0.00791047, 0.0079135, 0.00777591", \ + "0.00778583, 0.00789874, 0.00796442, 0.00787721, 0.00773359, 0.00791322, 0.00770885", \ + "0.00860558, 0.0083853, 0.00830895, 0.00830956, 0.00838826, 0.00767537, 0.00837879", \ + "0.0105218, 0.00957131, 0.00949723, 0.00950739, 0.00953961, 0.00949724, 0.00873575" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00888912, 0.00914233, 0.0094731, 0.00928552, 0.00916272, 0.0089247, 0.00854189", \ + "0.00874641, 0.00911507, 0.0092208, 0.00924212, 0.00914891, 0.00892336, 0.00846362", \ + "0.00866126, 0.00901163, 0.00908401, 0.00916483, 0.00915343, 0.00902401, 0.00850582", \ + "0.0088219, 0.00887215, 0.00896712, 0.00918796, 0.00898798, 0.00884591, 0.00844232", \ + "0.00925937, 0.00905414, 0.00916768, 0.0090349, 0.00901152, 0.00913569, 0.00827963", \ + "0.010244, 0.00942174, 0.00957951, 0.00967027, 0.00971827, 0.00936369, 0.00940765", \ + "0.012135, 0.0106147, 0.0105883, 0.0105143, 0.0103857, 0.0102145, 0.00966843" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00240354; + rise_capacitance : 0.00244603; + rise_capacitance_range (0.00244603, 0.00244603); + fall_capacitance : 0.00236106; + fall_capacitance_range (0.00236106, 0.00236106); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0023514; + rise_capacitance : 0.00240368; + rise_capacitance_range (0.00240368, 0.00240368); + fall_capacitance : 0.00229913; + fall_capacitance_range (0.00229913, 0.00229913); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00225947; + rise_capacitance : 0.00234098; + rise_capacitance_range (0.00234098, 0.00234098); + fall_capacitance : 0.00217796; + fall_capacitance_range (0.00217796, 0.00217796); + } + } + cell (sg13g2_or4_1) { + area : 14.5152; + cell_footprint : "or4"; + cell_leakage_power : 547.899; + leakage_power () { + value : 846.205; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 578.345; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 709.028; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 368.924; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 867.716; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 372.494; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 541.336; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 332.821; + when : "!A&B&C&D"; + } + leakage_power () { + value : 1023.44; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 375.643; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 544.108; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 332.531; + when : "A&!B&C&D"; + } + leakage_power () { + value : 707.511; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 339.088; + when : "A&B&!C&D"; + } + leakage_power () { + value : 508.632; + when : "A&B&C&!D"; + } + leakage_power () { + value : 318.559; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.103823, 0.212157, 0.281206, 0.394399, 0.582591, 0.895218, 1.41565", \ + "0.152195, 0.25995, 0.32916, 0.442283, 0.630358, 0.943191, 1.46379", \ + "0.191678, 0.30019, 0.369138, 0.482152, 0.670487, 0.983998, 1.5041", \ + "0.253301, 0.364525, 0.433426, 0.546402, 0.734938, 1.04764, 1.56816", \ + "0.343141, 0.458706, 0.528282, 0.640608, 0.828602, 1.14121, 1.66139", \ + "0.468377, 0.599802, 0.667524, 0.780373, 0.967067, 1.27863, 1.79877", \ + "0.634134, 0.796913, 0.867343, 0.978398, 1.16532, 1.47742, 1.99626" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0288082, 0.163265, 0.259719, 0.419299, 0.686949, 1.13293, 1.87706", \ + "0.0295839, 0.163266, 0.25972, 0.4193, 0.68695, 1.13295, 1.87707", \ + "0.0322229, 0.163267, 0.259721, 0.419301, 0.687074, 1.13436, 1.87734", \ + "0.0381885, 0.164357, 0.260067, 0.41948, 0.687075, 1.13597, 1.87768", \ + "0.0486339, 0.167872, 0.261891, 0.420565, 0.687593, 1.13598, 1.87769", \ + "0.066686, 0.176739, 0.266701, 0.422899, 0.689575, 1.13599, 1.87799", \ + "0.093055, 0.197257, 0.28023, 0.429844, 0.693558, 1.1382, 1.88005" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.283813, 0.422028, 0.489014, 0.590175, 0.752543, 1.01983, 1.46347", \ + "0.314483, 0.45216, 0.519011, 0.620498, 0.783731, 1.0505, 1.49437", \ + "0.340509, 0.478931, 0.546017, 0.64702, 0.809489, 1.07681, 1.52033", \ + "0.383236, 0.522934, 0.589986, 0.691642, 0.854208, 1.12143, 1.56555", \ + "0.444665, 0.58925, 0.657305, 0.760167, 0.923307, 1.19094, 1.63479", \ + "0.520587, 0.675817, 0.746415, 0.852399, 1.01625, 1.2845, 1.72886", \ + "0.608009, 0.785773, 0.861355, 0.970103, 1.13852, 1.4066, 1.85174" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0567826, 0.171019, 0.242699, 0.362498, 0.569394, 0.923066, 1.51741", \ + "0.0567836, 0.17102, 0.2427, 0.362499, 0.571218, 0.923067, 1.51743", \ + "0.0567846, 0.171021, 0.242701, 0.36351, 0.571219, 0.923068, 1.51772", \ + "0.0584033, 0.171566, 0.242702, 0.363511, 0.57122, 0.923148, 1.51879", \ + "0.0630416, 0.177195, 0.247467, 0.366525, 0.572316, 0.924204, 1.5188", \ + "0.071588, 0.187708, 0.256846, 0.37457, 0.577615, 0.928036, 1.51985", \ + "0.093069, 0.211025, 0.277873, 0.390218, 0.589366, 0.9342, 1.52422" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.101795, 0.208011, 0.276569, 0.389211, 0.576861, 0.88907, 1.40918", \ + "0.148874, 0.255059, 0.323553, 0.436185, 0.625656, 0.93618, 1.45674", \ + "0.186682, 0.293573, 0.362202, 0.474739, 0.662692, 0.974869, 1.49493", \ + "0.245026, 0.354641, 0.423282, 0.53572, 0.72368, 1.03603, 1.55645", \ + "0.329559, 0.444918, 0.512765, 0.624782, 0.81218, 1.12446, 1.64434", \ + "0.442698, 0.574018, 0.642062, 0.75357, 0.940902, 1.25232, 1.77187", \ + "0.592623, 0.753711, 0.823764, 0.93549, 1.12176, 1.43239, 1.95218" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.027321, 0.161335, 0.257888, 0.417636, 0.685409, 1.13164, 1.87648", \ + "0.0284102, 0.161359, 0.257889, 0.417651, 0.687373, 1.13239, 1.87649", \ + "0.031206, 0.161674, 0.257969, 0.417681, 0.687374, 1.1324, 1.8765", \ + "0.0371995, 0.163057, 0.258645, 0.418151, 0.687375, 1.13536, 1.87651", \ + "0.0485347, 0.166413, 0.260655, 0.419391, 0.687376, 1.13537, 1.87651", \ + "0.067046, 0.176266, 0.26572, 0.421892, 0.688435, 1.13538, 1.87726", \ + "0.093823, 0.199167, 0.279555, 0.429707, 0.691989, 1.13688, 1.87995" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.276959, 0.415274, 0.482246, 0.582962, 0.745773, 1.01271, 1.45666", \ + "0.306032, 0.443995, 0.510698, 0.612087, 0.774855, 1.04197, 1.48585", \ + "0.332272, 0.470732, 0.538029, 0.639054, 0.801492, 1.06866, 1.51249", \ + "0.376131, 0.516397, 0.583937, 0.685425, 0.848173, 1.11544, 1.55961", \ + "0.44134, 0.587679, 0.656248, 0.759275, 0.923237, 1.1909, 1.63478", \ + "0.523771, 0.682496, 0.754357, 0.858721, 1.02403, 1.29271, 1.73788", \ + "0.626135, 0.810467, 0.885903, 0.9948, 1.16022, 1.42953, 1.87464" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0566636, 0.170764, 0.242729, 0.362304, 0.569471, 0.922715, 1.51744", \ + "0.0566706, 0.170765, 0.24273, 0.362305, 0.570647, 0.922998, 1.51745", \ + "0.0569785, 0.170766, 0.242731, 0.362306, 0.570648, 0.922999, 1.51751", \ + "0.0593301, 0.172097, 0.243547, 0.363342, 0.570649, 0.923111, 1.51803", \ + "0.0653974, 0.178924, 0.248955, 0.367894, 0.573132, 0.924922, 1.51888", \ + "0.077232, 0.191563, 0.260684, 0.37627, 0.579799, 0.928954, 1.52181", \ + "0.103246, 0.216849, 0.280777, 0.392877, 0.590226, 0.935619, 1.52668" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0959659, 0.20043, 0.26852, 0.380795, 0.56808, 0.879997, 1.39976", \ + "0.141991, 0.246662, 0.314947, 0.427012, 0.614509, 0.9265, 1.44636", \ + "0.177806, 0.283493, 0.351832, 0.464149, 0.651706, 0.963964, 1.48337", \ + "0.23193, 0.34017, 0.408405, 0.520948, 0.708199, 1.02034, 1.54018", \ + "0.307916, 0.423479, 0.491256, 0.60323, 0.790477, 1.10254, 1.62241", \ + "0.409011, 0.543568, 0.611288, 0.722175, 0.908318, 1.22014, 1.7395", \ + "0.540509, 0.705151, 0.775002, 0.886332, 1.07296, 1.38347, 1.90313" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0258531, 0.160096, 0.256616, 0.416641, 0.684633, 1.13111, 1.8751", \ + "0.0272895, 0.160265, 0.256692, 0.418463, 0.684634, 1.13133, 1.87553", \ + "0.0304245, 0.160645, 0.256902, 0.418464, 0.684954, 1.13187, 1.87554", \ + "0.0367397, 0.162219, 0.257782, 0.418465, 0.684955, 1.13491, 1.87555", \ + "0.049191, 0.165857, 0.259798, 0.418511, 0.685695, 1.13492, 1.8762", \ + "0.068313, 0.177223, 0.265479, 0.420723, 0.68747, 1.13493, 1.87691", \ + "0.095814, 0.201718, 0.281128, 0.429689, 0.691955, 1.13605, 1.87893" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.257012, 0.395395, 0.462207, 0.563221, 0.726171, 0.992686, 1.43729", \ + "0.283459, 0.421754, 0.488519, 0.58975, 0.75234, 1.01945, 1.46347", \ + "0.310393, 0.448782, 0.515757, 0.617357, 0.779636, 1.04758, 1.49065", \ + "0.357399, 0.498533, 0.565978, 0.668001, 0.83065, 1.09776, 1.54231", \ + "0.427792, 0.575025, 0.644054, 0.747013, 0.911217, 1.1793, 1.62357", \ + "0.517663, 0.677051, 0.747336, 0.850839, 1.01694, 1.28603, 1.73171", \ + "0.640272, 0.823585, 0.897655, 1.0045, 1.16966, 1.43879, 1.88411" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0567456, 0.170564, 0.242646, 0.362412, 0.569318, 0.923279, 1.51737", \ + "0.0567466, 0.170615, 0.242647, 0.362413, 0.569819, 0.92328, 1.51748", \ + "0.0573332, 0.170649, 0.242648, 0.362414, 0.570442, 0.923722, 1.51751", \ + "0.0603928, 0.173225, 0.243951, 0.363646, 0.570443, 0.923723, 1.51803", \ + "0.068332, 0.179808, 0.250015, 0.368945, 0.574504, 0.92563, 1.51874", \ + "0.083158, 0.192797, 0.261769, 0.377845, 0.580806, 0.930542, 1.5233", \ + "0.110126, 0.215816, 0.27967, 0.390775, 0.588993, 0.936027, 1.52901" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0871557, 0.190653, 0.258794, 0.370734, 0.55785, 0.869675, 1.38929", \ + "0.131262, 0.235294, 0.303385, 0.415409, 0.602762, 0.914402, 1.43393", \ + "0.164221, 0.269623, 0.337708, 0.449807, 0.637352, 0.949079, 1.46899", \ + "0.213425, 0.321914, 0.389249, 0.501465, 0.688376, 1.00025, 1.52037", \ + "0.280406, 0.397686, 0.465177, 0.576448, 0.763301, 1.0747, 1.59474", \ + "0.369797, 0.506903, 0.574158, 0.685248, 0.871617, 1.18242, 1.70184", \ + "0.477997, 0.648872, 0.719923, 0.832196, 1.01768, 1.32819, 1.84711" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0248127, 0.159508, 0.25618, 0.416277, 0.684017, 1.13119, 1.87591", \ + "0.0268953, 0.159677, 0.256181, 0.416342, 0.684811, 1.1312, 1.87592", \ + "0.0305592, 0.160241, 0.256488, 0.416343, 0.684812, 1.13147, 1.87593", \ + "0.037642, 0.161959, 0.257428, 0.416754, 0.684813, 1.1335, 1.87594", \ + "0.0511742, 0.166431, 0.259564, 0.418171, 0.685401, 1.13351, 1.87595", \ + "0.070881, 0.179147, 0.266217, 0.421267, 0.687295, 1.13352, 1.87652", \ + "0.10029, 0.207412, 0.28464, 0.431639, 0.692555, 1.13644, 1.87858" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.221858, 0.359862, 0.427096, 0.528376, 0.690536, 0.957883, 1.40142", \ + "0.244711, 0.382842, 0.450188, 0.551125, 0.713834, 0.98116, 1.42484", \ + "0.273541, 0.41206, 0.479064, 0.58013, 0.742808, 1.00989, 1.45433", \ + "0.324015, 0.463845, 0.531553, 0.633629, 0.796631, 1.0638, 1.50767", \ + "0.399139, 0.542735, 0.611246, 0.714406, 0.879176, 1.14757, 1.59244", \ + "0.494157, 0.647335, 0.716891, 0.819634, 0.98455, 1.25517, 1.70074", \ + "0.623072, 0.798629, 0.869314, 0.973043, 1.13724, 1.4014, 1.84555" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0568063, 0.170432, 0.242647, 0.362131, 0.569404, 0.923401, 1.51751", \ + "0.0568073, 0.170535, 0.242648, 0.362362, 0.569562, 0.923744, 1.51752", \ + "0.0572939, 0.17082, 0.242649, 0.362415, 0.569614, 0.923745, 1.51879", \ + "0.0609768, 0.173843, 0.244733, 0.364118, 0.571039, 0.923746, 1.5188", \ + "0.0709983, 0.179061, 0.249888, 0.369854, 0.575678, 0.926598, 1.519", \ + "0.088114, 0.19012, 0.259434, 0.376226, 0.581538, 0.933173, 1.52481", \ + "0.116439, 0.212348, 0.274423, 0.385818, 0.58759, 0.937601, 1.53066" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00564528, 0.00591202, 0.00592256, 0.00590443, 0.00583467, 0.00568075, 0.00576328", \ + "0.00554553, 0.00579223, 0.00593703, 0.00580434, 0.00573851, 0.00557844, 0.00565517", \ + "0.00550325, 0.00572409, 0.0058207, 0.00574985, 0.00569302, 0.00561087, 0.00559689", \ + "0.00551115, 0.00569236, 0.00569028, 0.00581495, 0.00567118, 0.00564616, 0.00552437", \ + "0.00565462, 0.0056784, 0.00575213, 0.00569425, 0.00561862, 0.00557011, 0.00572489", \ + "0.0061428, 0.0061001, 0.00607047, 0.00607721, 0.00604585, 0.00572139, 0.00613737", \ + "0.00736031, 0.0072207, 0.00718794, 0.00715826, 0.00711404, 0.00712337, 0.00666654" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00922966, 0.0095047, 0.0095533, 0.0095052, 0.009509, 0.00942868, 0.00912792", \ + "0.0091586, 0.00933534, 0.00938078, 0.00940891, 0.00933637, 0.00927678, 0.00904716", \ + "0.00906956, 0.00940261, 0.00945813, 0.00951072, 0.00943462, 0.00930532, 0.00901408", \ + "0.00902483, 0.00925144, 0.0093003, 0.00943015, 0.00932853, 0.00919299, 0.00896245", \ + "0.00907991, 0.00925489, 0.00928926, 0.00925269, 0.00921807, 0.00929783, 0.00913585", \ + "0.00922592, 0.00929908, 0.00935704, 0.00949568, 0.00950318, 0.00923667, 0.00944879", \ + "0.00978831, 0.00963221, 0.00962411, 0.00967431, 0.00971821, 0.00972191, 0.00954041" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00537667, 0.00567038, 0.00569071, 0.00566887, 0.00560015, 0.00542554, 0.00551703", \ + "0.0052574, 0.00554578, 0.00566577, 0.00555791, 0.00560931, 0.00535211, 0.00544029", \ + "0.00517928, 0.00541894, 0.00553927, 0.00544184, 0.00545952, 0.00524613, 0.00530246", \ + "0.00513885, 0.00533805, 0.00535137, 0.00546635, 0.00532264, 0.00531874, 0.00528283", \ + "0.00526326, 0.00534233, 0.00537063, 0.00530294, 0.0052441, 0.00550198, 0.0052629", \ + "0.00572775, 0.00566696, 0.00568399, 0.00567799, 0.00569287, 0.00535714, 0.00567171", \ + "0.00696676, 0.00682088, 0.00672952, 0.00677313, 0.00674869, 0.00670603, 0.00639671" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00860805, 0.00889702, 0.00891379, 0.00885728, 0.00884608, 0.00870686, 0.00843886", \ + "0.00848983, 0.00872345, 0.00875712, 0.00874357, 0.00871784, 0.00861695, 0.0084008", \ + "0.00842906, 0.00873791, 0.0087914, 0.00877848, 0.00878126, 0.00868575, 0.00842694", \ + "0.00841177, 0.00860018, 0.00866903, 0.00877854, 0.0086857, 0.00853946, 0.00834859", \ + "0.00845999, 0.00860026, 0.00863747, 0.00858653, 0.00860063, 0.00887279, 0.00894464", \ + "0.0086162, 0.00866815, 0.00878298, 0.00879452, 0.0088589, 0.00859353, 0.00884673", \ + "0.00938677, 0.009188, 0.00916193, 0.00925768, 0.0091477, 0.00922316, 0.00899977" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00465865, 0.00498093, 0.00498122, 0.00496252, 0.00487546, 0.00470327, 0.00477391", \ + "0.00449547, 0.00480919, 0.00482279, 0.00488497, 0.00473139, 0.00455769, 0.00463882", \ + "0.00441997, 0.00467097, 0.00476375, 0.00469185, 0.0046378, 0.00450149, 0.00450295", \ + "0.00436877, 0.00454743, 0.00455625, 0.00467315, 0.00451471, 0.00453082, 0.00442334", \ + "0.00446375, 0.0045633, 0.00459552, 0.00455802, 0.00451796, 0.00469345, 0.00453519", \ + "0.00494936, 0.00498717, 0.00495997, 0.00498822, 0.00496931, 0.00475149, 0.00499883", \ + "0.00623894, 0.00608667, 0.00599206, 0.00606212, 0.0060787, 0.00604135, 0.00571156" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.007511, 0.00781608, 0.00781631, 0.00778854, 0.00785824, 0.00762416, 0.00744468", \ + "0.00744409, 0.00768507, 0.00771966, 0.00773445, 0.00767693, 0.0075481, 0.00730304", \ + "0.00735791, 0.00765518, 0.00767089, 0.00771577, 0.00771063, 0.00763902, 0.00727941", \ + "0.00736029, 0.00754357, 0.00759021, 0.00769052, 0.00757674, 0.00745963, 0.00729825", \ + "0.0074343, 0.00755115, 0.00758755, 0.00754028, 0.0075481, 0.00778228, 0.00721076", \ + "0.00773573, 0.0076939, 0.00784071, 0.00781853, 0.0078665, 0.00761725, 0.00781126", \ + "0.00875499, 0.00844983, 0.00843584, 0.00850858, 0.00846534, 0.0084933, 0.00833033" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00379556, 0.00413463, 0.00414381, 0.0041113, 0.00401334, 0.0038527, 0.00392072", \ + "0.00359444, 0.00394187, 0.00395154, 0.00392214, 0.00385373, 0.00366485, 0.00373734", \ + "0.00352882, 0.00379434, 0.00386902, 0.0038436, 0.00377047, 0.00360192, 0.00367982", \ + "0.00348084, 0.00368424, 0.00365612, 0.00374405, 0.0036065, 0.00355317, 0.00351607", \ + "0.00359755, 0.00371978, 0.00376135, 0.00374185, 0.00366744, 0.00394547, 0.00360988", \ + "0.00413502, 0.00419473, 0.00419377, 0.00425548, 0.00420733, 0.00389874, 0.0041578", \ + "0.00548497, 0.00533585, 0.00528509, 0.00536141, 0.00535046, 0.00536035, 0.00506907" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00544772, 0.00571494, 0.0057362, 0.00580215, 0.00568037, 0.00563608, 0.00527613", \ + "0.00528271, 0.00552684, 0.00558439, 0.00558887, 0.00558353, 0.00544264, 0.00521626", \ + "0.00519863, 0.00547488, 0.00552711, 0.00562025, 0.00549378, 0.00541392, 0.00520388", \ + "0.00528147, 0.00540357, 0.00545902, 0.0055561, 0.00552433, 0.00535829, 0.00511953", \ + "0.00553581, 0.00558555, 0.00562469, 0.00559152, 0.00558761, 0.00572419, 0.00592563", \ + "0.00613474, 0.00602176, 0.00607012, 0.00600534, 0.00607043, 0.00586557, 0.00601376", \ + "0.00758804, 0.00713899, 0.00708677, 0.00706884, 0.00702698, 0.0068807, 0.0065294" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0023881; + rise_capacitance : 0.00244092; + rise_capacitance_range (0.00244092, 0.00244092); + fall_capacitance : 0.00233529; + fall_capacitance_range (0.00233529, 0.00233529); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.07783e-05, -1.24536e-05, -3.48993e-05, -5.40094e-05, -6.74806e-05, -7.89738e-05, -8.79531e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000519502, 0.00053124, 0.000533484, 0.00054325, 0.000531867, 0.000512024, 0.000524292" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.07783e-05, -1.24536e-05, -3.48993e-05, -5.40094e-05, -6.74806e-05, -7.89738e-05, -8.79531e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000519502, 0.00053124, 0.000533484, 0.00054325, 0.000531867, 0.000512024, 0.000524292" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00233077; + rise_capacitance : 0.00239309; + rise_capacitance_range (0.00239309, 0.00239309); + fall_capacitance : 0.00226846; + fall_capacitance_range (0.00226846, 0.00226846); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.91383e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-2.40347e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.91383e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-2.40347e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00203498; + rise_capacitance : 0.00199654; + rise_capacitance_range (0.00199654, 0.00199654); + fall_capacitance : 0.00207341; + fall_capacitance_range (0.00207341, 0.00207341); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000412715, 0.000414153, 0.00041366, 0.000414141, 0.000415837, 0.00041936, 0.000418222" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000131251, -0.000129446, -0.000129239, -0.000128347, -0.000128748, -0.000128494, -0.000125807" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000412715, 0.000414153, 0.00041366, 0.000414141, 0.000415837, 0.00041936, 0.000418222" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000131251, -0.000129446, -0.000129239, -0.000128347, -0.000128748, -0.000128494, -0.000125807" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00207331; + rise_capacitance : 0.00201963; + rise_capacitance_range (0.00201963, 0.00201963); + fall_capacitance : 0.00212699; + fall_capacitance_range (0.00212699, 0.00212699); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00103565, 0.00103436, 0.00103405, 0.00103457, 0.00103563, 0.00103822, 0.00103646" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000618093, 0.00062444, 0.000630699, 0.000636542, 0.000638999, 0.00063919, 0.000644085" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00103565, 0.00103436, 0.00103405, 0.00103457, 0.00103563, 0.00103822, 0.00103646" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000618093, 0.00062444, 0.000630699, 0.000636542, 0.000638999, 0.00063919, 0.000644085" \ + ); + } + } + } + } + cell (sg13g2_or4_2) { + area : 16.3296; + cell_footprint : "or4"; + cell_leakage_power : 704.293; + leakage_power () { + value : 1323.92; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 713.319; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 844.003; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 503.896; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 1002.69; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 507.466; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 676.308; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 467.792; + when : "!A&B&C&D"; + } + leakage_power () { + value : 1158.42; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 510.615; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 679.08; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 467.502; + when : "A&!B&C&D"; + } + leakage_power () { + value : 842.484; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 474.059; + when : "A&B&!C&D"; + } + leakage_power () { + value : 643.604; + when : "A&B&C&!D"; + } + leakage_power () { + value : 453.53; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.120268, 0.237924, 0.306889, 0.420299, 0.608702, 0.921546, 1.44158", \ + "0.169772, 0.287145, 0.356292, 0.469471, 0.657715, 0.971094, 1.49116", \ + "0.212201, 0.330689, 0.399809, 0.512824, 0.701364, 1.01393, 1.5342", \ + "0.280072, 0.402443, 0.471261, 0.584422, 0.772175, 1.08486, 1.60509", \ + "0.380292, 0.511696, 0.579823, 0.692001, 0.880239, 1.19243, 1.7126", \ + "0.522125, 0.672466, 0.740857, 0.852184, 1.03839, 1.34978, 1.8688", \ + "0.714289, 0.899082, 0.971776, 1.08284, 1.26763, 1.57786, 2.09627" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.031648, 0.165427, 0.261347, 0.420808, 0.688637, 1.13466, 1.87957", \ + "0.0321924, 0.165428, 0.261348, 0.420848, 0.688638, 1.13522, 1.87958", \ + "0.0355037, 0.165687, 0.261349, 0.420849, 0.688639, 1.13523, 1.87959", \ + "0.042798, 0.167636, 0.262026, 0.420943, 0.68864, 1.13524, 1.8796", \ + "0.054788, 0.173375, 0.265563, 0.422526, 0.689346, 1.1354, 1.87961", \ + "0.076705, 0.186256, 0.272791, 0.427057, 0.691734, 1.13691, 1.87992", \ + "0.110777, 0.218389, 0.294774, 0.438677, 0.697584, 1.14096, 1.88266" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.351287, 0.519129, 0.590818, 0.698403, 0.864056, 1.13271, 1.57706", \ + "0.382288, 0.549111, 0.622134, 0.728429, 0.895137, 1.1635, 1.60787", \ + "0.408213, 0.575687, 0.649004, 0.75598, 0.921385, 1.19058, 1.63498", \ + "0.452961, 0.621166, 0.693761, 0.800709, 0.966989, 1.23595, 1.68009", \ + "0.520171, 0.692229, 0.766037, 0.873782, 1.04062, 1.30954, 1.75399", \ + "0.60819, 0.791448, 0.867322, 0.977212, 1.14586, 1.4156, 1.86033", \ + "0.723208, 0.923839, 1.00445, 1.11875, 1.28986, 1.56097, 2.00582" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.075956, 0.199875, 0.271512, 0.390557, 0.594032, 0.942861, 1.53397", \ + "0.075957, 0.199876, 0.271657, 0.390558, 0.59406, 0.94291, 1.53494", \ + "0.075958, 0.199877, 0.271658, 0.390559, 0.594061, 0.943072, 1.53495", \ + "0.0771762, 0.199878, 0.271659, 0.39056, 0.594062, 0.943668, 1.53496", \ + "0.081761, 0.204676, 0.275575, 0.393391, 0.595728, 0.944415, 1.53531", \ + "0.092, 0.216346, 0.286466, 0.402222, 0.60204, 0.948408, 1.53625", \ + "0.114378, 0.240735, 0.308373, 0.421968, 0.617148, 0.957708, 1.54173" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.117644, 0.233105, 0.301822, 0.414476, 0.602556, 0.914699, 1.435", \ + "0.166355, 0.281941, 0.350652, 0.463255, 0.650936, 0.964408, 1.48383", \ + "0.207459, 0.324255, 0.392967, 0.505508, 0.693568, 1.00584, 1.52548", \ + "0.272453, 0.393775, 0.462371, 0.574931, 0.762532, 1.07495, 1.59487", \ + "0.367824, 0.498805, 0.567057, 0.678435, 0.865593, 1.17793, 1.69725", \ + "0.500228, 0.652045, 0.719997, 0.831026, 1.01735, 1.32729, 1.84663", \ + "0.678013, 0.865245, 0.938352, 1.04846, 1.23321, 1.54257, 2.06011" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0301387, 0.163695, 0.259632, 0.41932, 0.687037, 1.13374, 1.87808", \ + "0.0310325, 0.163697, 0.259633, 0.419321, 0.687062, 1.13454, 1.87874", \ + "0.0344343, 0.16423, 0.259798, 0.419407, 0.687837, 1.13455, 1.87875", \ + "0.0417411, 0.16637, 0.260714, 0.419948, 0.687838, 1.13511, 1.87876", \ + "0.054515, 0.172421, 0.264121, 0.421395, 0.688302, 1.13512, 1.87917", \ + "0.077277, 0.186694, 0.272223, 0.425794, 0.690804, 1.1359, 1.87923", \ + "0.111918, 0.21992, 0.29624, 0.438552, 0.696999, 1.13999, 1.88205" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.344758, 0.512349, 0.584308, 0.69097, 0.857541, 1.12594, 1.56996", \ + "0.373632, 0.541004, 0.613442, 0.72103, 0.886677, 1.15536, 1.59932", \ + "0.4002, 0.568162, 0.641, 0.747019, 0.913479, 1.18257, 1.62678", \ + "0.446807, 0.615578, 0.688598, 0.79516, 0.961676, 1.23041, 1.67457", \ + "0.52076, 0.69407, 0.76815, 0.876009, 1.04313, 1.31215, 1.75653", \ + "0.62118, 0.807392, 0.883444, 0.993648, 1.16246, 1.4326, 1.87786", \ + "0.75717, 0.963209, 1.04373, 1.15797, 1.32844, 1.60011, 2.04508" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0759739, 0.200028, 0.271106, 0.389894, 0.593816, 0.942754, 1.5347", \ + "0.0759749, 0.200029, 0.272011, 0.390321, 0.593871, 0.943196, 1.53471", \ + "0.0760207, 0.20003, 0.272012, 0.390322, 0.593872, 0.943197, 1.53471", \ + "0.0778018, 0.200159, 0.272013, 0.390473, 0.593873, 0.943703, 1.53472", \ + "0.08394, 0.206358, 0.276927, 0.394672, 0.596621, 0.944853, 1.53549", \ + "0.098103, 0.219849, 0.288242, 0.404177, 0.603735, 0.950199, 1.53784", \ + "0.126268, 0.247742, 0.313328, 0.424943, 0.619682, 0.958487, 1.54393" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.11141, 0.225021, 0.293478, 0.405743, 0.593144, 0.905377, 1.42545", \ + "0.159709, 0.273738, 0.342101, 0.454429, 0.641922, 0.953927, 1.47477", \ + "0.199244, 0.314922, 0.383264, 0.495557, 0.683179, 0.995424, 1.51539", \ + "0.260766, 0.381552, 0.449713, 0.561967, 0.749359, 1.06159, 1.58176", \ + "0.350322, 0.481629, 0.549194, 0.659308, 0.846871, 1.15813, 1.6777", \ + "0.472654, 0.625441, 0.693937, 0.804742, 0.990619, 1.30098, 1.82015", \ + "0.636375, 0.825496, 0.898282, 1.00876, 1.19414, 1.50303, 2.02139" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0286444, 0.162392, 0.258518, 0.418234, 0.686241, 1.13303, 1.87753", \ + "0.029983, 0.162504, 0.258561, 0.418286, 0.686242, 1.13304, 1.87754", \ + "0.0336173, 0.163279, 0.258783, 0.418441, 0.687371, 1.1335, 1.87763", \ + "0.0412339, 0.16552, 0.26003, 0.419005, 0.687372, 1.14147, 1.87817", \ + "0.0547932, 0.172248, 0.263498, 0.420891, 0.68756, 1.14148, 1.87826", \ + "0.078546, 0.186398, 0.272246, 0.425064, 0.690209, 1.14149, 1.87874", \ + "0.113882, 0.222663, 0.297082, 0.438946, 0.69709, 1.1415, 1.88205" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.324423, 0.492506, 0.564667, 0.671096, 0.837747, 1.1062, 1.55069", \ + "0.351168, 0.518334, 0.59117, 0.697885, 0.864122, 1.13302, 1.57728", \ + "0.378737, 0.546748, 0.61958, 0.726517, 0.892046, 1.16086, 1.60573", \ + "0.430435, 0.599307, 0.672146, 0.779169, 0.945688, 1.21457, 1.65871", \ + "0.513709, 0.688005, 0.761646, 0.870133, 1.03719, 1.30654, 1.75059", \ + "0.627862, 0.815229, 0.891785, 1.00098, 1.16935, 1.43963, 1.8852", \ + "0.785798, 0.993481, 1.07466, 1.18481, 1.35417, 1.62557, 2.07046" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0759374, 0.200192, 0.271916, 0.389953, 0.593976, 0.942822, 1.53395", \ + "0.0759384, 0.200193, 0.271917, 0.391069, 0.594137, 0.942823, 1.53396", \ + "0.0761085, 0.200194, 0.271918, 0.39107, 0.594138, 0.942824, 1.53443", \ + "0.0788297, 0.200401, 0.271919, 0.391071, 0.594139, 0.943739, 1.53497", \ + "0.086689, 0.207629, 0.278421, 0.395134, 0.597644, 0.944974, 1.5357", \ + "0.105965, 0.222521, 0.290691, 0.405563, 0.604924, 0.951318, 1.53935", \ + "0.137574, 0.252086, 0.315427, 0.426135, 0.619111, 0.960085, 1.5455" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.102755, 0.215446, 0.283771, 0.395749, 0.583154, 0.895096, 1.41481", \ + "0.149974, 0.263245, 0.331502, 0.443477, 0.630908, 0.942799, 1.46286", \ + "0.187499, 0.302705, 0.37077, 0.483058, 0.670387, 0.982625, 1.5025", \ + "0.244784, 0.365291, 0.433392, 0.545272, 0.732465, 1.04459, 1.56447", \ + "0.325961, 0.458669, 0.525686, 0.636321, 0.822569, 1.13435, 1.65294", \ + "0.437638, 0.593779, 0.661701, 0.771877, 0.956967, 1.2669, 1.78494", \ + "0.578979, 0.774256, 0.847436, 0.959019, 1.14506, 1.452, 1.97011" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0275075, 0.161787, 0.257991, 0.417813, 0.685668, 1.1323, 1.87778", \ + "0.0293108, 0.161999, 0.257998, 0.417814, 0.685724, 1.1326, 1.87779", \ + "0.033375, 0.1627, 0.258261, 0.417917, 0.68685, 1.13321, 1.8778", \ + "0.0417668, 0.165442, 0.259609, 0.418626, 0.686851, 1.13322, 1.87781", \ + "0.0571188, 0.172029, 0.263419, 0.420498, 0.687244, 1.13323, 1.87782", \ + "0.081383, 0.190292, 0.273702, 0.425527, 0.690017, 1.13514, 1.87836", \ + "0.119818, 0.22908, 0.300548, 0.441489, 0.698229, 1.13953, 1.88105" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.290231, 0.458201, 0.531163, 0.636664, 0.803658, 1.07197, 1.51676", \ + "0.313007, 0.48055, 0.553117, 0.660141, 0.826307, 1.09528, 1.53967", \ + "0.34253, 0.510081, 0.582748, 0.689533, 0.856027, 1.1249, 1.56909", \ + "0.399444, 0.567872, 0.640828, 0.747769, 0.914098, 1.18319, 1.62781", \ + "0.491122, 0.663286, 0.736474, 0.844009, 1.0111, 1.28075, 1.72486", \ + "0.612619, 0.797003, 0.872615, 0.980344, 1.14909, 1.41875, 1.86448", \ + "0.77582, 0.981541, 1.06148, 1.17306, 1.33828, 1.60682, 2.05141" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0759426, 0.199961, 0.271732, 0.390134, 0.593865, 0.942613, 1.53398", \ + "0.0759436, 0.199962, 0.271733, 0.390135, 0.593972, 0.943084, 1.53444", \ + "0.0761181, 0.199963, 0.271734, 0.390136, 0.593973, 0.943085, 1.53445", \ + "0.0795993, 0.200881, 0.272381, 0.390929, 0.593974, 0.944394, 1.53505", \ + "0.091204, 0.208089, 0.278263, 0.396055, 0.598601, 0.945622, 1.53594", \ + "0.115933, 0.222935, 0.291094, 0.405289, 0.606012, 0.9526, 1.54001", \ + "0.151424, 0.253548, 0.314228, 0.425194, 0.6186, 0.960116, 1.54819" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00858525, 0.00917811, 0.00918035, 0.00915725, 0.00907984, 0.00872127, 0.00890872", \ + "0.00852626, 0.00908808, 0.00918273, 0.00913987, 0.00901253, 0.00878478, 0.00893151", \ + "0.00852808, 0.00899415, 0.00905071, 0.00909506, 0.00892906, 0.00860943, 0.008786", \ + "0.00861951, 0.00885265, 0.00891715, 0.0090378, 0.00899469, 0.00852133, 0.00872579", \ + "0.00882737, 0.00886239, 0.00890015, 0.0087711, 0.00886194, 0.00868825, 0.00926715", \ + "0.0095252, 0.00926031, 0.00922729, 0.00914319, 0.00901841, 0.00839451, 0.00923055", \ + "0.011245, 0.0103227, 0.0103019, 0.0102126, 0.0100838, 0.0100567, 0.00916696" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012494, 0.012544, 0.0124836, 0.0126751, 0.0125041, 0.0121416, 0.0117811", \ + "0.012373, 0.0123142, 0.0124887, 0.0124383, 0.012393, 0.0119785, 0.0117862", \ + "0.0123756, 0.0123581, 0.0125809, 0.0126899, 0.0125572, 0.0123757, 0.0119729", \ + "0.01229, 0.0122876, 0.0124064, 0.0127618, 0.0124895, 0.0123502, 0.0118896", \ + "0.0124432, 0.0122284, 0.0123203, 0.0123384, 0.0124223, 0.0123206, 0.0117808", \ + "0.0127037, 0.0123566, 0.0124046, 0.0125585, 0.012603, 0.0120732, 0.0125312", \ + "0.0135431, 0.0127423, 0.0126818, 0.0128108, 0.0126287, 0.0126281, 0.0121465" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0083046, 0.00895482, 0.00898393, 0.00894314, 0.00883452, 0.00852228, 0.00875562", \ + "0.00825609, 0.00885351, 0.00896577, 0.00889836, 0.00874442, 0.00857569, 0.00870021", \ + "0.00822503, 0.00870506, 0.00880248, 0.00886027, 0.00872061, 0.0083805, 0.00842475", \ + "0.00821852, 0.00853302, 0.00860105, 0.00885801, 0.0086366, 0.00838097, 0.0084424", \ + "0.00844954, 0.00851986, 0.00862751, 0.00854388, 0.00845206, 0.00851586, 0.00846197", \ + "0.0092058, 0.00884978, 0.00880376, 0.00873717, 0.00872323, 0.00815126, 0.00847229", \ + "0.010852, 0.0100148, 0.00998114, 0.00987004, 0.00982833, 0.00974403, 0.00878237" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0118761, 0.0119063, 0.0119185, 0.0119594, 0.0119086, 0.0115452, 0.011138", \ + "0.0117361, 0.0117396, 0.0118051, 0.0119822, 0.0118407, 0.0115602, 0.0108488", \ + "0.011725, 0.0118221, 0.0120201, 0.0118963, 0.0119572, 0.0117451, 0.0111341", \ + "0.0116946, 0.0116487, 0.0118431, 0.0121249, 0.0118455, 0.0117088, 0.0112361", \ + "0.0118791, 0.0115759, 0.0116063, 0.011639, 0.0117115, 0.0116192, 0.0111168", \ + "0.0122473, 0.011739, 0.011692, 0.0118887, 0.0118536, 0.0114214, 0.0120449", \ + "0.013394, 0.0123705, 0.0122566, 0.0123268, 0.0121298, 0.0122582, 0.0116798" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00759879, 0.00828105, 0.0083107, 0.00827598, 0.00812607, 0.00782271, 0.0080058", \ + "0.0075073, 0.00812612, 0.00823539, 0.00816841, 0.00804188, 0.00774056, 0.00798796", \ + "0.00746048, 0.00799343, 0.00809482, 0.00804637, 0.00802125, 0.00768465, 0.00780765", \ + "0.00744893, 0.00783242, 0.00786207, 0.00800707, 0.00784109, 0.00819997, 0.00769013", \ + "0.00767181, 0.00783637, 0.00791117, 0.00773456, 0.00780594, 0.00781319, 0.00795157", \ + "0.00839095, 0.00808231, 0.00809731, 0.00809345, 0.0080749, 0.00747398, 0.00802008", \ + "0.0101357, 0.00922234, 0.00912208, 0.00911817, 0.00922017, 0.00902056, 0.00825474" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0107719, 0.0107927, 0.0108458, 0.0108402, 0.0107388, 0.0104787, 0.0102036", \ + "0.0106708, 0.0106571, 0.0107873, 0.0106983, 0.0106834, 0.0105201, 0.00995466", \ + "0.010665, 0.0107362, 0.0109133, 0.0110075, 0.010884, 0.0105644, 0.0103181", \ + "0.0106595, 0.0105338, 0.0106643, 0.0109462, 0.0106989, 0.0105828, 0.0100724", \ + "0.0108707, 0.0105357, 0.0105762, 0.0105512, 0.0106923, 0.0106699, 0.0101035", \ + "0.0115066, 0.0107921, 0.0108603, 0.0109518, 0.0108729, 0.0103789, 0.0110696", \ + "0.0129712, 0.0117233, 0.0115364, 0.0113558, 0.0113497, 0.011561, 0.0109958" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0068125, 0.00750243, 0.00753281, 0.00746043, 0.00733013, 0.00699056, 0.00721056", \ + "0.00663619, 0.00729459, 0.00746446, 0.00731191, 0.00717948, 0.00687414, 0.00700932", \ + "0.0065821, 0.00713583, 0.00724914, 0.00721589, 0.00714316, 0.00680835, 0.00696906", \ + "0.00656273, 0.00698562, 0.00701557, 0.00716962, 0.00695537, 0.00663051, 0.00687038", \ + "0.00678809, 0.00691224, 0.00697081, 0.00681418, 0.00664734, 0.00666715, 0.00668596", \ + "0.00755433, 0.00734902, 0.0072968, 0.00728755, 0.00715646, 0.00660535, 0.00692225", \ + "0.0093921, 0.00840609, 0.00824822, 0.00838253, 0.00854448, 0.00830787, 0.00762306" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00871065, 0.00871895, 0.00891975, 0.00862488, 0.00874485, 0.00827189, 0.00809337", \ + "0.00852564, 0.00854572, 0.00866777, 0.0088172, 0.00859426, 0.00831669, 0.00819969", \ + "0.0085012, 0.00853835, 0.00871145, 0.00869226, 0.00873578, 0.00858582, 0.00803448", \ + "0.00856648, 0.0083886, 0.00852578, 0.00887087, 0.00875411, 0.00850429, 0.00800648", \ + "0.00900427, 0.00856187, 0.00850664, 0.00844596, 0.00847276, 0.00853636, 0.00804766", \ + "0.00998245, 0.00903671, 0.00911899, 0.0091229, 0.00917082, 0.00861223, 0.0089177", \ + "0.0120387, 0.0101374, 0.0100982, 0.0102196, 0.00986684, 0.00982014, 0.00948464" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00238894; + rise_capacitance : 0.00244075; + rise_capacitance_range (0.00244075, 0.00244075); + fall_capacitance : 0.00233712; + fall_capacitance_range (0.00233712, 0.00233712); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.10589e-05, -1.14342e-05, -3.43106e-05, -5.30573e-05, -6.65911e-05, -7.79806e-05, -8.69322e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000520136, 0.000531728, 0.000532687, 0.000543712, 0.000532389, 0.000512348, 0.000524724" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.10589e-05, -1.14342e-05, -3.43106e-05, -5.30573e-05, -6.65911e-05, -7.79806e-05, -8.69322e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000520136, 0.000531728, 0.000532687, 0.000543712, 0.000532389, 0.000512348, 0.000524724" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00233073; + rise_capacitance : 0.0023922; + rise_capacitance_range (0.0023922, 0.0023922); + fall_capacitance : 0.00226927; + fall_capacitance_range (0.00226927, 0.00226927); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.877e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-2.19673e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.877e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-2.19673e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00203542; + rise_capacitance : 0.00199696; + rise_capacitance_range (0.00199696, 0.00199696); + fall_capacitance : 0.00207388; + fall_capacitance_range (0.00207388, 0.00207388); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000410539, 0.000414947, 0.000415616, 0.000414722, 0.000416246, 0.000419672, 0.000420486" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000127781, -0.00013011, -0.00012915, -0.000127427, -0.000126987, -0.000127265, -0.000124931" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000410539, 0.000414947, 0.000415616, 0.000414722, 0.000416246, 0.000419672, 0.000420486" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000127781, -0.00013011, -0.00012915, -0.000127427, -0.000126987, -0.000127265, -0.000124931" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00207507; + rise_capacitance : 0.00202394; + rise_capacitance_range (0.00202394, 0.00202394); + fall_capacitance : 0.00212621; + fall_capacitance_range (0.00212621, 0.00212621); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00103496, 0.00103543, 0.00103375, 0.00103485, 0.00103532, 0.00103902, 0.0010371" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000627605, 0.000614541, 0.000630293, 0.000637917, 0.000636137, 0.000636751, 0.000643755" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00103496, 0.00103543, 0.00103375, 0.00103485, 0.00103532, 0.00103902, 0.0010371" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000627605, 0.000614541, 0.000630293, 0.000637917, 0.000636137, 0.000636751, 0.000643755" \ + ); + } + } + } + } + cell (sg13g2_sdfbbp_1) { + area : 63.504; + cell_footprint : "sdfrrs"; + cell_leakage_power : 3657.71; + leakage_power () { + value : 3440.58; + when : "!CLK&!D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 3621.95; + when : "!CLK&!D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2642.51; + when : "!CLK&!D&RESET_B&!SCD&!SCE&!SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2857.82; + when : "!CLK&!D&!RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2507.44; + when : "!CLK&!D&!RESET_B&!SCD&!SCE&!SET_B&Q&!Q_N"; + } + leakage_power () { + value : 3499.38; + when : "CLK&!D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 3519.91; + when : "CLK&!D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 3630.21; + when : "!CLK&D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 3574.09; + when : "!CLK&D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 3441.66; + when : "!CLK&D&RESET_B&SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 4286.45; + when : "CLK&D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 4271.82; + when : "CLK&D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 3736.81; + when : "CLK&D&RESET_B&SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 3608.62; + when : "!CLK&!D&RESET_B&!SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 3824.18; + when : "!CLK&!D&RESET_B&!SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 4004.21; + when : "!CLK&!D&RESET_B&SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 3948.09; + when : "!CLK&!D&RESET_B&SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 3701.53; + when : "CLK&!D&RESET_B&!SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 3796.66; + when : "CLK&!D&RESET_B&!SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 4660.45; + when : "CLK&!D&RESET_B&SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 4237.53; + when : "CLK&!D&RESET_B&SCD&SCE&SET_B&Q&!Q_N"; + } + pin (Q) { + direction : output; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + sdf_cond : "SCE == 1'b1"; + timing_sense : non_unate; + timing_type : rising_edge; + when : "SCE"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.476513, 0.568206, 0.63565, 0.747415, 0.934688, 1.24648, 1.76656", \ + "0.521052, 0.612909, 0.680241, 0.791968, 0.979339, 1.29105, 1.81131", \ + "0.556506, 0.648352, 0.715538, 0.827467, 1.0152, 1.32667, 1.84638", \ + "0.610919, 0.702761, 0.76999, 0.881828, 1.06895, 1.38091, 1.90127", \ + "0.688086, 0.779898, 0.847142, 0.958975, 1.14602, 1.45793, 1.97797", \ + "0.793109, 0.884962, 0.952234, 1.06384, 1.25141, 1.56319, 2.0829", \ + "0.927871, 1.01964, 1.0871, 1.19871, 1.38598, 1.69782, 2.21804" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0313054, 0.160545, 0.256907, 0.416789, 0.684794, 1.13197, 1.87681", \ + "0.0313174, 0.160578, 0.256908, 0.41679, 0.684861, 1.13198, 1.87687", \ + "0.0313801, 0.160579, 0.257805, 0.417148, 0.68562, 1.13199, 1.87728", \ + "0.0313811, 0.16058, 0.257806, 0.417149, 0.685621, 1.132, 1.87731", \ + "0.0313821, 0.160581, 0.257807, 0.41715, 0.685622, 1.13201, 1.87732", \ + "0.0313831, 0.160582, 0.257808, 0.417151, 0.685623, 1.13202, 1.87733", \ + "0.0313841, 0.160583, 0.257809, 0.417152, 0.685624, 1.13203, 1.87734" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.390228, 0.475328, 0.532455, 0.628062, 0.786918, 1.05254, 1.49427", \ + "0.43361, 0.518298, 0.575927, 0.671083, 0.830473, 1.09571, 1.53769", \ + "0.467987, 0.552597, 0.610187, 0.705276, 0.864593, 1.12988, 1.57194", \ + "0.521055, 0.605801, 0.663372, 0.758605, 0.917776, 1.18301, 1.62509", \ + "0.600694, 0.685389, 0.743098, 0.838278, 0.997518, 1.26262, 1.70472", \ + "0.710582, 0.795238, 0.852797, 0.948023, 1.10711, 1.37241, 1.81447", \ + "0.858966, 0.943504, 1.00107, 1.09627, 1.25535, 1.5207, 1.96271" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0267079, 0.131244, 0.207653, 0.335184, 0.549107, 0.905789, 1.50123", \ + "0.026711, 0.131245, 0.207681, 0.335202, 0.549169, 0.90579, 1.50124", \ + "0.026712, 0.131246, 0.207682, 0.335917, 0.549421, 0.905791, 1.50125", \ + "0.026713, 0.131247, 0.207776, 0.335918, 0.549422, 0.906036, 1.50126", \ + "0.026714, 0.131248, 0.207777, 0.335919, 0.549423, 0.906037, 1.50127", \ + "0.026743, 0.131249, 0.207778, 0.33592, 0.549424, 0.906038, 1.50128", \ + "0.026825, 0.13125, 0.207779, 0.335921, 0.549425, 0.906039, 1.50129" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.476513, 0.568206, 0.63565, 0.747415, 0.934688, 1.24648, 1.76656", \ + "0.521052, 0.612909, 0.680241, 0.791968, 0.979339, 1.29105, 1.81131", \ + "0.556506, 0.648352, 0.715538, 0.827467, 1.0152, 1.32667, 1.84638", \ + "0.610919, 0.702761, 0.76999, 0.881828, 1.06895, 1.38091, 1.90127", \ + "0.688086, 0.779898, 0.847142, 0.958975, 1.14602, 1.45793, 1.97797", \ + "0.793109, 0.884962, 0.952234, 1.06384, 1.25141, 1.56319, 2.0829", \ + "0.927871, 1.01964, 1.0871, 1.19871, 1.38598, 1.69782, 2.21804" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0313054, 0.160545, 0.256907, 0.416789, 0.684794, 1.13197, 1.87681", \ + "0.0313174, 0.160578, 0.256908, 0.41679, 0.684861, 1.13198, 1.87687", \ + "0.0313801, 0.160579, 0.257805, 0.417148, 0.68562, 1.13199, 1.87728", \ + "0.0313811, 0.16058, 0.257806, 0.417149, 0.685621, 1.132, 1.87731", \ + "0.0313821, 0.160581, 0.257807, 0.41715, 0.685622, 1.13201, 1.87732", \ + "0.0313831, 0.160582, 0.257808, 0.417151, 0.685623, 1.13202, 1.87733", \ + "0.0313841, 0.160583, 0.257809, 0.417152, 0.685624, 1.13203, 1.87734" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.390228, 0.475328, 0.532455, 0.628062, 0.786918, 1.05254, 1.49427", \ + "0.43361, 0.518298, 0.575927, 0.671083, 0.830473, 1.09571, 1.53769", \ + "0.467987, 0.552597, 0.610187, 0.705276, 0.864593, 1.12988, 1.57194", \ + "0.521055, 0.605801, 0.663372, 0.758605, 0.917776, 1.18301, 1.62509", \ + "0.600694, 0.685389, 0.743098, 0.838278, 0.997518, 1.26262, 1.70472", \ + "0.710582, 0.795238, 0.852797, 0.948023, 1.10711, 1.37241, 1.81447", \ + "0.858966, 0.943504, 1.00107, 1.09627, 1.25535, 1.5207, 1.96271" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0267079, 0.131244, 0.207653, 0.335184, 0.549107, 0.905789, 1.50123", \ + "0.026711, 0.131245, 0.207681, 0.335202, 0.549169, 0.90579, 1.50124", \ + "0.026712, 0.131246, 0.207682, 0.335917, 0.549421, 0.905791, 1.50125", \ + "0.026713, 0.131247, 0.207776, 0.335918, 0.549422, 0.906036, 1.50126", \ + "0.026714, 0.131248, 0.207777, 0.335919, 0.549423, 0.906037, 1.50127", \ + "0.026743, 0.131249, 0.207778, 0.33592, 0.549424, 0.906038, 1.50128", \ + "0.026825, 0.13125, 0.207779, 0.335921, 0.549425, 0.906039, 1.50129" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.321074, 0.405776, 0.463354, 0.558455, 0.717657, 0.98318, 1.42512", \ + "0.364299, 0.448981, 0.506545, 0.601896, 0.761006, 1.02629, 1.46849", \ + "0.403565, 0.488351, 0.545848, 0.641116, 0.800284, 1.06559, 1.50757", \ + "0.466653, 0.551368, 0.608885, 0.704102, 0.863276, 1.12844, 1.57047", \ + "0.560304, 0.644771, 0.702315, 0.7974, 0.956769, 1.22192, 1.66401", \ + "0.683142, 0.767135, 0.824647, 0.919762, 1.07918, 1.34428, 1.78633", \ + "0.852743, 0.935642, 0.993054, 1.08832, 1.24741, 1.51268, 1.95471" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0272868, 0.131475, 0.207888, 0.335452, 0.549752, 0.90635, 1.50109", \ + "0.0272878, 0.131545, 0.20808, 0.335786, 0.549753, 0.906364, 1.5011", \ + "0.0272884, 0.131546, 0.208081, 0.335787, 0.549754, 0.906365, 1.50126", \ + "0.0272894, 0.131547, 0.208082, 0.335788, 0.549755, 0.906366, 1.50127", \ + "0.027468, 0.131548, 0.208083, 0.335789, 0.549756, 0.906367, 1.50128", \ + "0.027774, 0.131549, 0.208084, 0.33579, 0.549757, 0.906368, 1.50129", \ + "0.028615, 0.13166, 0.208085, 0.335791, 0.549758, 0.906369, 1.5013" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.188042, 0.284386, 0.351902, 0.463648, 0.650779, 0.963006, 1.48309", \ + "0.231532, 0.327988, 0.395709, 0.507811, 0.694803, 1.00702, 1.52694", \ + "0.27182, 0.36777, 0.435568, 0.547365, 0.734409, 1.04677, 1.56794", \ + "0.337539, 0.432012, 0.499631, 0.611538, 0.798657, 1.1107, 1.63198", \ + "0.434623, 0.526235, 0.593426, 0.705173, 0.892209, 1.20415, 1.72413", \ + "0.563084, 0.650232, 0.716823, 0.828315, 1.01505, 1.32696, 1.84688", \ + "0.736602, 0.81792, 0.883571, 0.993837, 1.18021, 1.49228, 2.01212" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0269989, 0.160109, 0.2567, 0.416643, 0.684681, 1.13177, 1.87711", \ + "0.0269999, 0.160126, 0.257235, 0.417181, 0.684754, 1.13199, 1.87712", \ + "0.0272498, 0.16013, 0.257236, 0.417182, 0.685351, 1.132, 1.87782", \ + "0.0283547, 0.160219, 0.257237, 0.417183, 0.685352, 1.13269, 1.87914", \ + "0.0310492, 0.160472, 0.257238, 0.417184, 0.685353, 1.1327, 1.88483", \ + "0.035984, 0.161296, 0.257239, 0.417185, 0.685354, 1.13271, 1.88484", \ + "0.045003, 0.16289, 0.257848, 0.417202, 0.685355, 1.13272, 1.88485" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "SCE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0131275, 0.0134121, 0.0134799, 0.0134923, 0.0134726, 0.0133855, 0.0132579", \ + "0.0129578, 0.0132544, 0.0132862, 0.0133043, 0.0132786, 0.0131992, 0.0130244", \ + "0.0129515, 0.0132357, 0.0133242, 0.0133191, 0.0133198, 0.0131656, 0.0130756", \ + "0.0129527, 0.0132442, 0.0133172, 0.0133117, 0.0134414, 0.0131969, 0.0131012", \ + "0.0131071, 0.0133993, 0.0134306, 0.0134456, 0.0134887, 0.0133138, 0.0132383", \ + "0.0135011, 0.0137919, 0.0138512, 0.0138944, 0.013885, 0.0137317, 0.0140144", \ + "0.0144158, 0.014698, 0.0147539, 0.0147878, 0.0148367, 0.0148208, 0.0146601" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130961, 0.0133879, 0.0133971, 0.0133732, 0.013262, 0.0130508, 0.0127682", \ + "0.0128927, 0.0131763, 0.0131852, 0.013147, 0.0130629, 0.0128565, 0.0125188", \ + "0.0128352, 0.0131729, 0.0131456, 0.0131396, 0.0130091, 0.0127881, 0.0125042", \ + "0.0127823, 0.0130663, 0.0130883, 0.0131943, 0.0129586, 0.0127424, 0.0124213", \ + "0.0129088, 0.0132111, 0.0132572, 0.0132193, 0.0131037, 0.0133469, 0.0126247", \ + "0.013262, 0.0135549, 0.0135868, 0.013613, 0.0136514, 0.0132762, 0.0131723", \ + "0.014208, 0.0144949, 0.0144934, 0.0145544, 0.0144954, 0.0145561, 0.01443" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0131275, 0.0134121, 0.0134799, 0.0134923, 0.0134726, 0.0133855, 0.0132579", \ + "0.0129578, 0.0132544, 0.0132862, 0.0133043, 0.0132786, 0.0131992, 0.0130244", \ + "0.0129515, 0.0132357, 0.0133242, 0.0133191, 0.0133198, 0.0131656, 0.0130756", \ + "0.0129527, 0.0132442, 0.0133172, 0.0133117, 0.0134414, 0.0131969, 0.0131012", \ + "0.0131071, 0.0133993, 0.0134306, 0.0134456, 0.0134887, 0.0133138, 0.0132383", \ + "0.0135011, 0.0137919, 0.0138512, 0.0138944, 0.013885, 0.0137317, 0.0140144", \ + "0.0144158, 0.014698, 0.0147539, 0.0147878, 0.0148367, 0.0148208, 0.0146601" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130961, 0.0133879, 0.0133971, 0.0133732, 0.013262, 0.0130508, 0.0127682", \ + "0.0128927, 0.0131763, 0.0131852, 0.013147, 0.0130629, 0.0128565, 0.0125188", \ + "0.0128352, 0.0131729, 0.0131456, 0.0131396, 0.0130091, 0.0127881, 0.0125042", \ + "0.0127823, 0.0130663, 0.0130883, 0.0131943, 0.0129586, 0.0127424, 0.0124213", \ + "0.0129088, 0.0132111, 0.0132572, 0.0132193, 0.0131037, 0.0133469, 0.0126247", \ + "0.013262, 0.0135549, 0.0135868, 0.013613, 0.0136514, 0.0132762, 0.0131723", \ + "0.014208, 0.0144949, 0.0144934, 0.0145544, 0.0144954, 0.0145561, 0.01443" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0276939, 0.0413198, 0.0504409, 0.0654206, 0.0904333, 0.13199, 0.201284", \ + "0.0275166, 0.041148, 0.0502497, 0.0652599, 0.0902437, 0.131811, 0.20118", \ + "0.0274023, 0.041101, 0.0501508, 0.0651564, 0.0901387, 0.131721, 0.201073", \ + "0.0272786, 0.040885, 0.0500222, 0.0652157, 0.0900446, 0.131557, 0.200976", \ + "0.0274237, 0.0410572, 0.0502105, 0.0650903, 0.0902758, 0.132012, 0.202192", \ + "0.0274794, 0.041025, 0.050277, 0.0654071, 0.0904326, 0.131904, 0.202897", \ + "0.0284161, 0.0418697, 0.0510358, 0.0662432, 0.0914508, 0.133541, 0.202811" \ + ); + } + } + internal_power () { + related_pin : "SET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0247603, 0.0385243, 0.0476583, 0.0626808, 0.0877657, 0.129575, 0.199299", \ + "0.0243005, 0.0380936, 0.047284, 0.0622947, 0.0873273, 0.129209, 0.198816", \ + "0.0240337, 0.037788, 0.0469465, 0.0620693, 0.0871652, 0.128892, 0.19876", \ + "0.0239141, 0.0375014, 0.0467095, 0.0618364, 0.0869368, 0.128818, 0.198758", \ + "0.0241731, 0.0376796, 0.0468557, 0.0618892, 0.0872544, 0.128916, 0.199499", \ + "0.0250364, 0.0383248, 0.0475588, 0.0626893, 0.0878916, 0.129735, 0.199743", \ + "0.0276301, 0.0405592, 0.0497248, 0.064865, 0.0901283, 0.132193, 0.201856" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (Q_N) { + direction : output; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + sdf_cond : "SCE == 1'b1"; + timing_sense : non_unate; + timing_type : rising_edge; + when : "SCE"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.320321, 0.445256, 0.514926, 0.62722, 0.815601, 1.1284, 1.64998", \ + "0.363612, 0.488401, 0.557932, 0.670808, 0.859002, 1.1717, 1.69335", \ + "0.397991, 0.522746, 0.592191, 0.705, 0.893016, 1.20635, 1.7277", \ + "0.451139, 0.575976, 0.645453, 0.758235, 0.946273, 1.25938, 1.78106", \ + "0.530776, 0.655604, 0.725106, 0.837861, 1.02593, 1.3389, 1.8605", \ + "0.64044, 0.765384, 0.834854, 0.947676, 1.1357, 1.4487, 1.97021", \ + "0.78844, 0.913767, 0.983298, 1.09608, 1.28417, 1.59729, 2.11878" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0412946, 0.170796, 0.263001, 0.420706, 0.689008, 1.13662, 1.88365", \ + "0.0413351, 0.171609, 0.263072, 0.420894, 0.689009, 1.13671, 1.88366", \ + "0.0413361, 0.17161, 0.263073, 0.420895, 0.68901, 1.13672, 1.88367", \ + "0.0413371, 0.171611, 0.263074, 0.420896, 0.689011, 1.13819, 1.88419", \ + "0.041448, 0.171612, 0.263086, 0.420897, 0.689012, 1.1382, 1.8842", \ + "0.041572, 0.171613, 0.263123, 0.420898, 0.689013, 1.13821, 1.88421", \ + "0.042329, 0.171614, 0.26319, 0.420899, 0.689014, 1.13822, 1.88422" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.395138, 0.528029, 0.591717, 0.689724, 0.850312, 1.11682, 1.56056", \ + "0.439716, 0.572752, 0.636254, 0.73448, 0.895124, 1.16157, 1.60534", \ + "0.475096, 0.608155, 0.671766, 0.769806, 0.930429, 1.19695, 1.64113", \ + "0.529495, 0.662526, 0.726086, 0.824093, 0.984799, 1.25124, 1.69576", \ + "0.606683, 0.739688, 0.803107, 0.901182, 1.06189, 1.32835, 1.77209", \ + "0.710984, 0.844003, 0.907562, 1.0056, 1.16618, 1.43272, 1.8765", \ + "0.846286, 0.979295, 1.04268, 1.14086, 1.30145, 1.56813, 2.0118" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0497596, 0.158155, 0.22752, 0.34768, 0.557319, 0.913211, 1.50966", \ + "0.0498119, 0.158211, 0.227521, 0.347733, 0.557463, 0.913886, 1.50972", \ + "0.0498379, 0.158363, 0.227522, 0.34837, 0.557632, 0.913887, 1.50991", \ + "0.0498389, 0.158364, 0.227523, 0.348371, 0.557785, 0.913888, 1.51061", \ + "0.0498399, 0.158365, 0.227524, 0.348372, 0.557835, 0.913934, 1.51062", \ + "0.0498409, 0.158366, 0.227525, 0.348373, 0.557836, 0.913935, 1.51063", \ + "0.0498419, 0.158367, 0.227526, 0.348374, 0.557837, 0.913936, 1.51064" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.320321, 0.445256, 0.514926, 0.62722, 0.815601, 1.1284, 1.64998", \ + "0.363612, 0.488401, 0.557932, 0.670808, 0.859002, 1.1717, 1.69335", \ + "0.397991, 0.522746, 0.592191, 0.705, 0.893016, 1.20635, 1.7277", \ + "0.451139, 0.575976, 0.645453, 0.758235, 0.946273, 1.25938, 1.78106", \ + "0.530776, 0.655604, 0.725106, 0.837861, 1.02593, 1.3389, 1.8605", \ + "0.64044, 0.765384, 0.834854, 0.947676, 1.1357, 1.4487, 1.97021", \ + "0.78844, 0.913767, 0.983298, 1.09608, 1.28417, 1.59729, 2.11878" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0412946, 0.170796, 0.263001, 0.420706, 0.689008, 1.13662, 1.88365", \ + "0.0413351, 0.171609, 0.263072, 0.420894, 0.689009, 1.13671, 1.88366", \ + "0.0413361, 0.17161, 0.263073, 0.420895, 0.68901, 1.13672, 1.88367", \ + "0.0413371, 0.171611, 0.263074, 0.420896, 0.689011, 1.13819, 1.88419", \ + "0.041448, 0.171612, 0.263086, 0.420897, 0.689012, 1.1382, 1.8842", \ + "0.041572, 0.171613, 0.263123, 0.420898, 0.689013, 1.13821, 1.88421", \ + "0.042329, 0.171614, 0.26319, 0.420899, 0.689014, 1.13822, 1.88422" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.395138, 0.528029, 0.591717, 0.689724, 0.850312, 1.11682, 1.56056", \ + "0.439716, 0.572752, 0.636254, 0.73448, 0.895124, 1.16157, 1.60534", \ + "0.475096, 0.608155, 0.671766, 0.769806, 0.930429, 1.19695, 1.64113", \ + "0.529495, 0.662526, 0.726086, 0.824093, 0.984799, 1.25124, 1.69576", \ + "0.606683, 0.739688, 0.803107, 0.901182, 1.06189, 1.32835, 1.77209", \ + "0.710984, 0.844003, 0.907562, 1.0056, 1.16618, 1.43272, 1.8765", \ + "0.846286, 0.979295, 1.04268, 1.14086, 1.30145, 1.56813, 2.0118" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0497596, 0.158155, 0.22752, 0.34768, 0.557319, 0.913211, 1.50966", \ + "0.0498119, 0.158211, 0.227521, 0.347733, 0.557463, 0.913886, 1.50972", \ + "0.0498379, 0.158363, 0.227522, 0.34837, 0.557632, 0.913887, 1.50991", \ + "0.0498389, 0.158364, 0.227523, 0.348371, 0.557785, 0.913888, 1.51061", \ + "0.0498399, 0.158365, 0.227524, 0.348372, 0.557835, 0.913934, 1.51062", \ + "0.0498409, 0.158366, 0.227525, 0.348373, 0.557836, 0.913935, 1.51063", \ + "0.0498419, 0.158367, 0.227526, 0.348374, 0.557837, 0.913936, 1.51064" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.249491, 0.379397, 0.452062, 0.569007, 0.758718, 1.07195, 1.59373", \ + "0.292761, 0.42265, 0.495282, 0.612387, 0.802081, 1.11503, 1.637", \ + "0.332005, 0.461975, 0.534665, 0.651653, 0.841316, 1.15449, 1.67646", \ + "0.394924, 0.525005, 0.597734, 0.714655, 0.904372, 1.21748, 1.73887", \ + "0.487904, 0.618642, 0.691335, 0.808282, 0.997904, 1.31092, 1.83257", \ + "0.609113, 0.741649, 0.814505, 0.931363, 1.12094, 1.43405, 1.95554", \ + "0.773039, 0.910326, 0.983346, 1.10016, 1.28964, 1.60288, 2.12427" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0422314, 0.177944, 0.27254, 0.429253, 0.693857, 1.13706, 1.88261", \ + "0.0423212, 0.178094, 0.272565, 0.42928, 0.693858, 1.13722, 1.88262", \ + "0.0423222, 0.178095, 0.272566, 0.429353, 0.693859, 1.13773, 1.8827", \ + "0.0427059, 0.178096, 0.272588, 0.429354, 0.69386, 1.13774, 1.88272", \ + "0.043923, 0.178443, 0.272655, 0.429355, 0.693861, 1.13775, 1.88734", \ + "0.046685, 0.179386, 0.273086, 0.429356, 0.693862, 1.13776, 1.88735", \ + "0.053183, 0.181761, 0.274187, 0.429398, 0.693863, 1.13777, 1.88736" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.122937, 0.233884, 0.295873, 0.393139, 0.552871, 0.81892, 1.26241", \ + "0.166349, 0.277304, 0.339309, 0.436597, 0.596343, 0.862606, 1.30617", \ + "0.205031, 0.317762, 0.379741, 0.476998, 0.6368, 0.903808, 1.34646", \ + "0.266082, 0.384358, 0.446552, 0.543728, 0.703483, 0.969585, 1.41322", \ + "0.35284, 0.484145, 0.546759, 0.643753, 0.803563, 1.06963, 1.51308", \ + "0.465337, 0.618793, 0.682851, 0.779387, 0.939075, 1.20518, 1.64855", \ + "0.613961, 0.803858, 0.869498, 0.965644, 1.12439, 1.39032, 1.83418" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0327374, 0.144186, 0.217523, 0.340699, 0.550961, 0.907405, 1.50343", \ + "0.0331722, 0.144187, 0.217524, 0.3407, 0.550962, 0.907406, 1.50348", \ + "0.0357843, 0.144824, 0.217525, 0.340701, 0.551199, 0.908076, 1.50356", \ + "0.0421604, 0.14769, 0.21865, 0.340702, 0.5512, 0.908202, 1.50357", \ + "0.0539388, 0.155234, 0.222734, 0.342148, 0.551201, 0.908203, 1.50358", \ + "0.071933, 0.170566, 0.23103, 0.34485, 0.552184, 0.908204, 1.50359", \ + "0.100955, 0.19563, 0.244433, 0.349126, 0.553202, 0.908491, 1.50483" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "SCE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130999, 0.0134262, 0.0134614, 0.0134573, 0.0134331, 0.0133179, 0.0131852", \ + "0.012906, 0.0132494, 0.0132528, 0.0132577, 0.0132344, 0.0131267, 0.0129768", \ + "0.0128442, 0.0131874, 0.013221, 0.0132016, 0.0131642, 0.0130785, 0.0128828", \ + "0.0127952, 0.013097, 0.0131563, 0.0131797, 0.0131719, 0.0131257, 0.0129051", \ + "0.0129251, 0.0132446, 0.0132877, 0.0132601, 0.0132836, 0.0131799, 0.0139085", \ + "0.0132718, 0.0135701, 0.0136463, 0.013676, 0.0136371, 0.0135158, 0.0137537", \ + "0.0142179, 0.0145169, 0.0145537, 0.0146113, 0.0146285, 0.0146316, 0.014377" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0131356, 0.0133889, 0.0134237, 0.0133699, 0.0132682, 0.0130611, 0.0127216", \ + "0.0129574, 0.0132015, 0.0132136, 0.0131976, 0.0130865, 0.012889, 0.0125375", \ + "0.0129603, 0.0132439, 0.0132256, 0.0132154, 0.0130736, 0.0128868, 0.0125477", \ + "0.0129581, 0.0132023, 0.0132483, 0.0134199, 0.0131991, 0.0128946, 0.0126131", \ + "0.0131108, 0.0133898, 0.0134094, 0.0133481, 0.013267, 0.0135469, 0.0126806", \ + "0.0135005, 0.0137322, 0.013807, 0.0137671, 0.0137941, 0.0134744, 0.0134516", \ + "0.014425, 0.0146693, 0.0146788, 0.014732, 0.0147098, 0.0147176, 0.0146014" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130999, 0.0134262, 0.0134614, 0.0134573, 0.0134331, 0.0133179, 0.0131852", \ + "0.012906, 0.0132494, 0.0132528, 0.0132577, 0.0132344, 0.0131267, 0.0129768", \ + "0.0128442, 0.0131874, 0.013221, 0.0132016, 0.0131642, 0.0130785, 0.0128828", \ + "0.0127952, 0.013097, 0.0131563, 0.0131797, 0.0131719, 0.0131257, 0.0129051", \ + "0.0129251, 0.0132446, 0.0132877, 0.0132601, 0.0132836, 0.0131799, 0.0139085", \ + "0.0132718, 0.0135701, 0.0136463, 0.013676, 0.0136371, 0.0135158, 0.0137537", \ + "0.0142179, 0.0145169, 0.0145537, 0.0146113, 0.0146285, 0.0146316, 0.014377" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0131356, 0.0133889, 0.0134237, 0.0133699, 0.0132682, 0.0130611, 0.0127216", \ + "0.0129574, 0.0132015, 0.0132136, 0.0131976, 0.0130865, 0.012889, 0.0125375", \ + "0.0129603, 0.0132439, 0.0132256, 0.0132154, 0.0130736, 0.0128868, 0.0125477", \ + "0.0129581, 0.0132023, 0.0132483, 0.0134199, 0.0131991, 0.0128946, 0.0126131", \ + "0.0131108, 0.0133898, 0.0134094, 0.0133481, 0.013267, 0.0135469, 0.0126806", \ + "0.0135005, 0.0137322, 0.013807, 0.0137671, 0.0137941, 0.0134744, 0.0134516", \ + "0.014425, 0.0146693, 0.0146788, 0.014732, 0.0147098, 0.0147176, 0.0146014" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0277032, 0.0414114, 0.0505799, 0.0656376, 0.0907492, 0.132554, 0.202225", \ + "0.0275196, 0.0412344, 0.0503874, 0.0654392, 0.0905466, 0.132372, 0.202049", \ + "0.0274222, 0.0411652, 0.0503362, 0.0653515, 0.0904624, 0.132355, 0.201975", \ + "0.0272838, 0.0409602, 0.0501806, 0.0652515, 0.0908898, 0.132157, 0.20184", \ + "0.0274464, 0.0410822, 0.0502437, 0.0653109, 0.0905709, 0.132339, 0.202565", \ + "0.0275019, 0.0411144, 0.0503219, 0.0654471, 0.090514, 0.132461, 0.202167", \ + "0.0284182, 0.0419231, 0.0511647, 0.0662688, 0.0914986, 0.133464, 0.20303" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "SET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0247523, 0.0384204, 0.0474999, 0.0624389, 0.0873707, 0.12892, 0.198189", \ + "0.0243042, 0.0379189, 0.0470387, 0.0619925, 0.0869367, 0.128507, 0.197846", \ + "0.0240236, 0.0376416, 0.0470386, 0.0617722, 0.0866949, 0.128357, 0.197546", \ + "0.0238906, 0.0373904, 0.0465403, 0.0617282, 0.0865232, 0.128149, 0.197418", \ + "0.0241744, 0.037602, 0.0468248, 0.0617371, 0.0867992, 0.129693, 0.198001", \ + "0.0250281, 0.0383198, 0.0474826, 0.0625845, 0.0877764, 0.129129, 0.2", \ + "0.0276646, 0.0405449, 0.0496888, 0.0648636, 0.0900679, 0.132177, 0.201314" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : input; + max_transition : 2.5074; + capacitance : 0.00283202; + rise_capacitance : 0.00287877; + rise_capacitance_range (0.00287877, 0.00287877); + fall_capacitance : 0.00277359; + fall_capacitance_range (0.00277359, 0.00277359); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.160522, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.207214, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(RESET_B * SCD * SCE * SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00994385, 0.00957223, 0.00953545, 0.00949932, 0.00977009, 0.0105355, 0.0123543" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00888722, 0.00854824, 0.00849377, 0.00856065, 0.0088531, 0.00956237, 0.0113386" \ + ); + } + } + internal_power () { + when : "(RESET_B * SCD * SCE * SET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0160943, 0.0157867, 0.015646, 0.015663, 0.0159636, 0.0166423, 0.0184163" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0101669, 0.00980207, 0.00972882, 0.00974316, 0.009997, 0.0107375, 0.0125223" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00429576, 0.00398126, 0.00391385, 0.00402296, 0.00438185, 0.00513683, 0.00703663" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SCD * SCE * SET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0172961, 0.0169784, 0.0169362, 0.0170238, 0.0173971, 0.018158, 0.0200451" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SCD * SCE * SET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00974957, 0.00932658, 0.0092912, 0.00927485, 0.00955053, 0.0102854, 0.0121085" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00933621, 0.00902331, 0.00897196, 0.0090331, 0.00934732, 0.0100328, 0.0118259" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !SCE * SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00582602, 0.00548753, 0.0054554, 0.00541348, 0.00568669, 0.00645234, 0.00826913" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00888525, 0.00854811, 0.00849259, 0.0085599, 0.00885316, 0.00956347, 0.0113388" \ + ); + } + } + internal_power () { + when : "(!RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00230922, 0.00190099, 0.00186353, 0.00185168, 0.00212478, 0.00286565, 0.00469159" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000825506, 0.000512763, 0.00045253, 0.000517812, 0.000829322, 0.00151211, 0.00331033" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !SCE * SET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00973922, 0.00932958, 0.00927497, 0.00926914, 0.00954195, 0.010282, 0.0121044" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00898012, 0.0086669, 0.00860785, 0.00866861, 0.00898095, 0.00966495, 0.0114622" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00973922, 0.00932958, 0.00927497, 0.00926914, 0.00954195, 0.010282, 0.0121044" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00933621, 0.00902331, 0.00897196, 0.0090331, 0.00934732, 0.0100328, 0.0118259" \ + ); + } + } + } + pin (D) { + direction : input; + nextstate_type : data; + max_transition : 2.5074; + capacitance : 0.00187505; + rise_capacitance : 0.0019046; + rise_capacitance_range (0.0019046, 0.0019046); + fall_capacitance : 0.00184551; + fall_capacitance_range (0.00184551, 0.00184551); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.156492, -0.0676338, -0.0118599, 0.0477424", \ + "-0.361648, -0.262285, -0.206204, -0.145099", \ + "-0.530785, -0.433665, -0.375073, -0.312279", \ + "-0.716937, -0.619123, -0.569106, -0.507665" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.161383, 0.0097368, 0.124444, 0.242025", \ + "-0.354161, -0.180799, -0.0619709, 0.0665737", \ + "-0.520498, -0.35237, -0.237456, -0.106057", \ + "-0.687255, -0.525657, -0.410909, -0.289251" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.210286, 0.10008, 0.0478647, -0.0126637", \ + "0.419052, 0.303029, 0.242917, 0.183585", \ + "0.600223, 0.480868, 0.418246, 0.351828", \ + "0.808681, 0.68235, 0.619955, 0.554889" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.25919, 0.0851046, -0.0215733, -0.107106", \ + "0.451498, 0.277564, 0.16949, 0.0846213", \ + "0.625941, 0.454644, 0.345391, 0.25578", \ + "0.805983, 0.638366, 0.529557, 0.442731" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * !SCE * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00893901, 0.00887825, 0.00877395, 0.00874113, 0.00877959, 0.00902301, 0.00978254" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00894217, 0.00883141, 0.00876018, 0.0087139, 0.00878526, 0.00905154, 0.00977296" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * !SCE * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000124841, -0.000232355, -0.000287957, -0.00030153, -0.000273207, -4.507e-05, 0.000675144" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00414901, 0.00402637, 0.0039735, 0.00397166, 0.00403803, 0.00427929, 0.00491537" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000124841, -0.000232355, -0.000287957, -0.00030153, -0.000273207, -4.507e-05, 0.000675144" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00414901, 0.00402637, 0.0039735, 0.00397166, 0.00403803, 0.00427929, 0.00491537" \ + ); + } + } + } + pin (RESET_B) { + direction : input; + max_transition : 2.5074; + capacitance : 0.00163018; + rise_capacitance : 0.00163018; + rise_capacitance_range (0.00163018, 0.00163018); + fall_capacitance : 0.00163018; + fall_capacitance_range (0.00163018, 0.00163018); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.110034, -0.0446784, -0.145019, -0.25012", \ + "0.316723, 0.157881, 0.0541036, -0.0555777", \ + "0.47935, 0.320901, 0.213171, 0.100407", \ + "0.660271, 0.498167, 0.388309, 0.274493" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0660201, 0.0871074, 0.191311, 0.295992", \ + "-0.269303, -0.112044, -0.0069002, 0.10506", \ + "-0.422771, -0.265831, -0.159203, -0.0439082", \ + "-0.587415, -0.429442, -0.323335, -0.206608" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.222778, 0.689697, 1.68457, 3.34351" \ + ); + } + } + } + pin (SCD) { + direction : input; + nextstate_type : scan_in; + max_transition : 2.5074; + capacitance : 0.0018369; + rise_capacitance : 0.00186092; + rise_capacitance_range (0.00186092, 0.00186092); + fall_capacitance : 0.00181287; + fall_capacitance_range (0.00181287, 0.00181287); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.19806, -0.107567, -0.0530083, 0.00726698", \ + "-0.411565, -0.315761, -0.261274, -0.200079", \ + "-0.61051, -0.51496, -0.46142, -0.399852", \ + "-0.84376, -0.751074, -0.696229, -0.634581" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.210286, -0.0376839, 0.0781524, 0.193454", \ + "-0.391598, -0.224088, -0.103929, 0.0170917", \ + "-0.564218, -0.396951, -0.28063, -0.156906", \ + "-0.74392, -0.583386, -0.473058, -0.348282" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.251855, 0.142509, 0.0864414, 0.0278118", \ + "0.466473, 0.353958, 0.297988, 0.238565", \ + "0.674805, 0.556918, 0.501896, 0.439401", \ + "0.922013, 0.800556, 0.744253, 0.681805" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.308094, 0.135021, 0.0298623, -0.0558375", \ + "0.493927, 0.320854, 0.214071, 0.131354", \ + "0.672233, 0.499225, 0.388564, 0.303804", \ + "0.862648, 0.693345, 0.583231, 0.49881" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * SCE * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0101383, 0.0101134, 0.0100444, 0.0100174, 0.0100171, 0.010148, 0.010606" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0115689, 0.0114817, 0.0114388, 0.0113717, 0.0113852, 0.0114967, 0.0119548" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * SCE * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00482569, 0.00476895, 0.00472764, 0.00472898, 0.00471877, 0.00480976, 0.00525062" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0014806, -0.00146253, -0.00153318, -0.00156466, -0.00153952, -0.00146681, -0.00109347" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00482569, 0.00476895, 0.00472764, 0.00472898, 0.00471877, 0.00480976, 0.00525062" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0014806, -0.00146253, -0.00153318, -0.00156466, -0.00153952, -0.00146681, -0.00109347" \ + ); + } + } + } + pin (SCE) { + direction : input; + nextstate_type : scan_enable; + max_transition : 2.5074; + capacitance : 0.00334335; + rise_capacitance : 0.00365127; + rise_capacitance_range (0.00365127, 0.00365127); + fall_capacitance : 0.00303543; + fall_capacitance_range (0.00303543, 0.00303543); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.171163, -0.0776171, -0.0247187, 0.0342506", \ + "-0.386606, -0.28775, -0.232428, -0.16984", \ + "-0.571934, -0.473001, -0.415548, -0.351828", \ + "-0.778999, -0.68235, -0.625605, -0.563744" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.161383, 0.0097368, 0.124444, 0.239326", \ + "-0.334194, -0.162973, -0.043614, 0.0803187", \ + "-0.487065, -0.318279, -0.199679, -0.0721577", \ + "-0.646779, -0.481673, -0.36571, -0.239075" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.224958, 0.115054, 0.0607236, 0.000828112", \ + "0.44401, 0.328493, 0.269141, 0.208326", \ + "0.641372, 0.520205, 0.458722, 0.394202", \ + "0.868045, 0.742827, 0.679279, 0.61392" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.25919, 0.0851046, -0.0215733, -0.107106", \ + "0.434027, 0.259739, 0.153755, 0.0708763", \ + "0.592508, 0.420553, 0.310312, 0.224705", \ + "0.762809, 0.591632, 0.481533, 0.398458" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESET_B * !SCD * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0118259, 0.0116794, 0.0116632, 0.0116765, 0.0118488, 0.0122246, 0.0131279" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0117949, 0.0116859, 0.0116544, 0.0116636, 0.0118016, 0.0121624, 0.0130134" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESET_B * !SCD * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00887876, 0.00829523, 0.00814022, 0.00815676, 0.00829064, 0.00870639, 0.00961543" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0102054, 0.0122576, 0.013643, 0.0147623, 0.0151621, 0.0155524, 0.0164673" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESET_B * SCD * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0105157, 0.0103279, 0.0102067, 0.0102573, 0.0104955, 0.0112002, 0.0128817" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00353366, 0.0049167, 0.00656578, 0.013106, 0.0182889, 0.0193667, 0.02092" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESET_B * SCD * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00512, 0.0048867, 0.00482212, 0.00489562, 0.00511575, 0.00577239, 0.00738802" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00288645, -0.00308581, -0.00313357, -0.00311898, -0.00293923, -0.00241477, -0.00100276" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00887876, 0.00829523, 0.00814022, 0.00815676, 0.00829064, 0.00870639, 0.00961543" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0117949, 0.0116859, 0.0116544, 0.0116636, 0.0118016, 0.0121624, 0.0130134" \ + ); + } + } + } + pin (SET_B) { + direction : input; + max_transition : 2.5074; + capacitance : 0.00492701; + rise_capacitance : 0.00492701; + rise_capacitance_range (0.00492701, 0.00492701); + fall_capacitance : 0.00492701; + fall_capacitance_range (0.00492701, 0.00492701); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0366779, -0.142016, -0.265892, -0.385038", \ + "0.221882, 0.0432898, -0.0796394, -0.190279", \ + "0.443345, 0.263208, 0.143013, 0.0297834", \ + "1.00296, 0.819799, 0.676454, 0.53718" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0611298, 0.244344, 0.371335, 0.501068", \ + "-0.0746283, 0.106951, 0.236984, 0.368964", \ + "-0.163021, 0.0173898, 0.143013, 0.275311", \ + "-0.260913, -0.0803187, 0.0439082, 0.174141" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : non_seq_hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.124705, -0.092592, -0.0761543, -0.0547954", \ + "-0.304244, -0.224088, -0.198336, -0.175338", \ + "-0.463919, -0.35237, -0.304915, -0.264254", \ + "-0.635986, -0.506414, -0.447633, -0.389603" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : non_seq_setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.158937, 0.142509, 0.225317, 0.373202", \ + "0.341682, 0.257192, 0.261274, 0.312788", \ + "0.507639, 0.394329, 0.353486, 0.360303", \ + "0.692652, 0.564143, 0.501307, 0.469295" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.139771, 0.689697, 1.68457, 3.34351" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clear_preset_var1 : "H"; + clear_preset_var2 : "L"; + clocked_on : "CLK"; + next_state : "(SCE*SCD)+(SCE'*D)"; + preset : "SET_B'"; + } + test_cell () { + pin (Q) { + direction : output; + function : "IQ"; + signal_type : test_scan_out; + } + pin (Q_N) { + direction : output; + function : "IQN"; + signal_type : test_scan_out_inverted; + } + pin (CLK) { + direction : input; + } + pin (D) { + direction : input; + } + pin (RESET_B) { + direction : input; + } + pin (SCD) { + direction : input; + signal_type : test_scan_in; + } + pin (SCE) { + direction : input; + signal_type : test_scan_enable; + } + pin (SET_B) { + direction : input; + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clear_preset_var1 : H; + clear_preset_var2 : L; + clocked_on : "CLK"; + next_state : "D"; + preset : "SET_B'"; + } + } + } + cell (sg13g2_sighold) { + area : 9.072; + cell_footprint : "keepstate"; + dont_touch : true; + dont_use : true; + cell_leakage_power : 162.92; + leakage_power () { + value : 185.456; + when : "SH"; + } + leakage_power () { + value : 140.384; + when : "!SH"; + } + pin (SH) { + direction : "inout"; + driver_type : bus_hold; + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_slgcp_1) { + area : 30.8448; + cell_footprint : "sgclk"; + clock_gating_integrated_cell : "latch_posedge_precontrol"; + dont_use : true; + dont_touch : true; + cell_leakage_power : 2008.86; + leakage_power () { + value : 1673.78; + when : "!CLK&GATE&SCE&!GCLK"; + } + leakage_power () { + value : 2085.67; + when : "CLK&GATE&SCE&GCLK"; + } + leakage_power () { + value : 2370.63; + when : "!GATE&SCE&!GCLK"; + } + leakage_power () { + value : 1849.31; + when : "!CLK&GATE&!SCE&!GCLK"; + } + leakage_power () { + value : 2261.2; + when : "CLK&GATE&!SCE&GCLK"; + } + leakage_power () { + value : 1812.54; + when : "!GATE&!SCE&!GCLK"; + } + statetable ("CLK GATE SCE", "int_GATE") { + table : "L L L : - : L,\ + L L H : - : H,\ + L H L : - : H,\ + L H H : - : H,\ + H - - : - : N"; + } + pin (int_GATE) { + direction : "internal"; + internal_node : "int_GATE"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : "output"; + state_function : "CLK * int_GATE"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.114241, 0.22229, 0.290353, 0.402448, 0.589775, 0.901688, 1.42141", \ + "0.149297, 0.257425, 0.325648, 0.437787, 0.625096, 0.9371, 1.45691", \ + "0.177872, 0.287337, 0.355648, 0.467818, 0.655078, 0.967325, 1.48722", \ + "0.222912, 0.335255, 0.403716, 0.516071, 0.70326, 1.01527, 1.54189", \ + "0.286707, 0.405813, 0.474298, 0.586742, 0.774295, 1.08617, 1.60581", \ + "0.370947, 0.505211, 0.574265, 0.686561, 0.873907, 1.1859, 1.70556", \ + "0.481236, 0.643602, 0.715037, 0.827997, 1.01554, 1.32751, 1.84675" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0298679, 0.162756, 0.258838, 0.418623, 0.686657, 1.13361, 1.87785", \ + "0.0308291, 0.162888, 0.258878, 0.418624, 0.686658, 1.13362, 1.87786", \ + "0.0329286, 0.163497, 0.259113, 0.418713, 0.686659, 1.13363, 1.87893", \ + "0.0376713, 0.165181, 0.260272, 0.419378, 0.686777, 1.13554, 1.88453", \ + "0.0478209, 0.169002, 0.262424, 0.420743, 0.687954, 1.13555, 1.88454", \ + "0.065017, 0.178572, 0.26793, 0.423666, 0.689627, 1.13561, 1.88455", \ + "0.089841, 0.202304, 0.283344, 0.432313, 0.694571, 1.13855, 1.88456" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0915324, 0.184029, 0.242182, 0.337638, 0.496978, 0.762302, 1.20443", \ + "0.132866, 0.225923, 0.284086, 0.379594, 0.539014, 0.804365, 1.24656", \ + "0.165916, 0.26035, 0.318667, 0.414198, 0.573689, 0.839037, 1.28119", \ + "0.216037, 0.31361, 0.372024, 0.467773, 0.627423, 0.892808, 1.33502", \ + "0.285372, 0.388738, 0.447361, 0.543072, 0.702653, 0.968137, 1.40996", \ + "0.370811, 0.486779, 0.545715, 0.64117, 0.800665, 1.06605, 1.50796", \ + "0.479514, 0.618477, 0.679595, 0.775072, 0.933925, 1.19907, 1.64086" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0245237, 0.131206, 0.208057, 0.335436, 0.549213, 0.906379, 1.49983", \ + "0.0256057, 0.131465, 0.208061, 0.335991, 0.549239, 0.90638, 1.49991", \ + "0.0285158, 0.13229, 0.208436, 0.335992, 0.549418, 0.906381, 1.49992", \ + "0.0344782, 0.134483, 0.209872, 0.336535, 0.549758, 0.906382, 1.50235", \ + "0.0445009, 0.138898, 0.21288, 0.338624, 0.551331, 0.907098, 1.50236", \ + "0.062023, 0.148284, 0.218656, 0.342172, 0.553711, 0.909272, 1.50237", \ + "0.087709, 0.169881, 0.233396, 0.350522, 0.558667, 0.912571, 1.50473" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00839094, 0.00874994, 0.00875234, 0.00870744, 0.00862734, 0.00846294, 0.00852174", \ + "0.00822384, 0.00854334, 0.00866634, 0.00853504, 0.00844564, 0.00830214, 0.00835674", \ + "0.0081869, 0.0084804, 0.0085403, 0.0084781, 0.0084241, 0.0083458, 0.0083429", \ + "0.0081176, 0.0083838, 0.0084069, 0.0085118, 0.0083333, 0.0082225, 0.008697", \ + "0.0081608, 0.0083726, 0.0084372, 0.0084336, 0.0083934, 0.0083292, 0.0082619", \ + "0.00855049, 0.00863779, 0.00868549, 0.00876949, 0.00879449, 0.00855419, 0.00881859", \ + "0.00975205, 0.00971595, 0.00970195, 0.00976475, 0.00982715, 0.00990685, 0.00959705" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00757975, 0.00794085, 0.00794935, 0.00791915, 0.00784595, 0.00772615, 0.00746565", \ + "0.00742454, 0.00780964, 0.00781524, 0.00781314, 0.00772064, 0.00759804, 0.00734364", \ + "0.00739487, 0.00770827, 0.00779027, 0.00775337, 0.00769017, 0.00756017, 0.00730777", \ + "0.0074411, 0.0077399, 0.0077332, 0.0077743, 0.0077529, 0.00784, 0.0074955", \ + "0.00773038, 0.00792278, 0.00798418, 0.00800558, 0.00790268, 0.00805648, 0.00758388", \ + "0.00833815, 0.00838465, 0.00845895, 0.00851855, 0.00851755, 0.00833415, 0.00837845", \ + "0.00985474, 0.00967234, 0.00968044, 0.00969554, 0.00972364, 0.00977534, 0.00959654" \ + ); + } + } + } + pin (CLK) { + clock_gate_clock_pin : true; + direction : "input"; + clock : true; + max_transition : 2.5074; + capacitance : 0.00464926; + rise_capacitance : 0.00467051; + rise_capacitance_range (0.00467051, 0.00467051); + fall_capacitance : 0.004628; + fall_capacitance_range (0.004628, 0.004628); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.362854, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.163116, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00441756, 0.00412616, 0.0040567, 0.0041041, 0.0043762, 0.00506991, 0.00666565" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00305475, 0.00275136, 0.00269923, 0.0027848, 0.00304262, 0.00368695, 0.00537596" \ + ); + } + } + } + pin (GATE) { + clock_gate_enable_pin : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00187359; + rise_capacitance : 0.00223154; + rise_capacitance_range (0.00223154, 0.00223154); + fall_capacitance : 0.00151563; + fall_capacitance_range (0.00151563, 0.00151563); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0660508, -0.00511193, 0.024145, 0.0535493", \ + "-0.253442, -0.18051, -0.148542, -0.117852", \ + "-0.384194, -0.312548, -0.275233, -0.24448", \ + "-0.517221, -0.448287, -0.410909, -0.381631" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.109424, 0.0238892, 0.106442, 0.18523", \ + "-0.285153, -0.155837, -0.0705731, 0.0134979", \ + "-0.427914, -0.303882, -0.221266, -0.137132", \ + "-0.569017, -0.451693, -0.37701, -0.294351" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.101515, 0.0932752, 0.148164, 0.252224", \ + "0.302479, 0.24625, 0.239873, 0.265241", \ + "0.456204, 0.386699, 0.369676, 0.363128", \ + "0.614256, 0.546037, 0.521082, 0.509061" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.177376, 0.0245373, -0.0627217, -0.130829", \ + "0.362615, 0.213773, 0.125228, 0.0384185", \ + "0.515355, 0.37722, 0.286027, 0.199281", \ + "0.673267, 0.540416, 0.453283, 0.369059" \ + ); + } + } + internal_power () { + when : "!CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0150583, 0.0152578, 0.0153703, 0.0154636, 0.0155881, 0.0160356, 0.0171951" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0101665, 0.0158077, 0.0205238, 0.0225573, 0.0231723, 0.0237935, 0.0249273" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0150583, 0.0152578, 0.0153703, 0.0154636, 0.0155881, 0.0160356, 0.0171951" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0101665, 0.0158077, 0.0205238, 0.0225573, 0.0231723, 0.0237935, 0.0249273" \ + ); + } + } + } + pin (SCE) { + clock_gate_test_pin : "true"; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00224653; + rise_capacitance : 0.00222934; + rise_capacitance_range (0.00222934, 0.00222934); + fall_capacitance : 0.00226373; + fall_capacitance_range (0.00226373, 0.00226373); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0737994, -0.0134175, 0.0190015, 0.0470133", \ + "-0.267752, -0.199375, -0.164244, -0.134947", \ + "-0.412483, -0.341395, -0.304915, -0.275554", \ + "-0.559856, -0.491479, -0.458933, -0.42705" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.115327, 0.0169526, 0.0987266, 0.178274", \ + "-0.275397, -0.147115, -0.0626292, 0.0182038", \ + "-0.409912, -0.282915, -0.205076, -0.120182", \ + "-0.544605, -0.432313, -0.35441, -0.274989" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.002, 0, 0, 0", \ + "0.49976, 0.002, 0, 0", \ + "1.2464, 0.74864, 0.002, 0", \ + "2.4908, 1.99304, 1.2464, 0.002" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.183532, 0.0302885, -0.0575781, -0.122701", \ + "0.351334, 0.202087, 0.112935, 0.0291101", \ + "0.49478, 0.352243, 0.26444, 0.176681", \ + "0.644858, 0.5116, 0.427859, 0.346619" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00522973, 0.00509703, 0.00504988, 0.00504013, 0.0051326, 0.00554157, 0.0066962" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00993535, 0.0163865, 0.0201277, 0.022041, 0.0224349, 0.0227662, 0.0236833" \ + ); + } + } + } + } + cell (sg13g2_tiehi) { + area : 7.2576; + cell_footprint : "tie1"; + cell_leakage_power : 14.3391; + pin (L_HI) { + direction : "output"; + function : "1"; + driver_type : open_drain; + } + } + cell (sg13g2_tielo) { + area : 7.2576; + cell_footprint : "tie0"; + cell_leakage_power : 12.6011; + pin (L_LO) { + direction : "output"; + function : "0"; + driver_type : open_source; + } + } + cell (sg13g2_xnor2_1) { + area : 14.5152; + cell_footprint : "xnor2_1"; + cell_leakage_power : 857.228; + leakage_power () { + value : 1063.94; + when : "!A&B"; + } + leakage_power () { + value : 863.229; + when : "A&B"; + } + leakage_power () { + value : 1222.57; + when : "A&!B"; + } + leakage_power () { + value : 279.172; + when : "!A&!B"; + } + pin (Y) { + direction : "output"; + function : "!(A^B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.112779, 0.218201, 0.285871, 0.397592, 0.584861, 0.896064, 1.41587", \ + "0.149811, 0.256095, 0.32395, 0.435733, 0.624567, 0.934391, 1.45397", \ + "0.179277, 0.286996, 0.354951, 0.466975, 0.653979, 0.965991, 1.48505", \ + "0.225003, 0.334962, 0.403248, 0.515248, 0.702229, 1.01406, 1.53352", \ + "0.289726, 0.405401, 0.47386, 0.585626, 0.772901, 1.08477, 1.60437", \ + "0.374377, 0.505226, 0.572972, 0.685012, 0.872668, 1.18434, 1.70338", \ + "0.485526, 0.642587, 0.713686, 0.827426, 1.01403, 1.32642, 1.84585" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0325754, 0.165703, 0.261861, 0.421682, 0.689565, 1.13601, 1.88058", \ + "0.0334612, 0.165914, 0.262008, 0.422423, 0.691689, 1.1367, 1.88059", \ + "0.0356427, 0.166627, 0.262337, 0.422424, 0.69169, 1.1369, 1.88118", \ + "0.0406113, 0.168307, 0.263345, 0.422587, 0.691691, 1.13713, 1.88119", \ + "0.0509308, 0.172058, 0.265495, 0.423998, 0.691692, 1.13728, 1.8812", \ + "0.068926, 0.182651, 0.271138, 0.426577, 0.69295, 1.1389, 1.88214", \ + "0.095097, 0.204234, 0.286175, 0.436044, 0.697263, 1.14167, 1.8846" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.106515, 0.265591, 0.371986, 0.547259, 0.840526, 1.32861, 2.14264", \ + "0.14722, 0.306432, 0.412958, 0.588366, 0.88158, 1.37024, 2.18321", \ + "0.180025, 0.339205, 0.445916, 0.621596, 0.914938, 1.40345, 2.21717", \ + "0.230027, 0.390411, 0.497094, 0.672941, 0.966372, 1.45473, 2.26891", \ + "0.299264, 0.460834, 0.567219, 0.742379, 1.03552, 1.52395, 2.3381", \ + "0.386744, 0.554354, 0.659611, 0.834454, 1.12765, 1.61592, 2.42969", \ + "0.497032, 0.6808, 0.784895, 0.959199, 1.25073, 1.73831, 2.55193" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0375116, 0.236235, 0.376217, 0.607788, 0.996201, 1.64079, 2.72056", \ + "0.0382704, 0.236303, 0.376218, 0.608231, 0.996202, 1.64122, 2.72057", \ + "0.0405602, 0.236649, 0.376375, 0.608238, 0.996203, 1.64318, 2.72067", \ + "0.0452343, 0.237223, 0.37661, 0.608239, 0.999255, 1.64319, 2.72068", \ + "0.0544989, 0.238981, 0.377954, 0.60875, 0.999256, 1.6432, 2.72069", \ + "0.071062, 0.243484, 0.380289, 0.610271, 0.999257, 1.64321, 2.7207", \ + "0.096864, 0.255469, 0.386806, 0.613755, 0.999998, 1.64401, 2.72071" \ + ); + } + } + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0789579, 0.285897, 0.427611, 0.661434, 1.05238, 1.70358, 2.78913", \ + "0.112105, 0.321477, 0.463291, 0.697306, 1.08832, 1.74016, 2.82499", \ + "0.137188, 0.354507, 0.496595, 0.73073, 1.12207, 1.77396, 2.85862", \ + "0.175258, 0.416706, 0.561109, 0.795348, 1.18682, 1.83831, 2.92405", \ + "0.226121, 0.520595, 0.678069, 0.920974, 1.31453, 1.96632, 3.05496", \ + "0.28255, 0.6732, 0.864657, 1.13775, 1.55462, 2.21489, 3.30024", \ + "0.353087, 0.887921, 1.13604, 1.47981, 1.96551, 2.684, 3.79897" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0596913, 0.339476, 0.534352, 0.856123, 1.3947, 2.29226, 3.78754", \ + "0.0639419, 0.339918, 0.534353, 0.856253, 1.39471, 2.29257, 3.7879", \ + "0.0733494, 0.342266, 0.534589, 0.856556, 1.39472, 2.29334, 3.78791", \ + "0.0936336, 0.356037, 0.542388, 0.857709, 1.39473, 2.29335, 3.78805", \ + "0.133092, 0.402576, 0.579405, 0.88041, 1.40275, 2.29336, 3.78933", \ + "0.203167, 0.500528, 0.680298, 0.968354, 1.46229, 2.31966, 3.79847", \ + "0.31404, 0.677955, 0.876063, 1.17519, 1.6571, 2.46457, 3.87278" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0706522, 0.227247, 0.333927, 0.510382, 0.804848, 1.29584, 2.11391", \ + "0.105611, 0.267367, 0.374331, 0.550754, 0.845578, 1.33661, 2.15531", \ + "0.131827, 0.30505, 0.412942, 0.589589, 0.884547, 1.37587, 2.19393", \ + "0.171477, 0.373347, 0.487299, 0.666506, 0.961949, 1.45336, 2.27269", \ + "0.224839, 0.48479, 0.615221, 0.810305, 1.11526, 1.60867, 2.42765", \ + "0.292669, 0.654505, 0.8188, 1.04931, 1.38954, 1.90843, 2.73441", \ + "0.380722, 0.902366, 1.12786, 1.42554, 1.84237, 2.43493, 3.32367" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.044384, 0.248295, 0.389443, 0.622838, 1.01296, 1.663, 2.74748", \ + "0.0494738, 0.248898, 0.38986, 0.622839, 1.01343, 1.66399, 2.74894", \ + "0.0580666, 0.253992, 0.391628, 0.62284, 1.01344, 1.6643, 2.74895", \ + "0.077355, 0.275304, 0.406724, 0.630451, 1.01421, 1.66431, 2.75012", \ + "0.11627, 0.325999, 0.45601, 0.670103, 1.03788, 1.67056, 2.75013", \ + "0.181374, 0.428024, 0.55986, 0.774855, 1.1291, 1.73257, 2.77463", \ + "0.287223, 0.618485, 0.76545, 0.987468, 1.3449, 1.93208, 2.92157" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.105653, 0.209756, 0.276922, 0.388292, 0.575234, 0.886602, 1.40608", \ + "0.14221, 0.247649, 0.315074, 0.426492, 0.61332, 0.924652, 1.44474", \ + "0.171617, 0.278371, 0.346147, 0.457779, 0.644571, 0.956033, 1.47541", \ + "0.216157, 0.32496, 0.392586, 0.504139, 0.691091, 1.00309, 1.52246", \ + "0.276365, 0.39253, 0.460059, 0.571069, 0.757314, 1.06847, 1.588", \ + "0.355189, 0.487079, 0.554509, 0.666305, 0.85212, 1.16401, 1.68258", \ + "0.460389, 0.617557, 0.687634, 0.799632, 0.986416, 1.29635, 1.81463" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0325326, 0.165893, 0.262119, 0.422016, 0.689918, 1.13641, 1.88108", \ + "0.0342799, 0.166194, 0.262198, 0.422339, 0.690229, 1.13677, 1.88161", \ + "0.0373618, 0.166966, 0.262588, 0.42234, 0.690328, 1.13731, 1.88192", \ + "0.0442543, 0.168738, 0.263789, 0.422964, 0.690503, 1.13732, 1.88193", \ + "0.0576739, 0.172954, 0.26589, 0.424249, 0.691559, 1.13735, 1.88194", \ + "0.077591, 0.183941, 0.271868, 0.426842, 0.693429, 1.13938, 1.8827", \ + "0.105639, 0.206797, 0.287382, 0.436372, 0.698329, 1.14215, 1.88473" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.108839, 0.258937, 0.364056, 0.539138, 0.833156, 1.32361, 2.14106", \ + "0.146133, 0.299244, 0.404561, 0.579431, 0.873162, 1.36331, 2.18118", \ + "0.175926, 0.330498, 0.436116, 0.611008, 0.904971, 1.39481, 2.21268", \ + "0.220519, 0.377649, 0.482933, 0.657964, 0.951687, 1.44166, 2.25897", \ + "0.283304, 0.442652, 0.54784, 0.721643, 1.01451, 1.50417, 2.32144", \ + "0.360013, 0.526627, 0.63247, 0.806634, 1.09879, 1.58395, 2.40392", \ + "0.456479, 0.64184, 0.747584, 0.921191, 1.21084, 1.70056, 2.51609" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0460025, 0.248014, 0.389408, 0.622677, 1.01341, 1.66446, 2.74748", \ + "0.0466173, 0.248263, 0.389409, 0.622678, 1.01342, 1.66447, 2.74906", \ + "0.0472961, 0.248264, 0.38941, 0.622679, 1.01343, 1.66448, 2.74907", \ + "0.0500624, 0.248265, 0.389411, 0.62268, 1.01344, 1.66449, 2.74908", \ + "0.0576411, 0.248266, 0.389412, 0.622681, 1.01345, 1.6645, 2.74909", \ + "0.073304, 0.249687, 0.389413, 0.622682, 1.01346, 1.66451, 2.7491", \ + "0.100314, 0.262209, 0.393959, 0.622683, 1.01347, 1.66452, 2.74911" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0707016, 0.2789, 0.420584, 0.654547, 1.04531, 1.69654, 2.78183", \ + "0.102578, 0.314555, 0.456518, 0.691067, 1.08208, 1.73353, 2.81953", \ + "0.128334, 0.352759, 0.4948, 0.728851, 1.12029, 1.77233, 2.85868", \ + "0.165958, 0.425625, 0.570491, 0.804147, 1.19531, 1.84663, 2.93381", \ + "0.216168, 0.545103, 0.708639, 0.9537, 1.34559, 1.99547, 3.08212", \ + "0.275126, 0.715906, 0.922522, 1.21056, 1.63449, 2.29414, 3.37559", \ + "0.349629, 0.941915, 1.22197, 1.60059, 2.11755, 2.85771, 3.97772" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0596992, 0.339587, 0.534126, 0.856163, 1.39444, 2.29132, 3.78569", \ + "0.0686446, 0.340706, 0.534127, 0.857076, 1.39543, 2.2927, 3.78749", \ + "0.0842604, 0.343704, 0.535351, 0.857077, 1.39544, 2.29334, 3.78788", \ + "0.112437, 0.366556, 0.547344, 0.858325, 1.39545, 2.29335, 3.78789", \ + "0.158493, 0.435499, 0.604412, 0.893903, 1.40641, 2.29336, 3.78951", \ + "0.228404, 0.569083, 0.746394, 1.02295, 1.49688, 2.33324, 3.80128", \ + "0.335684, 0.790259, 1.00264, 1.30914, 1.77519, 2.54984, 3.91696" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0604675, 0.216573, 0.322529, 0.497816, 0.790544, 1.27871, 2.09251", \ + "0.092668, 0.256808, 0.363374, 0.538824, 0.831912, 1.32029, 2.13444", \ + "0.115691, 0.293878, 0.401651, 0.57748, 0.870899, 1.35995, 2.17308", \ + "0.148756, 0.360583, 0.475234, 0.654177, 0.948238, 1.4373, 2.2523", \ + "0.190614, 0.468871, 0.601207, 0.797199, 1.10139, 1.59271, 2.40716", \ + "0.240512, 0.633336, 0.800725, 1.03372, 1.37485, 1.89251, 2.71502", \ + "0.304374, 0.869623, 1.10201, 1.40404, 1.82417, 2.41766, 3.30441" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0346575, 0.236217, 0.376453, 0.607825, 0.995522, 1.64176, 2.72053", \ + "0.04012, 0.237133, 0.379466, 0.60812, 0.996045, 1.64177, 2.72069", \ + "0.0489442, 0.242489, 0.379467, 0.61147, 0.996046, 1.64336, 2.7207", \ + "0.0681712, 0.264416, 0.394516, 0.616755, 0.997763, 1.64337, 2.72071", \ + "0.104991, 0.31593, 0.445019, 0.657101, 1.02143, 1.65132, 2.72078", \ + "0.16985, 0.419104, 0.549795, 0.762925, 1.11393, 1.71142, 2.74472", \ + "0.27812, 0.612911, 0.756316, 0.983138, 1.33332, 1.91359, 2.89646" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00619968, 0.00642396, 0.00637183, 0.00629252, 0.00618326, 0.0059474, 0.00606708", \ + "0.00600453, 0.006279, 0.00634091, 0.00620998, 0.00618699, 0.0058811, 0.00589763", \ + "0.0059516, 0.00624012, 0.00623894, 0.00615784, 0.00604867, 0.00588317, 0.00591346", \ + "0.00589619, 0.00614194, 0.00614114, 0.00618804, 0.00596804, 0.00584931, 0.00588988", \ + "0.00595877, 0.00618979, 0.00623955, 0.00616411, 0.00608674, 0.00618485, 0.00600316", \ + "0.00633793, 0.00651389, 0.00650642, 0.00654904, 0.00658816, 0.00630193, 0.00651307", \ + "0.00750538, 0.0075283, 0.00753808, 0.00764017, 0.00764114, 0.00774901, 0.00744593" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00544006, 0.00576735, 0.00577078, 0.00573356, 0.005676, 0.00548944, 0.00532655", \ + "0.00522607, 0.00559074, 0.00556494, 0.00555262, 0.00548474, 0.00535222, 0.00507886", \ + "0.00517326, 0.00550686, 0.00553456, 0.00549484, 0.00544003, 0.00533145, 0.00512462", \ + "0.00521028, 0.00543824, 0.00552403, 0.00552615, 0.00556753, 0.0053171, 0.00514949", \ + "0.0054261, 0.0056128, 0.00564238, 0.00562483, 0.0056109, 0.00546773, 0.00528464", \ + "0.00598361, 0.00605734, 0.00610179, 0.00612844, 0.00602954, 0.00619282, 0.00584724", \ + "0.00737726, 0.00728574, 0.0073055, 0.00734744, 0.00739115, 0.00726231, 0.00698633" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00627851, 0.00624856, 0.00612131, 0.005984, 0.00582541, 0.00559209, 0.00564206", \ + "0.00598691, 0.00608584, 0.00606147, 0.00588405, 0.00572712, 0.00549538, 0.00547361", \ + "0.00592596, 0.00606598, 0.00601436, 0.00589554, 0.00573877, 0.00553029, 0.00547962", \ + "0.00593108, 0.00607251, 0.00600714, 0.0060199, 0.00576608, 0.00558703, 0.00553278", \ + "0.00612229, 0.00629314, 0.00630911, 0.00617704, 0.00604574, 0.00587512, 0.00570048", \ + "0.00674354, 0.00685184, 0.00684464, 0.00687123, 0.0068104, 0.00649763, 0.00677344", \ + "0.0083112, 0.00816403, 0.00817593, 0.00822344, 0.00821743, 0.00817624, 0.00782749" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00611788, 0.00550074, 0.00533106, 0.00517102, 0.00500734, 0.00480522, 0.00451145", \ + "0.00566538, 0.00535608, 0.00516563, 0.0049996, 0.00481582, 0.00461871, 0.00437301", \ + "0.00550204, 0.00532236, 0.00521418, 0.00499928, 0.00483109, 0.00459073, 0.0043536", \ + "0.00541248, 0.00532775, 0.00527294, 0.0050946, 0.00490692, 0.00468764, 0.00437943", \ + "0.00551188, 0.00553403, 0.00542753, 0.00529421, 0.00520522, 0.00535729, 0.00453149", \ + "0.0060272, 0.00607785, 0.00601659, 0.0059463, 0.00565634, 0.00553918, 0.00553984", \ + "0.00750212, 0.0073158, 0.00735342, 0.00731287, 0.00720421, 0.00699714, 0.00660372" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00510987; + rise_capacitance : 0.00520752; + rise_capacitance_range (0.00520752, 0.00520752); + fall_capacitance : 0.00501222; + fall_capacitance_range (0.00501222, 0.00501222); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00474191; + rise_capacitance : 0.00473031; + rise_capacitance_range (0.00473031, 0.00473031); + fall_capacitance : 0.00475351; + fall_capacitance_range (0.00475351, 0.00475351); + } + } + cell (sg13g2_xor2_1) { + area : 14.5152; + cell_footprint : "xor2_1"; + cell_leakage_power : 861.634; + leakage_power () { + value : 674.435; + when : "!A&!B"; + } + leakage_power () { + value : 1243.37; + when : "A&B"; + } + leakage_power () { + value : 837.228; + when : "A&!B"; + } + leakage_power () { + value : 691.503; + when : "!A&B"; + } + pin (X) { + direction : "output"; + function : "(A^B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.109385, 0.319416, 0.46125, 0.695483, 1.08735, 1.73983, 2.82724", \ + "0.152887, 0.362114, 0.504239, 0.739167, 1.13066, 1.78352, 2.87057", \ + "0.186736, 0.395565, 0.537998, 0.772483, 1.16462, 1.8171, 2.90383", \ + "0.238839, 0.446321, 0.588375, 0.822939, 1.21506, 1.86751, 2.95498", \ + "0.314153, 0.521863, 0.663484, 0.897634, 1.2898, 1.94232, 3.03027", \ + "0.417372, 0.631228, 0.772279, 1.0053, 1.39628, 2.04871, 3.13612", \ + "0.555821, 0.784646, 0.925145, 1.15761, 1.54843, 2.19942, 3.2863" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0469279, 0.326057, 0.520826, 0.842757, 1.38165, 2.27995, 3.77714", \ + "0.0475826, 0.326309, 0.520954, 0.842796, 1.38199, 2.28067, 3.77715", \ + "0.0492086, 0.32631, 0.520955, 0.843277, 1.382, 2.28068, 3.77742", \ + "0.0537244, 0.32636, 0.520956, 0.843278, 1.38243, 2.28069, 3.77778", \ + "0.0642342, 0.327066, 0.521323, 0.843279, 1.38244, 2.2807, 3.78304", \ + "0.08144, 0.329923, 0.522321, 0.843575, 1.38245, 2.28071, 3.78305", \ + "0.107639, 0.337773, 0.526512, 0.845909, 1.38392, 2.28072, 3.78306" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.13381, 0.233263, 0.291818, 0.387467, 0.547125, 0.813395, 1.25667", \ + "0.168153, 0.268122, 0.326759, 0.422457, 0.582256, 0.848628, 1.29185", \ + "0.196041, 0.297444, 0.356313, 0.452149, 0.611958, 0.878363, 1.32135", \ + "0.239619, 0.344691, 0.404137, 0.500379, 0.660215, 0.926369, 1.36959", \ + "0.299856, 0.410587, 0.470819, 0.567292, 0.727273, 0.99355, 1.43708", \ + "0.370972, 0.493674, 0.554659, 0.651371, 0.811539, 1.07787, 1.5215", \ + "0.45643, 0.604415, 0.670285, 0.767691, 0.92751, 1.19491, 1.63823" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0346877, 0.140451, 0.215928, 0.342602, 0.556622, 0.914179, 1.51002", \ + "0.0349769, 0.140452, 0.215929, 0.342777, 0.556672, 0.914688, 1.51133", \ + "0.0368119, 0.141349, 0.216267, 0.342883, 0.556813, 0.915129, 1.51134", \ + "0.041255, 0.14383, 0.218146, 0.344034, 0.55768, 0.918236, 1.5127", \ + "0.0494268, 0.149373, 0.221964, 0.346492, 0.559114, 0.918237, 1.51271", \ + "0.066044, 0.160472, 0.229487, 0.351208, 0.562232, 0.918238, 1.51292", \ + "0.092742, 0.184829, 0.24921, 0.362564, 0.56814, 0.92156, 1.51542" \ + ); + } + } + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0869749, 0.293518, 0.435462, 0.66982, 1.0621, 1.71557, 2.80473", \ + "0.122173, 0.330988, 0.473063, 0.707705, 1.10005, 1.75422, 2.84388", \ + "0.148733, 0.364627, 0.506966, 0.741702, 1.13456, 1.7883, 2.87721", \ + "0.188509, 0.427291, 0.571931, 0.806939, 1.1999, 1.85345, 2.94516", \ + "0.240757, 0.531672, 0.688964, 0.932334, 1.3272, 1.98128, 3.07264", \ + "0.293416, 0.683948, 0.874965, 1.14799, 1.56679, 2.22955, 3.31936", \ + "0.346175, 0.894712, 1.14455, 1.49066, 1.97635, 2.69654, 3.81706" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0559537, 0.337708, 0.53311, 0.856106, 1.3958, 2.29631, 3.79744", \ + "0.0589314, 0.337748, 0.533111, 0.856107, 1.3971, 2.29672, 3.79784", \ + "0.0665354, 0.339458, 0.53356, 0.856714, 1.39711, 2.29779, 3.79813", \ + "0.0826607, 0.35207, 0.539764, 0.857032, 1.39712, 2.2978, 3.79923", \ + "0.116438, 0.395313, 0.574823, 0.878486, 1.40396, 2.29781, 3.79994", \ + "0.18327, 0.490984, 0.672645, 0.963235, 1.46069, 2.32236, 3.82335", \ + "0.304263, 0.667635, 0.866237, 1.16834, 1.65317, 2.46589, 3.88252" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0666853, 0.223374, 0.329569, 0.504765, 0.797342, 1.2848, 2.09687", \ + "0.102263, 0.263589, 0.369954, 0.545144, 0.837869, 1.32531, 2.13782", \ + "0.12933, 0.301915, 0.409088, 0.584391, 0.877235, 1.36534, 2.17672", \ + "0.170477, 0.371084, 0.484297, 0.662115, 0.955267, 1.44295, 2.25612", \ + "0.226589, 0.483901, 0.613486, 0.807204, 1.10955, 1.59921, 2.41153", \ + "0.298821, 0.655235, 0.818412, 1.04692, 1.38534, 1.9006, 2.72036", \ + "0.39582, 0.906546, 1.12926, 1.42561, 1.8393, 2.42812, 3.31192" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.044719, 0.246291, 0.386559, 0.618086, 1.0056, 1.65133, 2.72756", \ + "0.051047, 0.246998, 0.38656, 0.618087, 1.00561, 1.65134, 2.72757", \ + "0.0607735, 0.252936, 0.389306, 0.61945, 1.00562, 1.65197, 2.72758", \ + "0.0818333, 0.27464, 0.404843, 0.62598, 1.0071, 1.65198, 2.72772", \ + "0.121396, 0.326406, 0.455156, 0.667478, 1.03091, 1.65983, 2.72812", \ + "0.186684, 0.429763, 0.560871, 0.773059, 1.12505, 1.72075, 2.75401", \ + "0.289196, 0.619972, 0.765615, 0.98776, 1.3428, 1.9237, 2.90312" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.114184, 0.315384, 0.455402, 0.687553, 1.07838, 1.73099, 2.82039", \ + "0.151464, 0.356147, 0.496541, 0.729616, 1.12026, 1.77264, 2.86068", \ + "0.181075, 0.385969, 0.526992, 0.760354, 1.15114, 1.80417, 2.89169", \ + "0.22675, 0.432394, 0.57316, 0.806759, 1.19802, 1.85038, 2.93855", \ + "0.292204, 0.499782, 0.640414, 0.873768, 1.26455, 1.91806, 3.0067", \ + "0.380082, 0.594919, 0.735314, 0.968208, 1.35868, 2.01047, 3.0983", \ + "0.498151, 0.730638, 0.871387, 1.10425, 1.49413, 2.14593, 3.23275" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0560415, 0.337643, 0.533112, 0.855987, 1.39584, 2.29631, 3.79777", \ + "0.0560425, 0.338971, 0.533645, 0.855988, 1.3969, 2.29812, 3.7984", \ + "0.0560435, 0.338972, 0.533646, 0.856554, 1.39701, 2.29826, 3.79924", \ + "0.0580909, 0.338973, 0.533647, 0.856555, 1.40225, 2.29827, 3.79925", \ + "0.0668523, 0.338974, 0.533648, 0.856556, 1.40226, 2.29828, 3.8014", \ + "0.083839, 0.338975, 0.533649, 0.856557, 1.40227, 2.29829, 3.80141", \ + "0.110779, 0.343808, 0.53365, 0.856558, 1.40228, 2.2983, 3.80142" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.125049, 0.223301, 0.281597, 0.376814, 0.536512, 0.802387, 1.24573", \ + "0.158693, 0.258011, 0.31618, 0.411628, 0.57113, 0.837647, 1.28031", \ + "0.188337, 0.289552, 0.34808, 0.44356, 0.603197, 0.868993, 1.31229", \ + "0.233838, 0.33849, 0.397397, 0.493522, 0.653405, 0.91917, 1.36234", \ + "0.295484, 0.405219, 0.464727, 0.560849, 0.720438, 0.986305, 1.42911", \ + "0.369118, 0.490596, 0.550458, 0.646267, 0.805647, 1.07058, 1.51303", \ + "0.457196, 0.602398, 0.666416, 0.763084, 0.917819, 1.18337, 1.62619" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0347942, 0.140839, 0.216194, 0.342751, 0.556779, 0.914394, 1.51069", \ + "0.0356572, 0.140916, 0.216195, 0.342752, 0.557017, 0.914927, 1.5107", \ + "0.0384317, 0.142074, 0.216814, 0.343135, 0.557018, 0.914928, 1.51071", \ + "0.0443915, 0.145219, 0.219291, 0.344933, 0.558044, 0.914929, 1.51318", \ + "0.0553927, 0.150397, 0.223232, 0.347779, 0.560609, 0.917052, 1.51319", \ + "0.07425, 0.160588, 0.229304, 0.351677, 0.563354, 0.920201, 1.51421", \ + "0.103275, 0.185269, 0.248561, 0.363079, 0.568341, 0.923398, 1.51735" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0757359, 0.28296, 0.424469, 0.658231, 1.04958, 1.70227, 2.78865", \ + "0.108772, 0.319575, 0.461603, 0.695951, 1.08749, 1.74067, 2.82692", \ + "0.133092, 0.352517, 0.494961, 0.729644, 1.12162, 1.77414, 2.86103", \ + "0.16847, 0.413936, 0.559091, 0.794104, 1.18633, 1.83902, 2.92922", \ + "0.211929, 0.515937, 0.674593, 0.918642, 1.31332, 1.96667, 3.05695", \ + "0.249994, 0.663625, 0.857817, 1.13241, 1.55183, 2.21438, 3.30229", \ + "0.281658, 0.866868, 1.12126, 1.47021, 1.95884, 2.68063, 3.79966" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0461994, 0.326161, 0.520828, 0.842747, 1.38127, 2.27995, 3.77746", \ + "0.0498373, 0.32628, 0.520829, 0.842748, 1.38182, 2.27996, 3.77767", \ + "0.0575432, 0.328289, 0.521155, 0.842988, 1.38183, 2.28049, 3.77768", \ + "0.0739282, 0.341455, 0.528215, 0.8447, 1.38184, 2.2805, 3.77894", \ + "0.108567, 0.386242, 0.563932, 0.866027, 1.38912, 2.28187, 3.77913", \ + "0.175615, 0.483797, 0.662989, 0.951957, 1.44723, 2.30662, 3.79961", \ + "0.304179, 0.659818, 0.859748, 1.16057, 1.64128, 2.45016, 3.86116" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.058958, 0.215952, 0.322374, 0.4973, 0.790087, 1.27736, 2.08926", \ + "0.0937054, 0.25786, 0.364222, 0.539386, 0.832207, 1.31965, 2.13131", \ + "0.11944, 0.299846, 0.406992, 0.582112, 0.874818, 1.36201, 2.17401", \ + "0.157036, 0.374987, 0.490074, 0.667747, 0.959933, 1.44714, 2.25981", \ + "0.207388, 0.492077, 0.629077, 0.82814, 1.13209, 1.61995, 2.43039", \ + "0.274454, 0.661389, 0.839331, 1.08343, 1.43396, 1.95453, 2.77164", \ + "0.362825, 0.900646, 1.14276, 1.46587, 1.91092, 2.52998, 3.42862" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0447988, 0.246072, 0.386671, 0.618063, 1.00568, 1.65014, 2.72782", \ + "0.0563935, 0.247701, 0.386898, 0.618064, 1.00569, 1.65015, 2.72783", \ + "0.071164, 0.25707, 0.390972, 0.62223, 1.0057, 1.65094, 2.72784", \ + "0.0985557, 0.290003, 0.414703, 0.630633, 1.00792, 1.65095, 2.72855", \ + "0.143386, 0.362527, 0.48749, 0.690884, 1.04372, 1.66326, 2.72856", \ + "0.210651, 0.495966, 0.628673, 0.838742, 1.17793, 1.75231, 2.76365", \ + "0.314218, 0.716315, 0.882443, 1.1153, 1.47571, 2.04096, 2.98637" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00567203, 0.0059739, 0.00596922, 0.00594117, 0.00584717, 0.00566073, 0.00549957", \ + "0.00544812, 0.00572094, 0.00574444, 0.00572552, 0.00566609, 0.00551934, 0.00534833", \ + "0.00539664, 0.00565419, 0.00568824, 0.00565789, 0.0055823, 0.00546477, 0.0053307", \ + "0.00538233, 0.00557972, 0.00565566, 0.005621, 0.00556178, 0.00540113, 0.00531172", \ + "0.0055957, 0.0057254, 0.0057203, 0.00578661, 0.0057234, 0.00554392, 0.00559805", \ + "0.00617011, 0.00623183, 0.00626242, 0.00623031, 0.00620326, 0.00626412, 0.00625194", \ + "0.00752969, 0.00743641, 0.00747583, 0.00752707, 0.00753737, 0.00721881, 0.00779186" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00666769, 0.00687796, 0.0068428, 0.00676214, 0.00667042, 0.00651035, 0.00622808", \ + "0.00652687, 0.00682346, 0.00679073, 0.00673514, 0.00662903, 0.00651606, 0.00623988", \ + "0.00649435, 0.00677942, 0.00682842, 0.00671797, 0.00661788, 0.00651824, 0.00620972", \ + "0.00646295, 0.00678047, 0.00675502, 0.00677835, 0.00666624, 0.00671468, 0.00636071", \ + "0.00650376, 0.00678508, 0.00683095, 0.00681248, 0.00666756, 0.00692514, 0.0062825", \ + "0.00683627, 0.00701776, 0.00702493, 0.00704115, 0.00703146, 0.00670852, 0.00676404", \ + "0.00800651, 0.00800746, 0.0080565, 0.00799097, 0.00800709, 0.00806878, 0.00781271" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00608822, 0.00569188, 0.00551514, 0.00533415, 0.00512079, 0.00507344, 0.00495842", \ + "0.0055359, 0.0054485, 0.00530994, 0.00512849, 0.00493537, 0.00470091, 0.00470348", \ + "0.00536289, 0.00534098, 0.00523827, 0.00507539, 0.00490286, 0.00466199, 0.00473889", \ + "0.00524221, 0.00527463, 0.00520906, 0.0051117, 0.00507785, 0.00468402, 0.00470141", \ + "0.00536535, 0.00543135, 0.00535672, 0.00534965, 0.00515464, 0.00493358, 0.00507272", \ + "0.00588102, 0.0059409, 0.0059409, 0.00588141, 0.00575538, 0.00582297, 0.00580924", \ + "0.00726154, 0.00721439, 0.00726126, 0.0072782, 0.00721209, 0.00696402, 0.00731509" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00623531, 0.00617637, 0.00604594, 0.00592161, 0.00580167, 0.00560607, 0.00533025", \ + "0.00600181, 0.00609642, 0.00607644, 0.00587457, 0.00573102, 0.0055902, 0.00526562", \ + "0.00596776, 0.00607745, 0.00605302, 0.00589434, 0.00576149, 0.00558451, 0.00528019", \ + "0.00601053, 0.00617989, 0.00610125, 0.00606047, 0.0058975, 0.00566828, 0.00549422", \ + "0.00628295, 0.00636247, 0.00636832, 0.00627543, 0.00604923, 0.00604936, 0.00551247", \ + "0.00684457, 0.00689811, 0.00690976, 0.00689211, 0.0067777, 0.00637517, 0.00619727", \ + "0.00830695, 0.00815104, 0.00820625, 0.00823842, 0.00807863, 0.00782655, 0.00752057" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00535162; + rise_capacitance : 0.00544987; + rise_capacitance_range (0.00544987, 0.00544987); + fall_capacitance : 0.00525337; + fall_capacitance_range (0.00525337, 0.00525337); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00487129; + rise_capacitance : 0.00496141; + rise_capacitance_range (0.00496141, 0.00496141); + fall_capacitance : 0.00478118; + fall_capacitance_range (0.00478118, 0.00478118); + } + } +} diff --git a/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_slow_1p35V_125C.lib b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_slow_1p35V_125C.lib new file mode 100644 index 0000000000..26fbba01d9 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_slow_1p35V_125C.lib @@ -0,0 +1,28275 @@ +/************************************************************************ + + Copyright 2024 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library (sg13g2_stdcell_slow_1p35V_125C) { + comment : "IHP Microelectronics GmbH, 2024"; + date : "$Date: Thu Mar 14 14:15:23 2024 $"; + revision : "$Revision: 0.1.0 $"; + delay_model : table_lookup; + capacitive_load_unit (1,pf); + current_unit : "1uA"; + leakage_power_unit : "1pW"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1V"; + default_inout_pin_cap : 0.006; + default_input_pin_cap : 0.006; + default_leakage_power_density : 0; + default_max_capacitance : 0.3; + default_max_fanout : 8; + default_wire_load_area : 0.29; + default_wire_load_capacitance : 0.0003; + default_wire_load_mode : "top"; + default_wire_load_resistance : 0.0057; + default_fanout_load : 1; + default_cell_leakage_power : 0; + default_output_pin_cap : 0; + default_max_transition : 2.5074; + in_place_swap_mode : match_footprint; + input_threshold_pct_fall : 50; + input_threshold_pct_rise : 50; + nom_process : 1; + nom_temperature : 125; + nom_voltage : 1.35; + output_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + slew_derate_from_library : 1; + slew_lower_threshold_pct_fall : 20; + slew_lower_threshold_pct_rise : 20; + slew_upper_threshold_pct_fall : 80; + slew_upper_threshold_pct_rise : 80; + operating_conditions (sg13g2_stdcell_slow_1p35V_125C) { + process : 1; + temperature : 125; + voltage : 1.35; + } + wire_load (Zero) { + area : 0.01; + capacitance : 0.0001; + resistance : 0.0001; + slope : 1; + fanout_length (1, 0.01); + fanout_length (5, 0.01); + fanout_length (20, 0.01); + } + wire_load ("0_1k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0035; + slope : 7.387; + fanout_length (1, 6.43); + fanout_length (5, 38.56); + fanout_length (20, 146.8); + } + wire_load ("0_5k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0042; + slope : 10.99; + fanout_length (1, 7.89); + fanout_length (5, 47.32); + fanout_length (20, 169.4); + } + wire_load ("1k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0053; + slope : 10.9; + fanout_length (1, 9.93); + fanout_length (5, 59.59); + fanout_length (20, 215.6); + } + wire_load ("2k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0063; + slope : 16.87; + fanout_length (1, 11.68); + fanout_length (5, 70.11); + fanout_length (20, 239.5); + } + wire_load ("5k") { + area : 0.36; + capacitance : 0.0003; + resistance : 0.0072; + slope : 14.58; + fanout_length (1, 13.44); + fanout_length (5, 80.62); + fanout_length (20, 287.1); + } + wire_load ("10k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.008; + slope : 18.94; + fanout_length (1, 14.9); + fanout_length (5, 89.39); + fanout_length (20, 381.6); + } + wire_load ("30k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.0094; + slope : 24.61; + fanout_length (1, 17.53); + fanout_length (5, 105.2); + fanout_length (20, 515.5); + } + wire_load ("50k") { + area : 0.37; + capacitance : 0.0005; + resistance : 0.0152; + slope : 31.81; + fanout_length (1, 28.33); + fanout_length (5, 170); + fanout_length (20, 607.6); + } + wire_load ("100k") { + area : 0.39; + capacitance : 0.0009; + resistance : 0.0265; + slope : 40.22; + fanout_length (1, 49.37); + fanout_length (5, 296.2); + fanout_length (20, 812.1); + } + wire_load ("200k") { + area : 0.41; + capacitance : 0.0016; + resistance : 0.0456; + slope : 52.68; + fanout_length (1, 84.71); + fanout_length (5, 508.3); + fanout_length (20, 1051.7); + } + wire_load ("500k") { + area : 0.45; + capacitance : 0.0027; + resistance : 0.076; + slope : 67.44; + fanout_length (1, 141.4); + fanout_length (5, 848.3); + fanout_length (20, 1527.1); + } + wire_load_selection ("4_metls_routing") { + wire_load_from_area (0, 635, 0_1k); + wire_load_from_area (635, 3175, 0_5k); + wire_load_from_area (3175, 6350, 1k); + wire_load_from_area (6350, 12701, 2k); + wire_load_from_area (12701, 31752, 5k); + wire_load_from_area (31752, 63504, 10k); + wire_load_from_area (63504, 190512, 30k); + wire_load_from_area (190512, 317520, 50k); + wire_load_from_area (317520, 635040, 100k); + wire_load_from_area (635040, 1.27008e+06, 200k); + wire_load_from_area (1.27008e+06, 3.1752e+06, 500k); + } + default_wire_load : "1k"; + default_operating_conditions : sg13g2_stdcell_slow_1p35V_125C; + default_wire_load_selection : "4_metls_routing"; + lu_table_template (CONSTRAINT_4x4) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + } + power_lut_template (POWER_7x7ds1) { + variable_1 : input_transition_time; + variable_2 : total_output_net_capacitance; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + } + lu_table_template (TIMING_DELAY_7x7ds1) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + } + lu_table_template (mpw_CONSTRAINT_4x4) { + variable_1 : constrained_pin_transition; + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + } + power_lut_template (passive_POWER_7x1ds1) { + variable_1 : input_transition_time; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + } + cell (sg13g2_a21o_1) { + area : 12.7008; + cell_footprint : "AO21"; + cell_leakage_power : 1032.44; + leakage_power () { + value : 999.384; + when : "!A1&!A2&!B1"; + } + leakage_power () { + value : 1122.14; + when : "!A1&A2&!B1"; + } + leakage_power () { + value : 1089.85; + when : "A1&!A2&!B1"; + } + leakage_power () { + value : 1628.01; + when : "A1&A2&!B1"; + } + leakage_power () { + value : 915.953; + when : "!A1&!A2&B1"; + } + leakage_power () { + value : 921.218; + when : "!A1&A2&B1"; + } + leakage_power () { + value : 921.208; + when : "A1&!A2&B1"; + } + leakage_power () { + value : 661.786; + when : "A1&A2&B1"; + } + pin (X) { + direction : "output"; + function : "((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0817474, 0.156528, 0.203164, 0.279493, 0.407008, 0.618992, 0.971329", \ + "0.112688, 0.187869, 0.234554, 0.310999, 0.438379, 0.650187, 1.00304", \ + "0.134161, 0.210226, 0.256879, 0.333373, 0.4608, 0.672924, 1.02626", \ + "0.165164, 0.243256, 0.289596, 0.366087, 0.493448, 0.705542, 1.05817", \ + "0.206043, 0.289663, 0.335782, 0.412004, 0.539433, 0.75139, 1.10416", \ + "0.263745, 0.357206, 0.404119, 0.480715, 0.607796, 0.819848, 1.17257", \ + "0.338589, 0.447478, 0.496547, 0.57323, 0.701934, 0.915516, 1.26982" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.022346, 0.11373, 0.180358, 0.291304, 0.477549, 0.788155, 1.3058", \ + "0.0240955, 0.114254, 0.180599, 0.291445, 0.47755, 0.788156, 1.30581", \ + "0.0267791, 0.115145, 0.181276, 0.29191, 0.477774, 0.78887, 1.30657", \ + "0.0317101, 0.116653, 0.182163, 0.29267, 0.47845, 0.788871, 1.30658", \ + "0.0397999, 0.120322, 0.183944, 0.293636, 0.479416, 0.789682, 1.30661", \ + "0.050969, 0.12848, 0.189326, 0.296802, 0.481384, 0.791251, 1.30788", \ + "0.06736, 0.146912, 0.202778, 0.306889, 0.489488, 0.797615, 1.31266" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0911295, 0.162529, 0.20362, 0.269938, 0.380503, 0.564651, 0.871302", \ + "0.11572, 0.187785, 0.228912, 0.295354, 0.405946, 0.589893, 0.896501", \ + "0.132434, 0.206358, 0.24776, 0.31437, 0.425115, 0.609176, 0.915611", \ + "0.155632, 0.232857, 0.274566, 0.341535, 0.452318, 0.636583, 0.943", \ + "0.18482, 0.269717, 0.312647, 0.379305, 0.49038, 0.674674, 0.981417", \ + "0.226793, 0.323068, 0.368294, 0.437894, 0.548548, 0.732847, 1.03944", \ + "0.273918, 0.388112, 0.438951, 0.512749, 0.628736, 0.815719, 1.12396" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0221897, 0.095164, 0.146741, 0.233452, 0.380398, 0.626678, 1.03629", \ + "0.0232613, 0.0955753, 0.146742, 0.233692, 0.380575, 0.626679, 1.03632", \ + "0.0252629, 0.0970482, 0.147785, 0.234169, 0.380733, 0.626807, 1.03634", \ + "0.0296185, 0.100175, 0.150158, 0.235528, 0.381627, 0.626808, 1.03795", \ + "0.0375602, 0.107118, 0.155005, 0.238455, 0.382961, 0.627895, 1.03796", \ + "0.049614, 0.119705, 0.165328, 0.246319, 0.387325, 0.630333, 1.03877", \ + "0.068076, 0.144074, 0.188197, 0.265988, 0.403912, 0.641701, 1.04564" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0859103, 0.160564, 0.20737, 0.283711, 0.411069, 0.622734, 0.975414", \ + "0.113228, 0.188512, 0.235176, 0.31162, 0.439036, 0.650718, 1.00361", \ + "0.132681, 0.208979, 0.255684, 0.332158, 0.45959, 0.671678, 1.02428", \ + "0.161367, 0.23976, 0.286438, 0.362956, 0.490378, 0.702389, 1.05514", \ + "0.199715, 0.283692, 0.330492, 0.406799, 0.534307, 0.746304, 1.09918", \ + "0.251476, 0.345352, 0.393229, 0.470432, 0.597361, 0.809583, 1.16273", \ + "0.322338, 0.429863, 0.480329, 0.558406, 0.687671, 0.901735, 1.2565" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0223611, 0.113746, 0.180438, 0.29135, 0.47757, 0.78799, 1.30615", \ + "0.0234995, 0.114105, 0.180501, 0.291414, 0.477571, 0.788034, 1.30616", \ + "0.0254734, 0.11485, 0.180973, 0.291652, 0.477839, 0.788289, 1.3065", \ + "0.0293996, 0.116384, 0.181855, 0.292259, 0.478192, 0.788317, 1.30827", \ + "0.0361908, 0.119942, 0.183984, 0.293206, 0.478922, 0.789108, 1.30828", \ + "0.046467, 0.128253, 0.189786, 0.297174, 0.481255, 0.790803, 1.30829", \ + "0.060315, 0.144134, 0.203132, 0.307605, 0.489724, 0.79774, 1.31241" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0999899, 0.172536, 0.213645, 0.280287, 0.3911, 0.575283, 0.882012", \ + "0.126213, 0.199187, 0.240469, 0.307122, 0.417891, 0.602028, 0.909037", \ + "0.144481, 0.21892, 0.260501, 0.327287, 0.438085, 0.622374, 0.929041", \ + "0.17024, 0.247721, 0.289496, 0.356539, 0.467612, 0.651704, 0.958367", \ + "0.20472, 0.288709, 0.331571, 0.398626, 0.509956, 0.694404, 1.00116", \ + "0.254846, 0.34838, 0.392951, 0.462542, 0.572762, 0.757828, 1.0649", \ + "0.318051, 0.427127, 0.476843, 0.549158, 0.663307, 0.848865, 1.15733" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0233024, 0.0962066, 0.147362, 0.234113, 0.380918, 0.626693, 1.03667", \ + "0.0240831, 0.096371, 0.147514, 0.234282, 0.381038, 0.627078, 1.03715", \ + "0.0259127, 0.0976332, 0.148433, 0.234738, 0.381399, 0.627079, 1.03716", \ + "0.0296956, 0.100764, 0.150385, 0.235906, 0.382216, 0.627271, 1.04089", \ + "0.0369392, 0.106577, 0.154774, 0.238366, 0.38322, 0.628155, 1.0409", \ + "0.047574, 0.117158, 0.163046, 0.244713, 0.38675, 0.630509, 1.04091", \ + "0.063716, 0.137123, 0.181968, 0.260324, 0.398673, 0.638597, 1.04463" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0542982, 0.124642, 0.170648, 0.246474, 0.373459, 0.585063, 0.937382", \ + "0.0830625, 0.154893, 0.201018, 0.27693, 0.403848, 0.615674, 0.967967", \ + "0.100041, 0.173984, 0.22022, 0.296123, 0.423037, 0.634608, 0.987594", \ + "0.123104, 0.201266, 0.247401, 0.323501, 0.450436, 0.661829, 1.01409", \ + "0.151473, 0.238323, 0.284106, 0.359982, 0.486884, 0.698403, 1.05064", \ + "0.187462, 0.28488, 0.333605, 0.410317, 0.536798, 0.74842, 1.10084", \ + "0.226064, 0.342693, 0.394132, 0.471765, 0.601672, 0.815361, 1.16882" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0182798, 0.110509, 0.177677, 0.288974, 0.475284, 0.786044, 1.30392", \ + "0.0225639, 0.110973, 0.177809, 0.288986, 0.475571, 0.786281, 1.30393", \ + "0.0266265, 0.111781, 0.178258, 0.289259, 0.475572, 0.786282, 1.30416", \ + "0.0335154, 0.113627, 0.179081, 0.289958, 0.475846, 0.786283, 1.30821", \ + "0.0429625, 0.118801, 0.181606, 0.290856, 0.476523, 0.786746, 1.30822", \ + "0.055818, 0.13018, 0.189539, 0.295699, 0.479033, 0.788276, 1.30823", \ + "0.075402, 0.153343, 0.207937, 0.308397, 0.48928, 0.796186, 1.31013" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0893209, 0.161798, 0.203112, 0.269688, 0.380399, 0.564637, 0.871431", \ + "0.118148, 0.191214, 0.232538, 0.299233, 0.409917, 0.5941, 0.901297", \ + "0.140284, 0.214732, 0.256371, 0.323249, 0.434237, 0.618328, 0.925061", \ + "0.17286, 0.248516, 0.290451, 0.357817, 0.469065, 0.653156, 0.959967", \ + "0.216922, 0.298207, 0.339812, 0.406911, 0.517781, 0.702395, 1.01053", \ + "0.283782, 0.373712, 0.416811, 0.484178, 0.5949, 0.77932, 1.08644", \ + "0.371567, 0.477818, 0.523485, 0.593641, 0.706532, 0.892113, 1.20018" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0233987, 0.0960716, 0.147517, 0.234043, 0.381063, 0.627068, 1.03667", \ + "0.0245703, 0.0965252, 0.14764, 0.234153, 0.382569, 0.627069, 1.03732", \ + "0.0270778, 0.0981416, 0.149002, 0.23489, 0.38257, 0.627559, 1.03733", \ + "0.0317376, 0.100781, 0.150964, 0.236584, 0.382807, 0.627573, 1.03734", \ + "0.040267, 0.10576, 0.153979, 0.238583, 0.384245, 0.629608, 1.03858", \ + "0.051684, 0.114737, 0.16064, 0.242833, 0.386361, 0.631243, 1.04008", \ + "0.070833, 0.135874, 0.178902, 0.257055, 0.397196, 0.638049, 1.0447" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0511074, 0.120153, 0.166144, 0.242232, 0.368984, 0.580452, 0.932939", \ + "0.0786644, 0.148369, 0.194458, 0.270453, 0.397489, 0.609621, 0.961744", \ + "0.0945058, 0.164912, 0.21099, 0.286924, 0.413816, 0.625334, 0.978027", \ + "0.115681, 0.189171, 0.235479, 0.311214, 0.438276, 0.649832, 1.00239", \ + "0.141141, 0.219954, 0.265694, 0.341738, 0.46848, 0.679719, 1.03232", \ + "0.171602, 0.261908, 0.308103, 0.384307, 0.510623, 0.720007, 1.07188", \ + "0.201531, 0.310168, 0.359314, 0.436485, 0.564972, 0.778837, 1.13125" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0158174, 0.110356, 0.177718, 0.289159, 0.475393, 0.786081, 1.30391", \ + "0.0190995, 0.110761, 0.177835, 0.28916, 0.475433, 0.787096, 1.30422", \ + "0.0224478, 0.111298, 0.17828, 0.289268, 0.475434, 0.787097, 1.30423", \ + "0.0277928, 0.112576, 0.178878, 0.289827, 0.475846, 0.787098, 1.30738", \ + "0.035683, 0.11663, 0.181028, 0.290906, 0.476788, 0.787099, 1.30739", \ + "0.04756, 0.126285, 0.187513, 0.2952, 0.4797, 0.78895, 1.3074", \ + "0.067044, 0.146913, 0.203081, 0.307345, 0.48999, 0.797502, 1.31137" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0787216, 0.150134, 0.191073, 0.257672, 0.368327, 0.552341, 0.859044", \ + "0.106155, 0.178517, 0.219554, 0.286069, 0.396693, 0.580694, 0.887386", \ + "0.125966, 0.19959, 0.241108, 0.307853, 0.418471, 0.602865, 0.909459", \ + "0.154674, 0.230287, 0.271657, 0.338398, 0.449323, 0.633852, 0.939979", \ + "0.193883, 0.275704, 0.317789, 0.383712, 0.494909, 0.679404, 0.986184", \ + "0.254981, 0.345689, 0.388381, 0.455863, 0.566023, 0.748478, 1.05544", \ + "0.33436, 0.441558, 0.488202, 0.558972, 0.67187, 0.857769, 1.16343" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0221662, 0.0950816, 0.146609, 0.233688, 0.380505, 0.626683, 1.03629", \ + "0.0239575, 0.0959882, 0.14696, 0.233804, 0.380897, 0.626684, 1.0366", \ + "0.0267811, 0.0977495, 0.148523, 0.234615, 0.381152, 0.626688, 1.03698", \ + "0.0319718, 0.100498, 0.150735, 0.236521, 0.382451, 0.627292, 1.03699", \ + "0.0405614, 0.105961, 0.154355, 0.238592, 0.384177, 0.629188, 1.03822", \ + "0.052523, 0.116636, 0.16176, 0.243368, 0.386723, 0.631244, 1.03975", \ + "0.072593, 0.1393, 0.182035, 0.259717, 0.398995, 0.639114, 1.04537" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0542982, 0.124642, 0.170648, 0.246474, 0.373459, 0.585063, 0.937382", \ + "0.0830625, 0.154893, 0.201018, 0.27693, 0.403848, 0.615674, 0.967967", \ + "0.100041, 0.173984, 0.22022, 0.296123, 0.423037, 0.634608, 0.987594", \ + "0.123104, 0.201266, 0.247401, 0.323501, 0.450436, 0.661829, 1.01409", \ + "0.151473, 0.238323, 0.284106, 0.359982, 0.486884, 0.698403, 1.05064", \ + "0.187462, 0.28488, 0.333605, 0.410317, 0.536798, 0.74842, 1.10084", \ + "0.226064, 0.342693, 0.394132, 0.471765, 0.601672, 0.815361, 1.16882" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0182798, 0.110509, 0.177677, 0.288974, 0.475284, 0.786044, 1.30392", \ + "0.0225639, 0.110973, 0.177809, 0.288986, 0.475571, 0.786281, 1.30393", \ + "0.0266265, 0.111781, 0.178258, 0.289259, 0.475572, 0.786282, 1.30416", \ + "0.0335154, 0.113627, 0.179081, 0.289958, 0.475846, 0.786283, 1.30821", \ + "0.0429625, 0.118801, 0.181606, 0.290856, 0.476523, 0.786746, 1.30822", \ + "0.055818, 0.13018, 0.189539, 0.295699, 0.479033, 0.788276, 1.30823", \ + "0.075402, 0.153343, 0.207937, 0.308397, 0.48928, 0.796186, 1.31013" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0893209, 0.161798, 0.203112, 0.269688, 0.380399, 0.564637, 0.871431", \ + "0.118148, 0.191214, 0.232538, 0.299233, 0.409917, 0.5941, 0.901297", \ + "0.140284, 0.214732, 0.256371, 0.323249, 0.434237, 0.618328, 0.925061", \ + "0.17286, 0.248516, 0.290451, 0.357817, 0.469065, 0.653156, 0.959967", \ + "0.216922, 0.298207, 0.339812, 0.406911, 0.517781, 0.702395, 1.01053", \ + "0.283782, 0.373712, 0.416811, 0.484178, 0.5949, 0.77932, 1.08644", \ + "0.371567, 0.477818, 0.523485, 0.593641, 0.706532, 0.892113, 1.20018" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0233987, 0.0960716, 0.147517, 0.234043, 0.381063, 0.627068, 1.03667", \ + "0.0245703, 0.0965252, 0.14764, 0.234153, 0.382569, 0.627069, 1.03732", \ + "0.0270778, 0.0981416, 0.149002, 0.23489, 0.38257, 0.627559, 1.03733", \ + "0.0317376, 0.100781, 0.150964, 0.236584, 0.382807, 0.627573, 1.03734", \ + "0.040267, 0.10576, 0.153979, 0.238583, 0.384245, 0.629608, 1.03858", \ + "0.051684, 0.114737, 0.16064, 0.242833, 0.386361, 0.631243, 1.04008", \ + "0.070833, 0.135874, 0.178902, 0.257055, 0.397196, 0.638049, 1.0447" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00930357, 0.00969429, 0.00973437, 0.00971104, 0.00962178, 0.0094037, 0.00929362", \ + "0.00912624, 0.00938349, 0.00939223, 0.00976151, 0.00930587, 0.00908575, 0.00903542", \ + "0.00935564, 0.0094195, 0.00949292, 0.00965321, 0.00937507, 0.00924268, 0.00924598", \ + "0.0100387, 0.00998303, 0.0099788, 0.00991255, 0.0100608, 0.00955825, 0.00931846", \ + "0.0118135, 0.0114528, 0.0114714, 0.011465, 0.0112598, 0.0112347, 0.0107037", \ + "0.0159936, 0.0151413, 0.0150959, 0.0150109, 0.0150282, 0.0149512, 0.0142914", \ + "0.0245706, 0.023078, 0.0228036, 0.0225407, 0.0224331, 0.0223509, 0.0223201" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0103804, 0.0108812, 0.010906, 0.0108736, 0.010749, 0.0106063, 0.010203", \ + "0.0100601, 0.0105139, 0.0106278, 0.0106108, 0.0104728, 0.0102257, 0.00983624", \ + "0.0101186, 0.0104213, 0.0104736, 0.0106673, 0.0105604, 0.0102359, 0.00982642", \ + "0.0105124, 0.0106294, 0.0106941, 0.0105843, 0.0106247, 0.0104079, 0.010182", \ + "0.011961, 0.0118433, 0.011886, 0.0118387, 0.0118518, 0.0114834, 0.0117679", \ + "0.0160204, 0.0153084, 0.0152466, 0.015431, 0.015361, 0.015258, 0.0145485", \ + "0.0246951, 0.0232572, 0.0231512, 0.0228738, 0.0228062, 0.0227697, 0.0229886" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107849, 0.0111366, 0.0112096, 0.011169, 0.0110703, 0.0108382, 0.0108115", \ + "0.0107055, 0.0110395, 0.0110416, 0.0112816, 0.0109566, 0.0107053, 0.0106449", \ + "0.0107918, 0.0109793, 0.0110525, 0.0112272, 0.0109703, 0.010738, 0.0104835", \ + "0.0112444, 0.0112826, 0.0113333, 0.0112532, 0.0114278, 0.0109459, 0.0108042", \ + "0.0126548, 0.0124692, 0.0125207, 0.0125515, 0.0124031, 0.012369, 0.0121605", \ + "0.016319, 0.0157511, 0.0157276, 0.0157383, 0.0156753, 0.0156166, 0.0150551", \ + "0.0242931, 0.0231253, 0.0229919, 0.0227739, 0.0226716, 0.0226222, 0.0226948" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0104211, 0.0108714, 0.0108894, 0.0108476, 0.0108011, 0.0105884, 0.0101931", \ + "0.010253, 0.0106749, 0.0107496, 0.0107095, 0.0106282, 0.010472, 0.0100826", \ + "0.0103285, 0.0105753, 0.0106437, 0.0108385, 0.0106285, 0.0104023, 0.00998453", \ + "0.0107345, 0.0108048, 0.0108586, 0.0107602, 0.0108469, 0.0105562, 0.0106176", \ + "0.0120835, 0.0119084, 0.0119599, 0.0119825, 0.0119264, 0.0116187, 0.0119712", \ + "0.0159515, 0.0153254, 0.0152503, 0.0154788, 0.0153354, 0.0153662, 0.0145678", \ + "0.02431, 0.0229215, 0.0228749, 0.0226926, 0.0225766, 0.0224967, 0.0226571" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00905547, 0.00955222, 0.00953243, 0.00947257, 0.00931987, 0.00903484, 0.00898275", \ + "0.00885625, 0.00922605, 0.00925651, 0.0092975, 0.00910563, 0.00887859, 0.00881699", \ + "0.00905667, 0.00930176, 0.00936025, 0.0095354, 0.00923067, 0.00901097, 0.00901418", \ + "0.00991378, 0.00997818, 0.0100338, 0.0100215, 0.0100695, 0.00965978, 0.00968818", \ + "0.0119003, 0.0116934, 0.0116997, 0.011766, 0.0115924, 0.0114988, 0.0111029", \ + "0.0164684, 0.0156338, 0.0155745, 0.0156288, 0.0155404, 0.0155496, 0.0150445", \ + "0.0259486, 0.0243234, 0.0241546, 0.0239279, 0.0238804, 0.0238386, 0.0237704" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00740869, 0.00783498, 0.0078668, 0.00786398, 0.00777228, 0.00761219, 0.00720447", \ + "0.00728628, 0.00761766, 0.00775964, 0.00778321, 0.00783097, 0.00741382, 0.00715261", \ + "0.00761811, 0.00776565, 0.00782243, 0.00801064, 0.00787439, 0.00763819, 0.00716764", \ + "0.00849445, 0.00847889, 0.00854043, 0.00846245, 0.00854074, 0.00822544, 0.0078518", \ + "0.0105541, 0.0102273, 0.0101809, 0.0102008, 0.010085, 0.00973965, 0.0106113", \ + "0.0150925, 0.0141279, 0.014048, 0.0141334, 0.0140574, 0.0139188, 0.0132988", \ + "0.0241106, 0.0225716, 0.0223764, 0.0220534, 0.0219679, 0.0218306, 0.0219529" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00731903, 0.00781901, 0.00780572, 0.00776509, 0.00758571, 0.0072977, 0.00724933", \ + "0.00712068, 0.00747622, 0.00753031, 0.00752408, 0.0073449, 0.00722791, 0.00706915", \ + "0.00729882, 0.00748902, 0.00753218, 0.00769332, 0.00747768, 0.00715965, 0.0068757", \ + "0.00809056, 0.00822175, 0.00829677, 0.00823639, 0.00838911, 0.00788038, 0.0079167", \ + "0.0100358, 0.00990232, 0.00990972, 0.00999492, 0.00986842, 0.00965802, 0.00958432", \ + "0.0144515, 0.0139007, 0.0137749, 0.0137454, 0.0136446, 0.0133939, 0.0128802", \ + "0.0237341, 0.0224477, 0.0222283, 0.0221254, 0.0219061, 0.0217971, 0.0215706" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00715902, 0.00763716, 0.00765984, 0.0076491, 0.0075626, 0.00739484, 0.00699227", \ + "0.00709191, 0.00745555, 0.00764161, 0.00751478, 0.00746929, 0.00725298, 0.00681088", \ + "0.00749869, 0.00763672, 0.00769154, 0.00784422, 0.00778172, 0.00743407, 0.00712702", \ + "0.00835427, 0.00838756, 0.00838533, 0.0082024, 0.00823905, 0.00796515, 0.00752368", \ + "0.0105196, 0.0102518, 0.010286, 0.0101904, 0.0101924, 0.00979595, 0.0101753", \ + "0.0150701, 0.014352, 0.0141914, 0.0141924, 0.0140999, 0.0137194, 0.0127985", \ + "0.0244235, 0.0227499, 0.0226809, 0.022335, 0.0221795, 0.0220605, 0.0218735" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00731903, 0.00781901, 0.00780572, 0.00776509, 0.00758571, 0.0072977, 0.00724933", \ + "0.00712068, 0.00747622, 0.00753031, 0.00752408, 0.0073449, 0.00722791, 0.00706915", \ + "0.00729882, 0.00748902, 0.00753218, 0.00769332, 0.00747768, 0.00715965, 0.0068757", \ + "0.00809056, 0.00822175, 0.00829677, 0.00823639, 0.00838911, 0.00788038, 0.0079167", \ + "0.0100358, 0.00990232, 0.00990972, 0.00999492, 0.00986842, 0.00965802, 0.00958432", \ + "0.0144515, 0.0139007, 0.0137749, 0.0137454, 0.0136446, 0.0133939, 0.0128802", \ + "0.0237341, 0.0224477, 0.0222283, 0.0221254, 0.0219061, 0.0217971, 0.0215706" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00715902, 0.00763716, 0.00765984, 0.0076491, 0.0075626, 0.00739484, 0.00699227", \ + "0.00709191, 0.00745555, 0.00764161, 0.00751478, 0.00746929, 0.00725298, 0.00681088", \ + "0.00749869, 0.00763672, 0.00769154, 0.00784422, 0.00778172, 0.00743407, 0.00712702", \ + "0.00835427, 0.00838756, 0.00838533, 0.0082024, 0.00823905, 0.00796515, 0.00752368", \ + "0.0105196, 0.0102518, 0.010286, 0.0101904, 0.0101924, 0.00979595, 0.0101753", \ + "0.0150701, 0.014352, 0.0141914, 0.0141924, 0.0140999, 0.0137194, 0.0127985", \ + "0.0244235, 0.0227499, 0.0226809, 0.022335, 0.0221795, 0.0220605, 0.0218735" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00266581; + rise_capacitance : 0.00268814; + rise_capacitance_range (0.00268814, 0.00268814); + fall_capacitance : 0.00264348; + fall_capacitance_range (0.00264348, 0.00264348); + internal_power () { + when : "(A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000269011, 0.00010493, 7.02435e-05, 3.95334e-05, 1.75372e-05, 1.2796e-06, -1.57318e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.56516e-05, 1.68468e-05, 1.43904e-05, 1.25933e-05, 1.76956e-05, 1.28633e-05, 1.6406e-05" \ + ); + } + } + internal_power () { + when : "(!A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-1.10735e-05, -6.76134e-07, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.10735e-05, 6.76134e-07, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-1.10735e-05, -6.76134e-07, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.10735e-05, 6.76134e-07, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00272711; + rise_capacitance : 0.00277483; + rise_capacitance_range (0.00277483, 0.00277483); + fall_capacitance : 0.00267939; + fall_capacitance_range (0.00267939, 0.00267939); + internal_power () { + when : "(A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000295381, 0.000134824, 0.000102254, 6.94135e-05, 4.79506e-05, 2.82951e-05, 1.14105e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.96837e-06, -9.2974e-06, -1.14264e-05, -1.02269e-05, -8.22233e-06, -1.48979e-05, -1.12802e-05" \ + ); + } + } + internal_power () { + when : "(!A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000295381, 0.000134824, 0.000102254, 6.94135e-05, 4.79506e-05, 2.82951e-05, 1.14105e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.96837e-06, -9.2974e-06, -1.14264e-05, -1.02269e-05, -8.22233e-06, -1.48979e-05, -1.12802e-05" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00243535; + rise_capacitance : 0.0024533; + rise_capacitance_range (0.0024533, 0.0024533); + fall_capacitance : 0.00241739; + fall_capacitance_range (0.00241739, 0.00241739); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000448982, 0.000447909, 0.000449953, 0.000452121, 0.000454178, 0.000455588, 0.00045721" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000781811, 0.000781631, 0.000794471, 0.000792399, 0.000793547, 0.000798028, 0.000800375" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000448982, 0.000447909, 0.000449953, 0.000452121, 0.000454178, 0.000455588, 0.00045721" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000781811, 0.000781631, 0.000794471, 0.000792399, 0.000793547, 0.000798028, 0.000800375" \ + ); + } + } + } + } + cell (sg13g2_a21o_2) { + area : 14.5152; + cell_footprint : "AO21"; + cell_leakage_power : 1473.21; + leakage_power () { + value : 1800.47; + when : "!A1&!A2&!B1"; + } + leakage_power () { + value : 1953.94; + when : "!A1&A2&!B1"; + } + leakage_power () { + value : 1914.14; + when : "A1&!A2&!B1"; + } + leakage_power () { + value : 1841.81; + when : "A1&A2&!B1"; + } + leakage_power () { + value : 1125.59; + when : "!A1&!A2&B1"; + } + leakage_power () { + value : 1135.01; + when : "!A1&A2&B1"; + } + leakage_power () { + value : 1135.01; + when : "A1&!A2&B1"; + } + leakage_power () { + value : 879.745; + when : "A1&A2&B1"; + } + pin (X) { + direction : "output"; + function : "((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.086814, 0.16841, 0.215109, 0.291821, 0.419274, 0.631347, 0.984064", \ + "0.119495, 0.201446, 0.248308, 0.324879, 0.452291, 0.66429, 1.01829", \ + "0.142458, 0.225943, 0.272795, 0.349354, 0.476876, 0.688511, 1.04164", \ + "0.175487, 0.261637, 0.308569, 0.38455, 0.512115, 0.723918, 1.07663", \ + "0.219089, 0.311817, 0.359033, 0.434594, 0.561131, 0.773033, 1.12571", \ + "0.273252, 0.378493, 0.425765, 0.50255, 0.628291, 0.840251, 1.19243", \ + "0.348363, 0.469966, 0.521149, 0.596366, 0.723727, 0.936053, 1.2894" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0225995, 0.115356, 0.181325, 0.29218, 0.478478, 0.789264, 1.30729", \ + "0.0246087, 0.115792, 0.181699, 0.292253, 0.47848, 0.789366, 1.30897", \ + "0.0277549, 0.117015, 0.182306, 0.292575, 0.478636, 0.78959, 1.30898", \ + "0.0336715, 0.119353, 0.183791, 0.293487, 0.479298, 0.78979, 1.31608", \ + "0.0438091, 0.124752, 0.186662, 0.294975, 0.480431, 0.790513, 1.31609", \ + "0.058983, 0.136714, 0.194603, 0.299834, 0.482759, 0.792309, 1.3161", \ + "0.07976, 0.159291, 0.212831, 0.312002, 0.491214, 0.798192, 1.31611" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.114015, 0.197953, 0.240256, 0.307216, 0.41804, 0.60148, 0.90689", \ + "0.139582, 0.223543, 0.266158, 0.33328, 0.443849, 0.627467, 0.932997", \ + "0.159213, 0.244634, 0.287466, 0.354711, 0.465321, 0.648915, 0.956037", \ + "0.188505, 0.2774, 0.320709, 0.38821, 0.499089, 0.682683, 0.988071", \ + "0.230777, 0.325614, 0.370018, 0.437898, 0.548898, 0.732286, 1.03798", \ + "0.292906, 0.398326, 0.44455, 0.514395, 0.625659, 0.808844, 1.11426", \ + "0.375909, 0.497464, 0.549389, 0.623903, 0.737538, 0.92398, 1.22973" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.027606, 0.103693, 0.153565, 0.238613, 0.38375, 0.628076, 1.03635", \ + "0.0282512, 0.103694, 0.153608, 0.238614, 0.383988, 0.628077, 1.03706", \ + "0.030323, 0.104972, 0.154538, 0.239277, 0.384035, 0.628726, 1.03806", \ + "0.0345803, 0.108707, 0.157531, 0.241138, 0.385163, 0.628924, 1.04211", \ + "0.0437128, 0.116241, 0.163341, 0.244903, 0.38733, 0.62991, 1.04212", \ + "0.057579, 0.13004, 0.174194, 0.253247, 0.392174, 0.632779, 1.04213", \ + "0.080148, 0.155846, 0.199231, 0.274018, 0.408615, 0.643558, 1.04485" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0908037, 0.172382, 0.219252, 0.295778, 0.423158, 0.635409, 0.988108", \ + "0.119057, 0.201148, 0.247927, 0.324518, 0.451952, 0.663872, 1.01714", \ + "0.139542, 0.223078, 0.269902, 0.34659, 0.474017, 0.685835, 1.03959", \ + "0.170123, 0.256693, 0.303203, 0.379461, 0.507, 0.718882, 1.07179", \ + "0.21042, 0.302999, 0.350253, 0.426587, 0.553805, 0.765339, 1.11849", \ + "0.262575, 0.366491, 0.414537, 0.491696, 0.61852, 0.830527, 1.18296", \ + "0.328999, 0.44836, 0.499715, 0.578038, 0.706952, 0.919652, 1.27271" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0226089, 0.115284, 0.181329, 0.292214, 0.478328, 0.789264, 1.3074", \ + "0.0238309, 0.115638, 0.181592, 0.292215, 0.478491, 0.789376, 1.30789", \ + "0.0259173, 0.116693, 0.18205, 0.292453, 0.478614, 0.789401, 1.30888", \ + "0.0303153, 0.119078, 0.183364, 0.29316, 0.478935, 0.789457, 1.30889", \ + "0.0386266, 0.123476, 0.186516, 0.294553, 0.479953, 0.790036, 1.3089", \ + "0.051339, 0.13419, 0.193639, 0.299395, 0.482459, 0.791781, 1.30907", \ + "0.069305, 0.154761, 0.210389, 0.31147, 0.491207, 0.798471, 1.31353" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.12394, 0.208888, 0.251605, 0.319067, 0.429649, 0.613178, 0.918857", \ + "0.150998, 0.236269, 0.279117, 0.346439, 0.45725, 0.641288, 0.946791", \ + "0.171812, 0.258126, 0.301163, 0.368665, 0.479579, 0.663249, 0.968892", \ + "0.203774, 0.293066, 0.336512, 0.404288, 0.515283, 0.698989, 1.0048", \ + "0.250038, 0.345169, 0.389552, 0.457569, 0.568817, 0.752592, 1.05833", \ + "0.31886, 0.42301, 0.4693, 0.539021, 0.650218, 0.834246, 1.13964", \ + "0.415694, 0.534532, 0.585481, 0.658472, 0.772551, 0.957969, 1.26365" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0289955, 0.104596, 0.154594, 0.239259, 0.384391, 0.628687, 1.03771", \ + "0.0293692, 0.104852, 0.154698, 0.239333, 0.385016, 0.62905, 1.03772", \ + "0.0311648, 0.105862, 0.155299, 0.239981, 0.385017, 0.629051, 1.03773", \ + "0.0351632, 0.10924, 0.157791, 0.241632, 0.385546, 0.629515, 1.03774", \ + "0.0434046, 0.115907, 0.163207, 0.245082, 0.387772, 0.630335, 1.03812", \ + "0.055927, 0.127629, 0.172692, 0.252155, 0.391854, 0.632861, 1.03905", \ + "0.076089, 0.150251, 0.193816, 0.268978, 0.404604, 0.641343, 1.04385" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0574549, 0.132979, 0.17917, 0.255094, 0.382131, 0.593758, 0.946344", \ + "0.0890132, 0.166205, 0.212424, 0.288436, 0.415635, 0.627335, 0.979792", \ + "0.108046, 0.187861, 0.234011, 0.310035, 0.436994, 0.648682, 1.00133", \ + "0.134192, 0.219072, 0.265086, 0.340879, 0.467998, 0.67936, 1.03201", \ + "0.166115, 0.259578, 0.305175, 0.380689, 0.50796, 0.71919, 1.07111", \ + "0.202329, 0.310958, 0.358323, 0.433994, 0.560988, 0.771581, 1.12407", \ + "0.239577, 0.36605, 0.418768, 0.495811, 0.623477, 0.836838, 1.18935" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0175991, 0.110342, 0.177441, 0.288727, 0.475297, 0.786165, 1.30449", \ + "0.0217736, 0.110875, 0.177591, 0.288728, 0.476012, 0.786423, 1.3045", \ + "0.026004, 0.111983, 0.178075, 0.289017, 0.476013, 0.786424, 1.30451", \ + "0.0334364, 0.114505, 0.179359, 0.289632, 0.476014, 0.786425, 1.30797", \ + "0.0443239, 0.121407, 0.182672, 0.291125, 0.476515, 0.786923, 1.30798", \ + "0.059457, 0.135909, 0.192474, 0.296624, 0.479326, 0.788568, 1.30799", \ + "0.082575, 0.163044, 0.214432, 0.31154, 0.489222, 0.795716, 1.31062" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.113779, 0.198942, 0.241492, 0.308688, 0.419635, 0.603247, 0.908785", \ + "0.144342, 0.229532, 0.27243, 0.339701, 0.450506, 0.63434, 0.939883", \ + "0.171519, 0.257488, 0.300451, 0.368016, 0.47888, 0.662591, 0.96826", \ + "0.21257, 0.301248, 0.34465, 0.412153, 0.523347, 0.707313, 1.01303", \ + "0.271149, 0.365023, 0.408788, 0.476555, 0.587569, 0.771594, 1.07765", \ + "0.357032, 0.460613, 0.505087, 0.573577, 0.683716, 0.867498, 1.17386", \ + "0.478738, 0.598503, 0.648131, 0.719872, 0.831408, 1.01279, 1.31777" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0290161, 0.104751, 0.154502, 0.239481, 0.384376, 0.628693, 1.03677", \ + "0.0297774, 0.104819, 0.154692, 0.239482, 0.384908, 0.628694, 1.03683", \ + "0.0325801, 0.106406, 0.155732, 0.240012, 0.384909, 0.629329, 1.03697", \ + "0.0386597, 0.109805, 0.158577, 0.242241, 0.386173, 0.629622, 1.0403", \ + "0.0493258, 0.116652, 0.163222, 0.245388, 0.38842, 0.631363, 1.04031", \ + "0.064015, 0.128741, 0.172261, 0.250872, 0.391737, 0.633658, 1.04032", \ + "0.088402, 0.155074, 0.195104, 0.267814, 0.403195, 0.6405, 1.04483" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.055063, 0.128418, 0.174636, 0.250853, 0.377713, 0.589239, 0.941952", \ + "0.0857588, 0.160275, 0.206429, 0.28247, 0.409644, 0.621494, 0.973786", \ + "0.103951, 0.179964, 0.225862, 0.302279, 0.429228, 0.640538, 0.993599", \ + "0.128409, 0.208829, 0.254541, 0.330398, 0.457278, 0.668765, 1.02148", \ + "0.157315, 0.244772, 0.290344, 0.365955, 0.492533, 0.703794, 1.05654", \ + "0.189555, 0.290465, 0.336531, 0.411522, 0.537841, 0.748797, 1.10132", \ + "0.218994, 0.338354, 0.387691, 0.464524, 0.593003, 0.803973, 1.15676" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0151374, 0.110152, 0.177325, 0.288888, 0.475283, 0.786147, 1.30446", \ + "0.0188448, 0.110519, 0.17751, 0.288889, 0.475284, 0.786445, 1.30447", \ + "0.0225177, 0.111406, 0.177901, 0.288988, 0.475328, 0.786446, 1.30487", \ + "0.0289369, 0.113527, 0.178923, 0.289724, 0.475736, 0.786447, 1.30934", \ + "0.0386141, 0.118796, 0.181809, 0.291069, 0.476636, 0.786934, 1.30935", \ + "0.05307, 0.131554, 0.190166, 0.296059, 0.479497, 0.788783, 1.30936", \ + "0.077461, 0.156811, 0.210451, 0.308841, 0.489627, 0.796535, 1.31118" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.101532, 0.185293, 0.227709, 0.29483, 0.405336, 0.589224, 0.894637", \ + "0.131665, 0.21568, 0.25821, 0.325316, 0.435982, 0.619634, 0.925273", \ + "0.156896, 0.242282, 0.285094, 0.352347, 0.462986, 0.646527, 0.951955", \ + "0.194918, 0.282575, 0.325825, 0.393482, 0.504127, 0.688141, 0.993382", \ + "0.249178, 0.342547, 0.385823, 0.453154, 0.563942, 0.747212, 1.05293", \ + "0.330202, 0.433165, 0.478588, 0.54567, 0.655783, 0.837357, 1.14227", \ + "0.447445, 0.566021, 0.615337, 0.68654, 0.79786, 0.980767, 1.28596" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0275777, 0.104069, 0.153732, 0.238565, 0.383994, 0.628073, 1.03636", \ + "0.0288412, 0.10407, 0.153733, 0.238652, 0.383995, 0.628083, 1.0365", \ + "0.0320644, 0.105678, 0.155131, 0.239527, 0.384115, 0.628382, 1.03651", \ + "0.0383281, 0.109687, 0.158097, 0.241742, 0.385723, 0.628919, 1.03826", \ + "0.0497784, 0.116693, 0.163239, 0.245229, 0.388257, 0.630935, 1.03827", \ + "0.065064, 0.129716, 0.173448, 0.251297, 0.39171, 0.633258, 1.03974", \ + "0.090241, 0.157099, 0.196907, 0.270074, 0.40508, 0.641368, 1.04489" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0574549, 0.132979, 0.17917, 0.255094, 0.382131, 0.593758, 0.946344", \ + "0.0890132, 0.166205, 0.212424, 0.288436, 0.415635, 0.627335, 0.979792", \ + "0.108046, 0.187861, 0.234011, 0.310035, 0.436994, 0.648682, 1.00133", \ + "0.134192, 0.219072, 0.265086, 0.340879, 0.467998, 0.67936, 1.03201", \ + "0.166115, 0.259578, 0.305175, 0.380689, 0.50796, 0.71919, 1.07111", \ + "0.202329, 0.310958, 0.358323, 0.433994, 0.560988, 0.771581, 1.12407", \ + "0.239577, 0.36605, 0.418768, 0.495811, 0.623477, 0.836838, 1.18935" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0175991, 0.110342, 0.177441, 0.288727, 0.475297, 0.786165, 1.30449", \ + "0.0217736, 0.110875, 0.177591, 0.288728, 0.476012, 0.786423, 1.3045", \ + "0.026004, 0.111983, 0.178075, 0.289017, 0.476013, 0.786424, 1.30451", \ + "0.0334364, 0.114505, 0.179359, 0.289632, 0.476014, 0.786425, 1.30797", \ + "0.0443239, 0.121407, 0.182672, 0.291125, 0.476515, 0.786923, 1.30798", \ + "0.059457, 0.135909, 0.192474, 0.296624, 0.479326, 0.788568, 1.30799", \ + "0.082575, 0.163044, 0.214432, 0.31154, 0.489222, 0.795716, 1.31062" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.113779, 0.198942, 0.241492, 0.308688, 0.419635, 0.603247, 0.908785", \ + "0.144342, 0.229532, 0.27243, 0.339701, 0.450506, 0.63434, 0.939883", \ + "0.171519, 0.257488, 0.300451, 0.368016, 0.47888, 0.662591, 0.96826", \ + "0.21257, 0.301248, 0.34465, 0.412153, 0.523347, 0.707313, 1.01303", \ + "0.271149, 0.365023, 0.408788, 0.476555, 0.587569, 0.771594, 1.07765", \ + "0.357032, 0.460613, 0.505087, 0.573577, 0.683716, 0.867498, 1.17386", \ + "0.478738, 0.598503, 0.648131, 0.719872, 0.831408, 1.01279, 1.31777" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0290161, 0.104751, 0.154502, 0.239481, 0.384376, 0.628693, 1.03677", \ + "0.0297774, 0.104819, 0.154692, 0.239482, 0.384908, 0.628694, 1.03683", \ + "0.0325801, 0.106406, 0.155732, 0.240012, 0.384909, 0.629329, 1.03697", \ + "0.0386597, 0.109805, 0.158577, 0.242241, 0.386173, 0.629622, 1.0403", \ + "0.0493258, 0.116652, 0.163222, 0.245388, 0.38842, 0.631363, 1.04031", \ + "0.064015, 0.128741, 0.172261, 0.250872, 0.391737, 0.633658, 1.04032", \ + "0.088402, 0.155074, 0.195104, 0.267814, 0.403195, 0.6405, 1.04483" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0143434, 0.0149029, 0.0149634, 0.0149526, 0.0147691, 0.01436, 0.0144851", \ + "0.0142874, 0.0145553, 0.014591, 0.0147406, 0.0144409, 0.0139786, 0.0143406", \ + "0.0146882, 0.0145565, 0.0147283, 0.014922, 0.0144367, 0.0140557, 0.0134629", \ + "0.0158741, 0.0151198, 0.0151914, 0.0148744, 0.0153691, 0.0144089, 0.015846", \ + "0.0184704, 0.0166876, 0.0167832, 0.0167931, 0.0161545, 0.0161739, 0.0157509", \ + "0.0240409, 0.0207739, 0.020609, 0.0206103, 0.020284, 0.020336, 0.0188268", \ + "0.0351055, 0.0297628, 0.0294988, 0.0289537, 0.0288107, 0.0285825, 0.0284542" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0157512, 0.0161118, 0.0161489, 0.0159903, 0.0159284, 0.0154677, 0.0144913", \ + "0.015427, 0.0156818, 0.0158251, 0.0161191, 0.0156617, 0.0152067, 0.0146863", \ + "0.0156343, 0.0154323, 0.0155737, 0.0158338, 0.0154237, 0.015136, 0.0150274", \ + "0.016392, 0.0156824, 0.01581, 0.0155984, 0.0158391, 0.0158047, 0.0158953", \ + "0.0186022, 0.0168991, 0.0169481, 0.0168788, 0.0165831, 0.0159849, 0.0169741", \ + "0.024065, 0.020784, 0.020521, 0.0206111, 0.0204989, 0.0202623, 0.0188852", \ + "0.0350986, 0.0297816, 0.0292045, 0.0286934, 0.0282593, 0.0284725, 0.0284273" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0163446, 0.0168685, 0.0169644, 0.0169235, 0.0167386, 0.0163304, 0.0164171", \ + "0.0161537, 0.0166264, 0.0166566, 0.0166736, 0.0164002, 0.0159463, 0.0161254", \ + "0.0163678, 0.0164522, 0.0166213, 0.0168694, 0.0164128, 0.0160368, 0.0157949", \ + "0.0170939, 0.0168035, 0.0168053, 0.0166207, 0.0169388, 0.0160711, 0.0156051", \ + "0.0191163, 0.0178808, 0.0180137, 0.0180608, 0.0177364, 0.0175218, 0.0166239", \ + "0.0238851, 0.0214535, 0.0213184, 0.021353, 0.0212103, 0.0213095, 0.0201094", \ + "0.0341058, 0.029829, 0.0294541, 0.0289618, 0.028946, 0.0287046, 0.0285426" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0159318, 0.0160003, 0.0159906, 0.0161327, 0.0157905, 0.015202, 0.0146324", \ + "0.0157459, 0.0159185, 0.015954, 0.0159587, 0.0160014, 0.0156341, 0.014666", \ + "0.0159829, 0.015661, 0.015819, 0.0161116, 0.0157333, 0.0152838, 0.0145092", \ + "0.0167591, 0.0160089, 0.0160476, 0.0158768, 0.016098, 0.015826, 0.0148157", \ + "0.0187549, 0.0170716, 0.0171175, 0.017073, 0.0166777, 0.0163134, 0.0170394", \ + "0.0239093, 0.0207657, 0.0206172, 0.0206352, 0.0205131, 0.0205165, 0.0191549", \ + "0.0345007, 0.0295841, 0.0291206, 0.0286332, 0.0286709, 0.0288239, 0.0285976" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.014078, 0.0152322, 0.0152738, 0.0151597, 0.0148941, 0.0143654, 0.0144119", \ + "0.0140618, 0.0147969, 0.014845, 0.0150917, 0.0147959, 0.014139, 0.0139799", \ + "0.0144235, 0.0147183, 0.0148466, 0.0150543, 0.0147388, 0.0141683, 0.014102", \ + "0.0156485, 0.0153832, 0.0154561, 0.0153774, 0.0158127, 0.0147224, 0.0154133", \ + "0.0184735, 0.0170604, 0.0170397, 0.0171143, 0.0170308, 0.0167285, 0.0169922", \ + "0.0244147, 0.0214115, 0.0211386, 0.0211066, 0.0212975, 0.0210694, 0.0199537", \ + "0.0364842, 0.0310609, 0.0305585, 0.0301494, 0.0298929, 0.0299451, 0.0298504" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0130468, 0.01316, 0.01318, 0.0130047, 0.0130375, 0.012525, 0.0115791", \ + "0.0128906, 0.0128851, 0.0129793, 0.012982, 0.0130917, 0.012484, 0.0117565", \ + "0.013462, 0.012854, 0.0130494, 0.0132004, 0.012988, 0.0126385, 0.0118505", \ + "0.0151163, 0.0137325, 0.013807, 0.0135613, 0.0137976, 0.0132893, 0.0131447", \ + "0.0178369, 0.0155967, 0.0155503, 0.015583, 0.0151128, 0.0148575, 0.0154106", \ + "0.0236246, 0.0197642, 0.0195572, 0.0192964, 0.0192111, 0.0192059, 0.0176855", \ + "0.0356253, 0.0293971, 0.0288238, 0.0281987, 0.0282292, 0.0274196, 0.0269178" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120484, 0.0132291, 0.013261, 0.0132493, 0.0129137, 0.0123606, 0.0122497", \ + "0.0119779, 0.0127437, 0.0128188, 0.0130159, 0.0125959, 0.0121557, 0.0114635", \ + "0.0123192, 0.0126222, 0.012699, 0.0131263, 0.0129335, 0.012061, 0.0122419", \ + "0.0134445, 0.0133981, 0.0134506, 0.0133641, 0.0135326, 0.0126472, 0.0134972", \ + "0.0160362, 0.0149462, 0.0150118, 0.01521, 0.0147475, 0.0146223, 0.0145368", \ + "0.0218063, 0.0192347, 0.0189457, 0.0189973, 0.0189072, 0.0187278, 0.0176394", \ + "0.033637, 0.0286219, 0.0283843, 0.0277909, 0.0277231, 0.0271918, 0.0270657" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012605, 0.0128254, 0.0128946, 0.0127709, 0.012578, 0.0123282, 0.0114492", \ + "0.0125575, 0.0126586, 0.0127972, 0.0129753, 0.0126746, 0.0123343, 0.0115787", \ + "0.0131806, 0.0126969, 0.012826, 0.013304, 0.0127221, 0.0124202, 0.0115789", \ + "0.0146712, 0.0135593, 0.0135701, 0.0133945, 0.0135506, 0.0132166, 0.0126206", \ + "0.0177487, 0.015484, 0.0153804, 0.0152647, 0.0149758, 0.0142119, 0.0137522", \ + "0.0237173, 0.0197868, 0.0197013, 0.0193958, 0.01928, 0.0188569, 0.0170648", \ + "0.0356597, 0.0294974, 0.0288697, 0.0283915, 0.0282013, 0.0276898, 0.0273709" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120484, 0.0132291, 0.013261, 0.0132493, 0.0129137, 0.0123606, 0.0122497", \ + "0.0119779, 0.0127437, 0.0128188, 0.0130159, 0.0125959, 0.0121557, 0.0114635", \ + "0.0123192, 0.0126222, 0.012699, 0.0131263, 0.0129335, 0.012061, 0.0122419", \ + "0.0134445, 0.0133981, 0.0134506, 0.0133641, 0.0135326, 0.0126472, 0.0134972", \ + "0.0160362, 0.0149462, 0.0150118, 0.01521, 0.0147475, 0.0146223, 0.0145368", \ + "0.0218063, 0.0192347, 0.0189457, 0.0189973, 0.0189072, 0.0187278, 0.0176394", \ + "0.033637, 0.0286219, 0.0283843, 0.0277909, 0.0277231, 0.0271918, 0.0270657" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012605, 0.0128254, 0.0128946, 0.0127709, 0.012578, 0.0123282, 0.0114492", \ + "0.0125575, 0.0126586, 0.0127972, 0.0129753, 0.0126746, 0.0123343, 0.0115787", \ + "0.0131806, 0.0126969, 0.012826, 0.013304, 0.0127221, 0.0124202, 0.0115789", \ + "0.0146712, 0.0135593, 0.0135701, 0.0133945, 0.0135506, 0.0132166, 0.0126206", \ + "0.0177487, 0.015484, 0.0153804, 0.0152647, 0.0149758, 0.0142119, 0.0137522", \ + "0.0237173, 0.0197868, 0.0197013, 0.0193958, 0.01928, 0.0188569, 0.0170648", \ + "0.0356597, 0.0294974, 0.0288697, 0.0283915, 0.0282013, 0.0276898, 0.0273709" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0028512; + rise_capacitance : 0.00285226; + rise_capacitance_range (0.00285226, 0.00285226); + fall_capacitance : 0.00285015; + fall_capacitance_range (0.00285015, 0.00285015); + internal_power () { + when : "(A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000419238, 0.000255464, 0.000219801, 0.000187112, 0.000167326, 0.000151572, 0.000135364" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000146871, -0.00015288, -0.000155005, -0.000154245, -0.000152042, -0.000151572, -0.000135364" \ + ); + } + } + internal_power () { + when : "(!A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.33539e-05, 7.52282e-05, 6.32764e-05, 6.94846e-05, 6.40048e-05, 6.82513e-05, 7.09758e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.33539e-05, -7.52282e-05, -6.32764e-05, -6.94846e-05, -6.40048e-05, -6.82513e-05, -7.09758e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.33539e-05, 7.52282e-05, 6.32764e-05, 6.94846e-05, 6.40048e-05, 6.82513e-05, 7.09758e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.33539e-05, -7.52282e-05, -6.32764e-05, -6.94846e-05, -6.40048e-05, -6.82513e-05, -7.09758e-05" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00280823; + rise_capacitance : 0.00283835; + rise_capacitance_range (0.00283835, 0.00283835); + fall_capacitance : 0.00277811; + fall_capacitance_range (0.00277811, 0.00277811); + internal_power () { + when : "(A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000345845, 0.000186354, 0.000151683, 0.00011958, 9.79387e-05, 7.87334e-05, 6.32808e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-7.74127e-05, -8.18727e-05, -8.13922e-05, -7.95683e-05, -7.75733e-05, -7.87334e-05, -6.32808e-05" \ + ); + } + } + internal_power () { + when : "(!A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 2.22352e-06, 1.08074e-06, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, -2.22352e-06, -1.08074e-06, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 2.22352e-06, 1.08074e-06, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, -2.22352e-06, -1.08074e-06, 0, 0" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00257286; + rise_capacitance : 0.00257438; + rise_capacitance_range (0.00257438, 0.00257438); + fall_capacitance : 0.00257134; + fall_capacitance_range (0.00257134, 0.00257134); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00055697, 0.00055655, 0.000558473, 0.000560177, 0.00056601, 0.000565564, 0.000567579" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00065934, 0.00064942, 0.000658977, 0.000663878, 0.000666107, 0.000668298, 0.000671033" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00055697, 0.00055655, 0.000558473, 0.000560177, 0.00056601, 0.000565564, 0.000567579" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00065934, 0.00064942, 0.000658977, 0.000663878, 0.000666107, 0.000668298, 0.000671033" \ + ); + } + } + } + } + cell (sg13g2_a21oi_1) { + area : 9.072; + cell_footprint : "a21oi"; + cell_leakage_power : 703.805; + leakage_power () { + value : 285.055; + when : "!A1*!A2*!B1"; + } + leakage_power () { + value : 796.157; + when : "!A1*!A2*B1"; + } + leakage_power () { + value : 436.467; + when : "!A1*A2*!B1"; + } + leakage_power () { + value : 803.546; + when : "!A1*A2*B1"; + } + leakage_power () { + value : 396.71; + when : "A1*!A2*!B1"; + } + leakage_power () { + value : 803.546; + when : "A1*!A2*B1"; + } + leakage_power () { + value : 1594.23; + when : "A1*A2*!B1"; + } + leakage_power () { + value : 514.729; + when : "A1*A2*B1"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0470274, 0.184116, 0.277682, 0.432386, 0.691063, 1.12252, 1.8414", \ + "0.0679194, 0.211406, 0.30537, 0.460407, 0.719999, 1.15075, 1.87024", \ + "0.0791677, 0.235681, 0.330648, 0.485903, 0.745378, 1.17765, 1.89504", \ + "0.0922312, 0.276363, 0.377233, 0.535558, 0.795099, 1.22671, 1.94579", \ + "0.106857, 0.339816, 0.454883, 0.626475, 0.894878, 1.32799, 2.04689", \ + "0.130814, 0.42613, 0.564366, 0.765815, 1.06193, 1.51676, 2.24263", \ + "0.155999, 0.543629, 0.72001, 0.9626, 1.31927, 1.83514, 2.61039" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.030195, 0.221023, 0.353773, 0.573456, 0.941336, 1.55447, 2.57625", \ + "0.0390406, 0.221867, 0.354624, 0.57357, 0.941898, 1.55505, 2.57626", \ + "0.0498905, 0.228304, 0.356919, 0.575438, 0.941899, 1.55606, 2.57627", \ + "0.0709322, 0.249349, 0.372779, 0.582404, 0.943456, 1.55607, 2.57628", \ + "0.108089, 0.298582, 0.41857, 0.619978, 0.966021, 1.56257, 2.57796", \ + "0.172024, 0.381514, 0.508989, 0.713543, 1.04895, 1.61774, 2.60135", \ + "0.264476, 0.526119, 0.66744, 0.890709, 1.23956, 1.80038, 2.73621" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0400838, 0.140635, 0.208664, 0.320492, 0.507796, 0.819362, 1.33832", \ + "0.0647386, 0.177612, 0.245902, 0.357796, 0.544907, 0.856417, 1.37565", \ + "0.0796707, 0.21056, 0.282288, 0.395168, 0.582121, 0.893757, 1.41342", \ + "0.100087, 0.261215, 0.343814, 0.466216, 0.657059, 0.968402, 1.48738", \ + "0.127161, 0.332718, 0.434461, 0.578664, 0.79153, 1.11538, 1.63597", \ + "0.163329, 0.431578, 0.56264, 0.743862, 1.00087, 1.37315, 1.92691", \ + "0.211772, 0.559463, 0.732703, 0.972055, 1.2984, 1.75932, 2.41033" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0301545, 0.159852, 0.25032, 0.399696, 0.649043, 1.06639, 1.75917", \ + "0.044332, 0.165531, 0.252584, 0.403327, 0.649838, 1.0664, 1.7603", \ + "0.0575991, 0.181607, 0.263829, 0.405042, 0.651276, 1.06641, 1.76078", \ + "0.0794617, 0.217358, 0.298716, 0.432466, 0.665223, 1.06976, 1.76079", \ + "0.112708, 0.280863, 0.367047, 0.503347, 0.726049, 1.10856, 1.77452", \ + "0.166756, 0.384591, 0.489317, 0.637264, 0.871332, 1.2412, 1.8685", \ + "0.256069, 0.55123, 0.686025, 0.86602, 1.13153, 1.52452, 2.1523" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.055603, 0.19217, 0.285946, 0.441063, 0.700212, 1.13289, 1.85345", \ + "0.0789883, 0.220112, 0.314221, 0.469352, 0.729465, 1.16146, 1.88225", \ + "0.0929234, 0.244921, 0.339716, 0.494974, 0.754599, 1.18785, 1.9087", \ + "0.1105, 0.287135, 0.387117, 0.545107, 0.804942, 1.23769, 1.95761", \ + "0.132446, 0.352249, 0.466382, 0.636781, 0.90487, 1.33873, 2.05897", \ + "0.168521, 0.443565, 0.579034, 0.778665, 1.07278, 1.52834, 2.2545", \ + "0.213641, 0.569986, 0.740877, 0.980368, 1.333, 1.84817, 2.62268" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0390193, 0.230914, 0.364109, 0.58482, 0.953264, 1.56847, 2.59291", \ + "0.0463896, 0.231704, 0.367555, 0.584821, 0.953985, 1.56848, 2.59292", \ + "0.0569865, 0.23762, 0.367556, 0.586873, 0.954204, 1.56902, 2.59293", \ + "0.0781321, 0.258071, 0.382308, 0.593053, 0.955441, 1.56903, 2.59294", \ + "0.113958, 0.306475, 0.427132, 0.629808, 0.977825, 1.57591, 2.59295", \ + "0.172526, 0.388802, 0.517412, 0.721781, 1.06026, 1.63072, 2.61798", \ + "0.258389, 0.528045, 0.672745, 0.898242, 1.24608, 1.81237, 2.75112" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0446658, 0.144982, 0.212915, 0.324937, 0.512162, 0.823491, 1.34262", \ + "0.067792, 0.176545, 0.244893, 0.356968, 0.544064, 0.855723, 1.37495", \ + "0.0821821, 0.204048, 0.274919, 0.387988, 0.575301, 0.887165, 1.40621", \ + "0.101388, 0.248563, 0.326943, 0.446884, 0.637391, 0.94966, 1.46928", \ + "0.125661, 0.31405, 0.407423, 0.543042, 0.749398, 1.07081, 1.59275", \ + "0.159297, 0.406941, 0.526363, 0.691695, 0.932002, 1.28675, 1.83318", \ + "0.202406, 0.527476, 0.68767, 0.90511, 1.20335, 1.62642, 2.24202" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0299495, 0.160026, 0.250173, 0.399698, 0.649909, 1.06654, 1.75913", \ + "0.0391809, 0.163392, 0.251802, 0.40319, 0.64991, 1.06655, 1.76032", \ + "0.0500013, 0.173943, 0.258961, 0.403533, 0.650035, 1.06656, 1.76033", \ + "0.0698799, 0.198808, 0.282392, 0.421069, 0.660209, 1.06816, 1.76034", \ + "0.10261, 0.248803, 0.332573, 0.469835, 0.700162, 1.09345, 1.76843", \ + "0.154632, 0.338409, 0.430483, 0.572598, 0.803294, 1.1841, 1.83049", \ + "0.237223, 0.484385, 0.6012, 0.761946, 1.00696, 1.39184, 2.0278" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0446362, 0.18341, 0.277398, 0.432697, 0.692157, 1.12452, 1.84507", \ + "0.0692713, 0.214421, 0.308696, 0.464153, 0.724494, 1.15688, 1.87836", \ + "0.0854765, 0.247084, 0.342159, 0.497362, 0.757385, 1.19051, 1.91065", \ + "0.108294, 0.302509, 0.405907, 0.564311, 0.823393, 1.25532, 1.97581", \ + "0.140213, 0.386716, 0.509767, 0.686751, 0.957267, 1.38967, 2.10822", \ + "0.190615, 0.499304, 0.654024, 0.872302, 1.18343, 1.64548, 2.37149", \ + "0.25868, 0.656026, 0.850003, 1.12727, 1.52039, 2.07008, 2.86883" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0389756, 0.231033, 0.364238, 0.584957, 0.953578, 1.56853, 2.59291", \ + "0.052563, 0.232303, 0.364419, 0.584958, 0.954204, 1.56892, 2.59322", \ + "0.065878, 0.242544, 0.36894, 0.588188, 0.954205, 1.56901, 2.59379", \ + "0.0877448, 0.27466, 0.39358, 0.598553, 0.956335, 1.56902, 2.5938", \ + "0.120793, 0.339304, 0.459604, 0.656038, 0.99159, 1.58024, 2.59703", \ + "0.177112, 0.440925, 0.579348, 0.786894, 1.11471, 1.66549, 2.63088", \ + "0.26851, 0.597557, 0.768255, 1.01425, 1.3759, 1.92746, 2.83523" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0225393, 0.082322, 0.122369, 0.188542, 0.299383, 0.483627, 0.790213", \ + "0.0400263, 0.123023, 0.165576, 0.232489, 0.343177, 0.527412, 0.834122", \ + "0.0483491, 0.152888, 0.202347, 0.273945, 0.386353, 0.570506, 0.877029", \ + "0.0598415, 0.196728, 0.258184, 0.343316, 0.467675, 0.656963, 0.963782", \ + "0.0716896, 0.255861, 0.336156, 0.445103, 0.595674, 0.810865, 1.13269", \ + "0.0828006, 0.331048, 0.442373, 0.587409, 0.784098, 1.05073, 1.42726", \ + "0.0891592, 0.418762, 0.570938, 0.773528, 1.04022, 1.3937, 1.87097" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167892, 0.0929574, 0.146119, 0.234156, 0.381894, 0.627555, 1.03721", \ + "0.0373149, 0.105572, 0.153679, 0.237154, 0.382389, 0.627556, 1.03722", \ + "0.0522239, 0.126, 0.172489, 0.250927, 0.388494, 0.628425, 1.03723", \ + "0.0766262, 0.163307, 0.212544, 0.290349, 0.41964, 0.645815, 1.04106", \ + "0.11467, 0.226044, 0.283251, 0.367047, 0.499334, 0.714138, 1.08448", \ + "0.177681, 0.328677, 0.400407, 0.502397, 0.647816, 0.874273, 1.23289", \ + "0.282999, 0.490165, 0.592152, 0.72492, 0.905654, 1.16001, 1.55171" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0346536, 0.172657, 0.266547, 0.421326, 0.680123, 1.11093, 1.83023", \ + "0.0554051, 0.203822, 0.297812, 0.452787, 0.712343, 1.14388, 1.8635", \ + "0.0680469, 0.236187, 0.331206, 0.485967, 0.745327, 1.1775, 1.89494", \ + "0.0859975, 0.290158, 0.394325, 0.553001, 0.811361, 1.24278, 1.96085", \ + "0.111332, 0.371583, 0.496598, 0.674554, 0.945038, 1.37643, 2.09338", \ + "0.153579, 0.480296, 0.637152, 0.85805, 1.16978, 1.6323, 2.35673", \ + "0.210104, 0.631512, 0.828876, 1.10839, 1.50462, 2.05408, 2.8531" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0302753, 0.221041, 0.353923, 0.573511, 0.941442, 1.55437, 2.57625", \ + "0.0454919, 0.222932, 0.354224, 0.574005, 0.941748, 1.5544, 2.57651", \ + "0.0582729, 0.23396, 0.359249, 0.575311, 0.942069, 1.55602, 2.57652", \ + "0.0782691, 0.266785, 0.384742, 0.588053, 0.944907, 1.55603, 2.57653", \ + "0.109561, 0.331557, 0.451882, 0.646683, 0.980357, 1.56655, 2.57863", \ + "0.165412, 0.431505, 0.572105, 0.778001, 1.10461, 1.65349, 2.61542", \ + "0.255771, 0.586901, 0.757497, 1.0054, 1.36682, 1.91558, 2.82085" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0222336, 0.0817603, 0.121648, 0.187562, 0.297979, 0.481711, 0.788062", \ + "0.0391848, 0.122429, 0.164811, 0.23154, 0.341778, 0.526988, 0.831901", \ + "0.0471566, 0.152049, 0.201434, 0.272917, 0.384953, 0.568633, 0.87468", \ + "0.0575193, 0.19541, 0.256869, 0.341942, 0.466025, 0.655151, 0.961515", \ + "0.0672655, 0.253622, 0.334095, 0.443053, 0.593531, 0.808583, 1.13025", \ + "0.073824, 0.326623, 0.438726, 0.58406, 0.781073, 1.04786, 1.42458", \ + "0.073825, 0.410254, 0.563613, 0.767784, 1.0358, 1.3896, 1.86706" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126626, 0.0872466, 0.140447, 0.228657, 0.376213, 0.621798, 1.03141", \ + "0.0277689, 0.0998021, 0.148128, 0.231459, 0.376715, 0.62345, 1.03142", \ + "0.0390822, 0.119692, 0.166835, 0.245192, 0.383077, 0.623451, 1.03288", \ + "0.0584435, 0.15633, 0.206038, 0.284745, 0.414073, 0.640106, 1.03635", \ + "0.0893547, 0.216327, 0.276024, 0.360559, 0.493769, 0.708667, 1.07957", \ + "0.141375, 0.316287, 0.390685, 0.493823, 0.640693, 0.868205, 1.22702", \ + "0.231059, 0.471485, 0.577803, 0.715171, 0.896237, 1.15501, 1.54395" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0285711, 0.13073, 0.200006, 0.314402, 0.505984, 0.824982, 1.35669", \ + "0.0484038, 0.165567, 0.235115, 0.349702, 0.541748, 0.860788, 1.39219", \ + "0.0596734, 0.198637, 0.271223, 0.386312, 0.577783, 0.898968, 1.42896", \ + "0.0752082, 0.25119, 0.334385, 0.457473, 0.650585, 0.96938, 1.50061", \ + "0.0959337, 0.325795, 0.430726, 0.576504, 0.78922, 1.11548, 1.6459", \ + "0.129154, 0.424134, 0.558766, 0.746795, 1.00548, 1.37447, 1.92929", \ + "0.169672, 0.554616, 0.731355, 0.972609, 1.3136, 1.77629, 2.4215" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0221381, 0.164709, 0.264277, 0.429107, 0.70509, 1.16503, 1.93192", \ + "0.0387422, 0.168681, 0.265176, 0.429108, 0.705764, 1.1651, 1.93193", \ + "0.05126, 0.183828, 0.274502, 0.432613, 0.705765, 1.16659, 1.93194", \ + "0.0708763, 0.21952, 0.306772, 0.453884, 0.714356, 1.16776, 1.93195", \ + "0.101437, 0.286091, 0.378536, 0.523113, 0.766359, 1.19211, 1.93625", \ + "0.157093, 0.383501, 0.497885, 0.659868, 0.907282, 1.308, 2.0048", \ + "0.246562, 0.538795, 0.678857, 0.883757, 1.17432, 1.59564, 2.26225" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0219495, 0.0815424, 0.121429, 0.18733, 0.297762, 0.481488, 0.787852", \ + "0.0387415, 0.12205, 0.164481, 0.231139, 0.341394, 0.525169, 0.831596", \ + "0.0470512, 0.151633, 0.201003, 0.272601, 0.384618, 0.568343, 0.874395", \ + "0.0583241, 0.195001, 0.256459, 0.341583, 0.465689, 0.6547, 0.961146", \ + "0.0701229, 0.253998, 0.334009, 0.44284, 0.593316, 0.808224, 1.12998", \ + "0.081434, 0.329252, 0.44005, 0.584904, 0.781364, 1.04788, 1.42449", \ + "0.0865646, 0.419682, 0.571279, 0.772852, 1.03835, 1.39089, 1.86795" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0127495, 0.087249, 0.140555, 0.228683, 0.37621, 0.621798, 1.03142", \ + "0.0281248, 0.0999523, 0.148234, 0.231495, 0.37671, 0.621975, 1.03143", \ + "0.0393278, 0.119981, 0.166947, 0.245377, 0.383096, 0.623367, 1.03291", \ + "0.0581974, 0.15632, 0.206278, 0.28494, 0.414184, 0.64022, 1.03653", \ + "0.0880555, 0.216055, 0.275972, 0.360565, 0.493643, 0.708408, 1.0791", \ + "0.138651, 0.314489, 0.389242, 0.493108, 0.640331, 0.868157, 1.22734", \ + "0.22579, 0.465159, 0.572412, 0.711024, 0.892755, 1.15342, 1.54301" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0446362, 0.18341, 0.277398, 0.432697, 0.692157, 1.12452, 1.84507", \ + "0.0692713, 0.214421, 0.308696, 0.464153, 0.724494, 1.15688, 1.87836", \ + "0.0854765, 0.247084, 0.342159, 0.497362, 0.757385, 1.19051, 1.91065", \ + "0.108294, 0.302509, 0.405907, 0.564311, 0.823393, 1.25532, 1.97581", \ + "0.140213, 0.386716, 0.509767, 0.686751, 0.957267, 1.38967, 2.10822", \ + "0.190615, 0.499304, 0.654024, 0.872302, 1.18343, 1.64548, 2.37149", \ + "0.25868, 0.656026, 0.850003, 1.12727, 1.52039, 2.07008, 2.86883" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0389756, 0.231033, 0.364238, 0.584957, 0.953578, 1.56853, 2.59291", \ + "0.052563, 0.232303, 0.364419, 0.584958, 0.954204, 1.56892, 2.59322", \ + "0.065878, 0.242544, 0.36894, 0.588188, 0.954205, 1.56901, 2.59379", \ + "0.0877448, 0.27466, 0.39358, 0.598553, 0.956335, 1.56902, 2.5938", \ + "0.120793, 0.339304, 0.459604, 0.656038, 0.99159, 1.58024, 2.59703", \ + "0.177112, 0.440925, 0.579348, 0.786894, 1.11471, 1.66549, 2.63088", \ + "0.26851, 0.597557, 0.768255, 1.01425, 1.3759, 1.92746, 2.83523" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0225393, 0.082322, 0.122369, 0.188542, 0.299383, 0.483627, 0.790213", \ + "0.0400263, 0.123023, 0.165576, 0.232489, 0.343177, 0.527412, 0.834122", \ + "0.0483491, 0.152888, 0.202347, 0.273945, 0.386353, 0.570506, 0.877029", \ + "0.0598415, 0.196728, 0.258184, 0.343316, 0.467675, 0.656963, 0.963782", \ + "0.0716896, 0.255861, 0.336156, 0.445103, 0.595674, 0.810865, 1.13269", \ + "0.0828006, 0.331048, 0.442373, 0.587409, 0.784098, 1.05073, 1.42726", \ + "0.0891592, 0.418762, 0.570938, 0.773528, 1.04022, 1.3937, 1.87097" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167892, 0.0929574, 0.146119, 0.234156, 0.381894, 0.627555, 1.03721", \ + "0.0373149, 0.105572, 0.153679, 0.237154, 0.382389, 0.627556, 1.03722", \ + "0.0522239, 0.126, 0.172489, 0.250927, 0.388494, 0.628425, 1.03723", \ + "0.0766262, 0.163307, 0.212544, 0.290349, 0.41964, 0.645815, 1.04106", \ + "0.11467, 0.226044, 0.283251, 0.367047, 0.499334, 0.714138, 1.08448", \ + "0.177681, 0.328677, 0.400407, 0.502397, 0.647816, 0.874273, 1.23289", \ + "0.282999, 0.490165, 0.592152, 0.72492, 0.905654, 1.16001, 1.55171" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00455104, 0.00489762, 0.00486803, 0.00481336, 0.00465525, 0.00436135, 0.0040497", \ + "0.00422095, 0.00465518, 0.00472115, 0.00469027, 0.00460749, 0.00437124, 0.00409713", \ + "0.00426015, 0.00456638, 0.00456927, 0.00464818, 0.00450894, 0.00441187, 0.0040624", \ + "0.00467807, 0.00449396, 0.00459492, 0.00454315, 0.00479757, 0.00423074, 0.00403758", \ + "0.00628132, 0.00522603, 0.00499105, 0.00486106, 0.00481374, 0.00434396, 0.0041742", \ + "0.0106233, 0.00770744, 0.00702899, 0.00651764, 0.00572562, 0.00575874, 0.00468852", \ + "0.0197694, 0.0146712, 0.0130704, 0.0114776, 0.0100033, 0.00821094, 0.00698043" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00473685, 0.00477849, 0.00475209, 0.00467133, 0.00453738, 0.00434141, 0.00387744", \ + "0.00447304, 0.00464228, 0.00460081, 0.00480022, 0.00446055, 0.00417246, 0.00386973", \ + "0.00468453, 0.00462546, 0.00465246, 0.00457725, 0.00447953, 0.00420238, 0.00389197", \ + "0.00546115, 0.00498176, 0.00479732, 0.00471733, 0.0045405, 0.00493377, 0.00385077", \ + "0.00729732, 0.00604436, 0.00570222, 0.00531011, 0.00490802, 0.00475874, 0.004153", \ + "0.0114967, 0.00894282, 0.00815156, 0.00728205, 0.00668362, 0.00549897, 0.00506486", \ + "0.0204478, 0.0161355, 0.0144796, 0.0127895, 0.0110862, 0.00941032, 0.0074657" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00539813, 0.00543935, 0.00538246, 0.00532043, 0.0051337, 0.00489197, 0.00459888", \ + "0.00527589, 0.0054582, 0.00555262, 0.00533837, 0.00523985, 0.00494515, 0.00474631", \ + "0.00531492, 0.00546601, 0.00537073, 0.00539834, 0.00525827, 0.00500799, 0.00473942", \ + "0.00568791, 0.0054668, 0.00550479, 0.00539248, 0.00542067, 0.00498129, 0.00472854", \ + "0.00714128, 0.00624675, 0.00599542, 0.00578111, 0.00561204, 0.00514326, 0.0052242", \ + "0.0112715, 0.00882975, 0.00815839, 0.00754418, 0.00669296, 0.00652319, 0.0054888", \ + "0.019975, 0.0158598, 0.0143458, 0.0127318, 0.0110379, 0.00928838, 0.00797988" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00642884, 0.00641493, 0.00635577, 0.00630785, 0.00619655, 0.0059787, 0.00552069", \ + "0.00613843, 0.00631092, 0.0062448, 0.00645086, 0.00607586, 0.00580428, 0.00549193", \ + "0.00616554, 0.00623891, 0.00628978, 0.00620569, 0.00604016, 0.00581902, 0.00547474", \ + "0.00659872, 0.00644318, 0.00635475, 0.0063022, 0.00634817, 0.00673362, 0.00542565", \ + "0.00806096, 0.00715517, 0.00696195, 0.00671732, 0.00637201, 0.00657821, 0.00619454", \ + "0.0118883, 0.00960022, 0.00889127, 0.00837234, 0.0078603, 0.00706676, 0.00652901", \ + "0.0202953, 0.0162714, 0.0147197, 0.0131833, 0.0117458, 0.0103726, 0.00876168" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00372116, 0.00409511, 0.00407567, 0.00404798, 0.00387265, 0.00361271, 0.00329412", \ + "0.00365176, 0.00380655, 0.00384795, 0.00384993, 0.00381457, 0.00361172, 0.00330068", \ + "0.00398791, 0.0038522, 0.00381342, 0.00391081, 0.00371782, 0.00355946, 0.00375716", \ + "0.0048609, 0.0041622, 0.00410356, 0.00395775, 0.00376797, 0.00351386, 0.00367829", \ + "0.00701341, 0.00552913, 0.00507239, 0.00469336, 0.00452598, 0.00378872, 0.00367765", \ + "0.0116085, 0.00875021, 0.00788871, 0.00697932, 0.00600229, 0.00561782, 0.00421527", \ + "0.021448, 0.0165564, 0.0147738, 0.0130305, 0.011191, 0.00902315, 0.00751616" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00388232, 0.00437388, 0.00432912, 0.00426157, 0.00418983, 0.00392353, 0.00355259", \ + "0.00377994, 0.00419418, 0.00430348, 0.00428159, 0.00424071, 0.00394502, 0.00357719", \ + "0.00408356, 0.00422889, 0.0042042, 0.00427215, 0.00415838, 0.00394131, 0.00357578", \ + "0.0050121, 0.00450051, 0.00448264, 0.00433482, 0.00423211, 0.00436461, 0.00433824", \ + "0.00723123, 0.00555843, 0.00528466, 0.00505139, 0.0047575, 0.00424823, 0.00422141", \ + "0.0117184, 0.00849659, 0.00770719, 0.00694583, 0.00619657, 0.00566021, 0.00470505", \ + "0.0213619, 0.0162309, 0.0143848, 0.0125554, 0.0106933, 0.00920779, 0.00800174" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00324218, 0.00373271, 0.00372898, 0.00369167, 0.00351761, 0.00325491, 0.00292927", \ + "0.0032922, 0.00344382, 0.0035066, 0.00353519, 0.00342992, 0.00319832, 0.00294843", \ + "0.00370925, 0.00349464, 0.00345338, 0.00347849, 0.00342511, 0.00326062, 0.00285751", \ + "0.00468707, 0.0038223, 0.00374331, 0.0035914, 0.00365929, 0.00315559, 0.00287787", \ + "0.00699344, 0.00523086, 0.00475903, 0.00437349, 0.00412938, 0.00342567, 0.00316206", \ + "0.0117878, 0.00854568, 0.00766582, 0.00668331, 0.00565932, 0.00505973, 0.0038927", \ + "0.0218409, 0.016439, 0.0146022, 0.0128325, 0.0109432, 0.00874644, 0.00725403" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00187483, 0.00238608, 0.00231858, 0.00227252, 0.00217499, 0.00192644, 0.00154977", \ + "0.00176716, 0.00218803, 0.00229323, 0.002272, 0.00222783, 0.00225725, 0.0015974", \ + "0.00208318, 0.00222433, 0.00221538, 0.00226417, 0.002178, 0.00194024, 0.00176939", \ + "0.0030274, 0.00252182, 0.00247758, 0.0023551, 0.00222455, 0.0026208, 0.00201142", \ + "0.0053039, 0.00357911, 0.0033386, 0.00306561, 0.00279832, 0.00221912, 0.00247414", \ + "0.00985571, 0.00653523, 0.00576587, 0.004904, 0.00416385, 0.00368452, 0.002468", \ + "0.0196189, 0.0143045, 0.0124808, 0.010637, 0.00874486, 0.00741601, 0.00590365" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00324183, 0.0037422, 0.00372925, 0.00367336, 0.0035476, 0.0032381, 0.00336812", \ + "0.00334093, 0.00347792, 0.00350079, 0.00349912, 0.00347845, 0.00319446, 0.00324577", \ + "0.00378886, 0.0035123, 0.00352393, 0.00346302, 0.00337657, 0.00334003, 0.00323104", \ + "0.00484252, 0.00391251, 0.00374763, 0.00374511, 0.00364096, 0.00331565, 0.00310968", \ + "0.00732392, 0.00541903, 0.00497852, 0.00445275, 0.00420397, 0.00384398, 0.00336728", \ + "0.012504, 0.00902945, 0.0081044, 0.00709758, 0.00601714, 0.0051972, 0.00420113", \ + "0.023163, 0.0175244, 0.0155743, 0.0136157, 0.0117172, 0.00935804, 0.00737915" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00177622, 0.00230064, 0.00224567, 0.00219096, 0.00206425, 0.00184704, 0.00147625", \ + "0.00170198, 0.00205475, 0.00216434, 0.00214668, 0.00209505, 0.00186061, 0.0014847", \ + "0.00207059, 0.00209898, 0.00207671, 0.00213281, 0.00206696, 0.00184194, 0.00163811", \ + "0.00311093, 0.00242498, 0.00237862, 0.00222626, 0.00208227, 0.00219735, 0.00183467", \ + "0.00541388, 0.00362783, 0.00333052, 0.0030001, 0.00271633, 0.00211418, 0.00235353", \ + "0.0103791, 0.00685499, 0.00598635, 0.00504116, 0.00425898, 0.00375581, 0.00261585", \ + "0.0207158, 0.0150785, 0.0132264, 0.0113352, 0.0091179, 0.00769406, 0.00609644" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00324183, 0.0037422, 0.00372925, 0.00367336, 0.0035476, 0.0032381, 0.00336812", \ + "0.00334093, 0.00347792, 0.00350079, 0.00349912, 0.00347845, 0.00319446, 0.00324577", \ + "0.00378886, 0.0035123, 0.00352393, 0.00346302, 0.00337657, 0.00334003, 0.00323104", \ + "0.00484252, 0.00391251, 0.00374763, 0.00374511, 0.00364096, 0.00331565, 0.00310968", \ + "0.00732392, 0.00541903, 0.00497852, 0.00445275, 0.00420397, 0.00384398, 0.00336728", \ + "0.012504, 0.00902945, 0.0081044, 0.00709758, 0.00601714, 0.0051972, 0.00420113", \ + "0.023163, 0.0175244, 0.0155743, 0.0136157, 0.0117172, 0.00935804, 0.00737915" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00177622, 0.00230064, 0.00224567, 0.00219096, 0.00206425, 0.00184704, 0.00147625", \ + "0.00170198, 0.00205475, 0.00216434, 0.00214668, 0.00209505, 0.00186061, 0.0014847", \ + "0.00207059, 0.00209898, 0.00207671, 0.00213281, 0.00206696, 0.00184194, 0.00163811", \ + "0.00311093, 0.00242498, 0.00237862, 0.00222626, 0.00208227, 0.00219735, 0.00183467", \ + "0.00541388, 0.00362783, 0.00333052, 0.0030001, 0.00271633, 0.00211418, 0.00235353", \ + "0.0103791, 0.00685499, 0.00598635, 0.00504116, 0.00425898, 0.00375581, 0.00261585", \ + "0.0207158, 0.0150785, 0.0132264, 0.0113352, 0.0091179, 0.00769406, 0.00609644" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00279868; + rise_capacitance : 0.00285391; + rise_capacitance_range (0.00285391, 0.00285391); + fall_capacitance : 0.00274346; + fall_capacitance_range (0.00274346, 0.00274346); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-4.18179e-06, -1.02509e-05, -6.90474e-06, -4.89668e-06, -2.46945e-06, 0, -2.98448e-06" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "4.18179e-06, 1.02509e-05, 6.90474e-06, 4.89668e-06, 2.46945e-06, 0, 2.98448e-06" \ + ); + } + } + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000537177, -0.000530274, -0.000531395, -0.000525589, -0.000526985, -0.000524579, -0.000528307" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000938399, 0.000949107, 0.000947323, 0.000948131, 0.000950117, 0.000951367, 0.000951001" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000537177, -0.000530274, -0.000531395, -0.000525589, -0.000526985, -0.000524579, -0.000528307" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000938399, 0.000949107, 0.000947323, 0.000948131, 0.000950117, 0.000951367, 0.000951001" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00292477; + rise_capacitance : 0.00295105; + rise_capacitance_range (0.00295105, 0.00295105); + fall_capacitance : 0.0028985; + fall_capacitance_range (0.0028985, 0.0028985); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-7.0867e-05, -7.12795e-05, -6.47593e-05, -7.00864e-05, -6.72241e-05, -6.87767e-05, -6.7448e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "7.0867e-05, 7.12795e-05, 6.47593e-05, 7.00864e-05, 6.72241e-05, 6.87767e-05, 6.7448e-05" \ + ); + } + } + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000267829, -0.000270619, -0.000268557, -0.000276283, -0.00027021, -0.000273164, -0.000273159" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000485074, 0.000389566, 0.000362583, 0.000343377, 0.000326602, 0.000308982, 0.000291684" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000267829, -0.000270619, -0.000268557, -0.000276283, -0.00027021, -0.000273164, -0.000273159" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000485074, 0.000389566, 0.000362583, 0.000343377, 0.000326602, 0.000308982, 0.000291684" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00268056; + rise_capacitance : 0.00270411; + rise_capacitance_range (0.00270411, 0.00270411); + fall_capacitance : 0.00265701; + fall_capacitance_range (0.00265701, 0.00265701); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000483316, 0.000479996, 0.000482702, 0.00048294, 0.000487256, 0.000488988, 0.000490393" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000483316, -0.000479996, -0.000482702, -0.00048294, -0.000487256, -0.000488988, -0.000490393" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000483316, 0.000479996, 0.000482702, 0.00048294, 0.000487256, 0.000488988, 0.000490393" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000483316, -0.000479996, -0.000482702, -0.00048294, -0.000487256, -0.000488988, -0.000490393" \ + ); + } + } + } + } + cell (sg13g2_a21oi_2) { + area : 14.5152; + cell_footprint : "a21oi"; + cell_leakage_power : 1407.6; + leakage_power () { + value : 570.111; + when : "!A1*!A2*!B1"; + } + leakage_power () { + value : 1592.29; + when : "!A1*!A2*B1"; + } + leakage_power () { + value : 872.935; + when : "!A1*A2*!B1"; + } + leakage_power () { + value : 1607.07; + when : "!A1*A2*B1"; + } + leakage_power () { + value : 793.421; + when : "A1*!A2*!B1"; + } + leakage_power () { + value : 1607.07; + when : "A1*!A2*B1"; + } + leakage_power () { + value : 3188.44; + when : "A1*A2*!B1"; + } + leakage_power () { + value : 1029.44; + when : "A1*A2*B1"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0424706, 0.183713, 0.277736, 0.433115, 0.693216, 1.12646, 1.84864", \ + "0.0622439, 0.210885, 0.305377, 0.461043, 0.721933, 1.15459, 1.87763", \ + "0.0720154, 0.235031, 0.33048, 0.486448, 0.746864, 1.18117, 1.90236", \ + "0.0826403, 0.275691, 0.376814, 0.535874, 0.796502, 1.23048, 1.95238", \ + "0.094469, 0.338115, 0.454011, 0.62633, 0.895777, 1.33103, 2.05317", \ + "0.11448, 0.424534, 0.563205, 0.765262, 1.06256, 1.51911, 2.24822", \ + "0.134766, 0.54172, 0.718427, 0.962285, 1.31902, 1.83649, 2.61561" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0244046, 0.220441, 0.353967, 0.575115, 0.944753, 1.56111, 2.58839", \ + "0.0334792, 0.22135, 0.356202, 0.575116, 0.945093, 1.5617, 2.58912", \ + "0.0440383, 0.227768, 0.357029, 0.576918, 0.945094, 1.56173, 2.58935", \ + "0.0645011, 0.248856, 0.372838, 0.583717, 0.946792, 1.56174, 2.58936", \ + "0.100548, 0.297299, 0.418567, 0.62123, 0.969211, 1.56904, 2.58944", \ + "0.163411, 0.380453, 0.508976, 0.714318, 1.05206, 1.62392, 2.61275", \ + "0.25346, 0.52508, 0.666557, 0.891216, 1.24158, 1.80562, 2.74796" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.036356, 0.139805, 0.207918, 0.319995, 0.507537, 0.819563, 1.33955", \ + "0.0595098, 0.176748, 0.245172, 0.357232, 0.544569, 0.856586, 1.37663", \ + "0.0732777, 0.209617, 0.281517, 0.394591, 0.581848, 0.893761, 1.41435", \ + "0.0922265, 0.260127, 0.342946, 0.465586, 0.656705, 0.968597, 1.48826", \ + "0.117043, 0.330808, 0.433411, 0.577975, 0.791234, 1.11547, 1.63692", \ + "0.150652, 0.429788, 0.560771, 0.742796, 1.00037, 1.37283, 1.92754", \ + "0.194867, 0.557124, 0.73158, 0.970523, 1.29798, 1.75932, 2.41053" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0262317, 0.159205, 0.249604, 0.399481, 0.649501, 1.06739, 1.76281", \ + "0.0404985, 0.164789, 0.251975, 0.400358, 0.649966, 1.0674, 1.76282", \ + "0.0529367, 0.180857, 0.263304, 0.405095, 0.651469, 1.06741, 1.76318", \ + "0.0728861, 0.216668, 0.298208, 0.432078, 0.666038, 1.0715, 1.76319", \ + "0.104479, 0.28102, 0.366388, 0.504206, 0.726518, 1.1088, 1.77745", \ + "0.155194, 0.383952, 0.487296, 0.636788, 0.870498, 1.2434, 1.87062", \ + "0.241482, 0.549828, 0.684768, 0.86594, 1.12987, 1.52544, 2.15288" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0514269, 0.191317, 0.285189, 0.440109, 0.699125, 1.13158, 1.85173", \ + "0.0740544, 0.219314, 0.31337, 0.468376, 0.728364, 1.15968, 1.88044", \ + "0.0870822, 0.244117, 0.338859, 0.494043, 0.753745, 1.18645, 1.90548", \ + "0.103219, 0.286273, 0.386307, 0.544151, 0.803767, 1.23631, 1.95593", \ + "0.12262, 0.351203, 0.465401, 0.63578, 0.903756, 1.3375, 2.05743", \ + "0.155887, 0.442367, 0.577861, 0.777719, 1.07237, 1.52719, 2.25319", \ + "0.197267, 0.568405, 0.740215, 0.979123, 1.33171, 1.84655, 2.62135" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.033242, 0.229569, 0.362958, 0.583311, 0.951952, 1.56718, 2.59146", \ + "0.0409787, 0.230372, 0.36624, 0.583312, 0.95264, 1.56759, 2.59147", \ + "0.0511827, 0.236321, 0.366241, 0.584178, 0.952799, 1.56763, 2.59241", \ + "0.0715555, 0.256892, 0.381066, 0.591785, 0.954113, 1.56764, 2.59242", \ + "0.106207, 0.30513, 0.426097, 0.628776, 0.976215, 1.57449, 2.59243", \ + "0.162765, 0.387458, 0.515987, 0.720764, 1.05818, 1.63059, 2.61671", \ + "0.245597, 0.526462, 0.671782, 0.894367, 1.24508, 1.81088, 2.75113" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.041342, 0.144469, 0.212534, 0.324589, 0.512233, 0.824021, 1.34394", \ + "0.0635781, 0.176102, 0.244526, 0.356773, 0.544211, 0.856318, 1.37629", \ + "0.0770442, 0.203557, 0.274584, 0.387812, 0.575427, 0.887485, 1.40836", \ + "0.0946442, 0.247951, 0.326626, 0.446706, 0.637468, 0.950274, 1.47057", \ + "0.116734, 0.313256, 0.40686, 0.54277, 0.749475, 1.07152, 1.59401", \ + "0.14746, 0.405565, 0.525392, 0.691297, 0.931929, 1.28741, 1.83441", \ + "0.186878, 0.525791, 0.686205, 0.904387, 1.20276, 1.62699, 2.24366" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0259637, 0.159217, 0.249536, 0.399367, 0.650076, 1.06755, 1.76152", \ + "0.035208, 0.162599, 0.250952, 0.400877, 0.650077, 1.06756, 1.76274", \ + "0.0456598, 0.172906, 0.258315, 0.402819, 0.650329, 1.06757, 1.76329", \ + "0.0647992, 0.197824, 0.281616, 0.42062, 0.660334, 1.07019, 1.7633", \ + "0.0964043, 0.247988, 0.331925, 0.469425, 0.700244, 1.09449, 1.77062", \ + "0.146426, 0.337224, 0.43013, 0.571939, 0.803463, 1.18473, 1.83251", \ + "0.226304, 0.483238, 0.600951, 0.761552, 1.00734, 1.39145, 2.02998" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0403662, 0.182506, 0.276497, 0.431798, 0.690853, 1.12324, 1.84339", \ + "0.0638903, 0.213686, 0.30798, 0.46327, 0.722793, 1.15544, 1.87658", \ + "0.0787593, 0.246378, 0.34133, 0.496384, 0.756307, 1.18919, 1.90865", \ + "0.0998435, 0.302258, 0.405044, 0.563409, 0.822428, 1.25461, 1.9741", \ + "0.129504, 0.385588, 0.509027, 0.685819, 0.956253, 1.38834, 2.10712", \ + "0.177047, 0.49802, 0.652735, 0.870607, 1.18203, 1.64411, 2.36977", \ + "0.241381, 0.654289, 0.848427, 1.12593, 1.5193, 2.06849, 2.86694" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0332102, 0.229605, 0.362879, 0.583619, 0.952576, 1.56694, 2.59146", \ + "0.0466807, 0.230971, 0.363653, 0.58362, 0.952577, 1.56754, 2.59179", \ + "0.0590807, 0.241307, 0.367677, 0.586172, 0.952578, 1.56761, 2.59187", \ + "0.0793763, 0.273063, 0.392257, 0.596873, 0.955237, 1.56762, 2.59188", \ + "0.110505, 0.338222, 0.458928, 0.654348, 0.990415, 1.57894, 2.59194", \ + "0.16369, 0.439195, 0.578042, 0.785093, 1.11275, 1.66416, 2.63077", \ + "0.251365, 0.595287, 0.766316, 1.01492, 1.37499, 1.92597, 2.83416" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0200673, 0.0817144, 0.121702, 0.187772, 0.298459, 0.482312, 0.788631", \ + "0.0351328, 0.122327, 0.164945, 0.231686, 0.342113, 0.526159, 0.832466", \ + "0.0423607, 0.152143, 0.201531, 0.273243, 0.38534, 0.569335, 0.875386", \ + "0.0515979, 0.195635, 0.257254, 0.342385, 0.466705, 0.655756, 0.962278", \ + "0.0605576, 0.254574, 0.33489, 0.443969, 0.59453, 0.809594, 1.13114", \ + "0.068795, 0.32914, 0.441001, 0.586053, 0.782824, 1.04979, 1.42629", \ + "0.0722793, 0.416734, 0.568885, 0.771704, 1.03866, 1.39179, 1.86845" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0144497, 0.0925082, 0.145628, 0.233629, 0.381099, 0.626192, 1.03518", \ + "0.0354373, 0.105248, 0.153244, 0.236577, 0.382373, 0.626193, 1.03519", \ + "0.0502347, 0.125709, 0.172089, 0.250313, 0.38783, 0.627403, 1.0352", \ + "0.0742886, 0.163021, 0.212168, 0.289941, 0.418986, 0.644559, 1.03957", \ + "0.111697, 0.225778, 0.282876, 0.366663, 0.498724, 0.713306, 1.08377", \ + "0.173913, 0.328478, 0.400017, 0.501181, 0.647154, 0.873041, 1.23059", \ + "0.277377, 0.489951, 0.591011, 0.723464, 0.9031, 1.1599, 1.5508" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.030245, 0.172437, 0.26667, 0.422173, 0.682158, 1.11541, 1.83754", \ + "0.048798, 0.203522, 0.297882, 0.453804, 0.713983, 1.14728, 1.87079", \ + "0.0596484, 0.235903, 0.33129, 0.486734, 0.747007, 1.18123, 1.90343", \ + "0.0753708, 0.290359, 0.394463, 0.553756, 0.813326, 1.24617, 1.96821", \ + "0.0979224, 0.37096, 0.496742, 0.675479, 0.947091, 1.38044, 2.10134", \ + "0.13656, 0.479681, 0.637216, 0.859019, 1.17185, 1.63537, 2.36404", \ + "0.188605, 0.630806, 0.829005, 1.10959, 1.50724, 2.05903, 2.86115" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0244759, 0.22052, 0.354118, 0.575156, 0.944795, 1.56112, 2.58839", \ + "0.039517, 0.222264, 0.35572, 0.575239, 0.945127, 1.56113, 2.58867", \ + "0.0507497, 0.233554, 0.359452, 0.577279, 0.945128, 1.56166, 2.58868", \ + "0.0689254, 0.265995, 0.384992, 0.589248, 0.948184, 1.56167, 2.58869", \ + "0.0977716, 0.331381, 0.451987, 0.647976, 0.983562, 1.57312, 2.5887", \ + "0.151239, 0.430695, 0.572115, 0.779162, 1.10778, 1.65984, 2.62817", \ + "0.238205, 0.585667, 0.757192, 1.00642, 1.36972, 1.92191, 2.83207" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0197639, 0.08119, 0.121028, 0.186806, 0.297003, 0.480442, 0.786401", \ + "0.0342783, 0.121728, 0.164211, 0.230754, 0.340857, 0.525859, 0.830192", \ + "0.0410331, 0.151268, 0.200677, 0.272156, 0.384006, 0.567463, 0.873025", \ + "0.0491412, 0.194355, 0.255944, 0.341018, 0.465088, 0.653833, 0.959824", \ + "0.0558117, 0.252271, 0.332839, 0.441937, 0.592418, 0.807298, 1.12862", \ + "0.0582194, 0.324751, 0.436917, 0.582694, 0.779658, 1.04694, 1.42344", \ + "0.0582204, 0.407642, 0.561412, 0.765726, 1.03394, 1.38718, 1.86464" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0105357, 0.0865112, 0.139681, 0.227786, 0.374994, 0.620839, 1.02965", \ + "0.024787, 0.0992848, 0.147305, 0.230714, 0.375548, 0.622151, 1.03007", \ + "0.0350434, 0.119049, 0.166217, 0.244521, 0.38178, 0.622152, 1.03109", \ + "0.0536256, 0.155589, 0.205331, 0.283861, 0.413026, 0.638908, 1.0341", \ + "0.0823043, 0.215468, 0.274779, 0.359829, 0.492624, 0.707229, 1.07744", \ + "0.131453, 0.315071, 0.389443, 0.492186, 0.640159, 0.866776, 1.2253", \ + "0.216972, 0.470066, 0.576365, 0.7141, 0.895417, 1.15114, 1.54194" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0252329, 0.130196, 0.199744, 0.314404, 0.506589, 0.826082, 1.35918", \ + "0.0427172, 0.165048, 0.234772, 0.349788, 0.541722, 0.861994, 1.3952", \ + "0.0522678, 0.198105, 0.270945, 0.386308, 0.578136, 0.898352, 1.43107", \ + "0.0656136, 0.250461, 0.334074, 0.457413, 0.650981, 0.970448, 1.50294", \ + "0.0837601, 0.324863, 0.430251, 0.576325, 0.789561, 1.11656, 1.64827", \ + "0.113205, 0.422917, 0.55795, 0.746474, 1.00572, 1.37541, 1.93157", \ + "0.149026, 0.553912, 0.729931, 0.971964, 1.3136, 1.77728, 2.42374" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0179996, 0.163903, 0.263851, 0.429185, 0.706084, 1.16737, 1.93669", \ + "0.0336909, 0.167976, 0.264776, 0.429186, 0.706342, 1.16745, 1.9367", \ + "0.0447918, 0.183131, 0.274105, 0.432696, 0.706833, 1.16747, 1.93671", \ + "0.0628204, 0.21878, 0.306546, 0.453941, 0.715255, 1.16989, 1.93672", \ + "0.0908846, 0.285167, 0.377847, 0.523072, 0.767141, 1.19436, 1.94123", \ + "0.144486, 0.38229, 0.496534, 0.659659, 0.907627, 1.31023, 2.0101", \ + "0.229547, 0.536591, 0.678351, 0.883688, 1.17494, 1.59798, 2.26749" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0194777, 0.0809551, 0.12079, 0.186593, 0.296848, 0.480353, 0.786202", \ + "0.0338756, 0.121401, 0.163887, 0.230399, 0.340514, 0.525532, 0.829857", \ + "0.0410118, 0.150855, 0.20026, 0.271848, 0.383747, 0.567215, 0.873015", \ + "0.0501575, 0.194008, 0.255614, 0.340705, 0.464748, 0.653571, 0.959549", \ + "0.0590987, 0.252663, 0.332871, 0.441808, 0.592187, 0.806936, 1.12835", \ + "0.0667232, 0.327425, 0.438824, 0.583663, 0.779854, 1.04669, 1.4232", \ + "0.0667242, 0.416966, 0.568119, 0.770596, 1.03654, 1.38881, 1.86582" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0106389, 0.0865157, 0.139686, 0.227789, 0.375164, 0.620883, 1.02968", \ + "0.0250761, 0.0993991, 0.147377, 0.23059, 0.375847, 0.622192, 1.03025", \ + "0.0351997, 0.119323, 0.16639, 0.244542, 0.381784, 0.622193, 1.03026", \ + "0.0533214, 0.155631, 0.205758, 0.284461, 0.41317, 0.638917, 1.03395", \ + "0.0811152, 0.215221, 0.2752, 0.359938, 0.492773, 0.707385, 1.07767", \ + "0.128944, 0.313291, 0.388354, 0.492228, 0.639623, 0.866779, 1.22551", \ + "0.212443, 0.46455, 0.572273, 0.709686, 0.893229, 1.14959, 1.54109" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0403662, 0.182506, 0.276497, 0.431798, 0.690853, 1.12324, 1.84339", \ + "0.0638903, 0.213686, 0.30798, 0.46327, 0.722793, 1.15544, 1.87658", \ + "0.0787593, 0.246378, 0.34133, 0.496384, 0.756307, 1.18919, 1.90865", \ + "0.0998435, 0.302258, 0.405044, 0.563409, 0.822428, 1.25461, 1.9741", \ + "0.129504, 0.385588, 0.509027, 0.685819, 0.956253, 1.38834, 2.10712", \ + "0.177047, 0.49802, 0.652735, 0.870607, 1.18203, 1.64411, 2.36977", \ + "0.241381, 0.654289, 0.848427, 1.12593, 1.5193, 2.06849, 2.86694" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0332102, 0.229605, 0.362879, 0.583619, 0.952576, 1.56694, 2.59146", \ + "0.0466807, 0.230971, 0.363653, 0.58362, 0.952577, 1.56754, 2.59179", \ + "0.0590807, 0.241307, 0.367677, 0.586172, 0.952578, 1.56761, 2.59187", \ + "0.0793763, 0.273063, 0.392257, 0.596873, 0.955237, 1.56762, 2.59188", \ + "0.110505, 0.338222, 0.458928, 0.654348, 0.990415, 1.57894, 2.59194", \ + "0.16369, 0.439195, 0.578042, 0.785093, 1.11275, 1.66416, 2.63077", \ + "0.251365, 0.595287, 0.766316, 1.01492, 1.37499, 1.92597, 2.83416" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0200673, 0.0817144, 0.121702, 0.187772, 0.298459, 0.482312, 0.788631", \ + "0.0351328, 0.122327, 0.164945, 0.231686, 0.342113, 0.526159, 0.832466", \ + "0.0423607, 0.152143, 0.201531, 0.273243, 0.38534, 0.569335, 0.875386", \ + "0.0515979, 0.195635, 0.257254, 0.342385, 0.466705, 0.655756, 0.962278", \ + "0.0605576, 0.254574, 0.33489, 0.443969, 0.59453, 0.809594, 1.13114", \ + "0.068795, 0.32914, 0.441001, 0.586053, 0.782824, 1.04979, 1.42629", \ + "0.0722793, 0.416734, 0.568885, 0.771704, 1.03866, 1.39179, 1.86845" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0144497, 0.0925082, 0.145628, 0.233629, 0.381099, 0.626192, 1.03518", \ + "0.0354373, 0.105248, 0.153244, 0.236577, 0.382373, 0.626193, 1.03519", \ + "0.0502347, 0.125709, 0.172089, 0.250313, 0.38783, 0.627403, 1.0352", \ + "0.0742886, 0.163021, 0.212168, 0.289941, 0.418986, 0.644559, 1.03957", \ + "0.111697, 0.225778, 0.282876, 0.366663, 0.498724, 0.713306, 1.08377", \ + "0.173913, 0.328478, 0.400017, 0.501181, 0.647154, 0.873041, 1.23059", \ + "0.277377, 0.489951, 0.591011, 0.723464, 0.9031, 1.1599, 1.5508" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00890423, 0.00972143, 0.00967463, 0.00955743, 0.00921343, 0.00864673, 0.00810583", \ + "0.00830867, 0.00923127, 0.00949807, 0.00926527, 0.00917027, 0.00867107, 0.00829277", \ + "0.00841556, 0.00906916, 0.00905726, 0.00922896, 0.00899386, 0.00863926, 0.00818496", \ + "0.00936128, 0.00895478, 0.00912648, 0.00902448, 0.00939458, 0.00839568, 0.00792728", \ + "0.0127527, 0.0103414, 0.00992049, 0.00966249, 0.00965039, 0.00863429, 0.00828509", \ + "0.0216207, 0.0153612, 0.01406, 0.0129627, 0.0113873, 0.0105095, 0.0106671", \ + "0.0400979, 0.0293505, 0.0260924, 0.0229259, 0.0198912, 0.0165256, 0.0141262" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00856144, 0.00869267, 0.00861978, 0.00847707, 0.00821884, 0.00780445, 0.00702782", \ + "0.0080748, 0.00848136, 0.00833703, 0.00835494, 0.00801578, 0.00758081, 0.00684052", \ + "0.00851946, 0.00835931, 0.00844031, 0.00831898, 0.0080727, 0.00755885, 0.00689596", \ + "0.0101781, 0.00908291, 0.00873714, 0.00854455, 0.0082778, 0.00820504, 0.00680886", \ + "0.0139443, 0.0111758, 0.0105568, 0.00986488, 0.00899692, 0.0091651, 0.00742103", \ + "0.0225089, 0.0170487, 0.0153218, 0.0137729, 0.012443, 0.0104543, 0.00915", \ + "0.0403975, 0.0314651, 0.028147, 0.0247409, 0.0211749, 0.017909, 0.0140094" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0108702, 0.0109608, 0.0108567, 0.010693, 0.0103826, 0.0098764, 0.0092884", \ + "0.0106212, 0.0109034, 0.0111906, 0.0107579, 0.0105409, 0.0100618, 0.00948334", \ + "0.0107151, 0.0109616, 0.0108048, 0.0107427, 0.0105758, 0.010119, 0.00961348", \ + "0.0115041, 0.0110524, 0.0110869, 0.0107891, 0.0110425, 0.0100195, 0.00947856", \ + "0.0145269, 0.0125739, 0.0120533, 0.0116779, 0.0113728, 0.0103581, 0.00984918", \ + "0.022907, 0.0177504, 0.0163978, 0.0151993, 0.0135908, 0.0129957, 0.0111296", \ + "0.0404406, 0.0318395, 0.0288454, 0.025407, 0.0221082, 0.0185806, 0.0160275" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0121693, 0.0121699, 0.0120668, 0.0119302, 0.0117263, 0.0112974, 0.0103849", \ + "0.0115657, 0.0119856, 0.0118084, 0.0118907, 0.0115189, 0.0110141, 0.0103213", \ + "0.0116397, 0.0118004, 0.011922, 0.0117002, 0.0114519, 0.0109896, 0.0104282", \ + "0.0125692, 0.0121933, 0.0120443, 0.0119044, 0.0117225, 0.0118959, 0.0103403", \ + "0.015566, 0.0136391, 0.013243, 0.012819, 0.0120793, 0.0125513, 0.011513", \ + "0.0233292, 0.0184745, 0.0171075, 0.0159815, 0.0150074, 0.0131957, 0.0124064", \ + "0.0402096, 0.0318407, 0.028721, 0.0256414, 0.0227562, 0.019894, 0.0168841" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00746581, 0.00827627, 0.00826606, 0.00820232, 0.00789681, 0.00727745, 0.00669375", \ + "0.00742622, 0.00774113, 0.0078754, 0.00780623, 0.00763209, 0.00730965, 0.0067871", \ + "0.00813987, 0.00779655, 0.00773196, 0.00789057, 0.00757756, 0.00726967, 0.00680292", \ + "0.00997961, 0.00843261, 0.00833098, 0.00801127, 0.00767473, 0.00717275, 0.0065658", \ + "0.0143783, 0.0112062, 0.0102979, 0.00949616, 0.00882591, 0.00777377, 0.00694596", \ + "0.0237232, 0.0176489, 0.0159303, 0.0140292, 0.0120757, 0.0109032, 0.00889904", \ + "0.043559, 0.033229, 0.0296673, 0.0263055, 0.0225191, 0.017979, 0.0151194" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00689562, 0.00813898, 0.00800226, 0.00787548, 0.00772974, 0.00721335, 0.0063801", \ + "0.00684579, 0.00772061, 0.00794475, 0.00784338, 0.00794613, 0.00729387, 0.00645085", \ + "0.0075749, 0.00779433, 0.0077952, 0.00789743, 0.00763673, 0.00720292, 0.00652244", \ + "0.00956008, 0.00834345, 0.00832293, 0.00797555, 0.00776297, 0.00829377, 0.00888752", \ + "0.0141372, 0.0104834, 0.00992284, 0.00944014, 0.00879844, 0.00782848, 0.00811334", \ + "0.0233101, 0.0163409, 0.0147626, 0.0131183, 0.0117839, 0.0108854, 0.00871898", \ + "0.0427065, 0.0319733, 0.0282084, 0.0245056, 0.0206746, 0.017883, 0.0152992" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00623629, 0.00736027, 0.00735271, 0.00729765, 0.00695606, 0.00638261, 0.00576688", \ + "0.00651597, 0.00677676, 0.00703016, 0.006936, 0.00676919, 0.00625995, 0.00581842", \ + "0.00740001, 0.0069221, 0.00681609, 0.00690939, 0.00663275, 0.00631815, 0.00567055", \ + "0.00945817, 0.00755172, 0.00741832, 0.00702291, 0.00706896, 0.00626543, 0.00568714", \ + "0.0142254, 0.0103841, 0.00944787, 0.00868182, 0.00793114, 0.00673811, 0.00606904", \ + "0.0239866, 0.0169821, 0.0152577, 0.0133073, 0.0112312, 0.00938955, 0.00801815", \ + "0.0442288, 0.0327841, 0.0290997, 0.0255445, 0.0217791, 0.0172239, 0.0143939" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00287714, 0.00402729, 0.00398898, 0.00388537, 0.00364855, 0.00326094, 0.00245957", \ + "0.00284802, 0.00371886, 0.00392729, 0.00391297, 0.00371521, 0.00386125, 0.00259153", \ + "0.00359276, 0.00380962, 0.00378715, 0.00388105, 0.00368602, 0.00327064, 0.00297991", \ + "0.00562047, 0.00439183, 0.00433022, 0.00393146, 0.00381201, 0.00394648, 0.00332532", \ + "0.0103003, 0.00652486, 0.00595456, 0.00553213, 0.00495826, 0.00383229, 0.00392801", \ + "0.0195792, 0.0124528, 0.0108268, 0.0091083, 0.00785482, 0.00687941, 0.00482598", \ + "0.0391762, 0.0281222, 0.0242642, 0.0207191, 0.0167831, 0.0138724, 0.011219" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0062497, 0.00736696, 0.00735393, 0.00722594, 0.00699941, 0.00645368, 0.00652234", \ + "0.00660515, 0.00690027, 0.00687809, 0.00688832, 0.00675441, 0.00629394, 0.00642938", \ + "0.00757441, 0.00694075, 0.00696142, 0.00683464, 0.00674762, 0.00628112, 0.0063005", \ + "0.0098043, 0.00774737, 0.00739429, 0.00738496, 0.00720798, 0.00648632, 0.00639121", \ + "0.0149248, 0.0107436, 0.00986156, 0.00877495, 0.00835757, 0.00722174, 0.00654898", \ + "0.0254542, 0.0179831, 0.0160683, 0.0140902, 0.0116874, 0.0103017, 0.00890682", \ + "0.0469367, 0.0350616, 0.0310203, 0.0270986, 0.0233444, 0.0189464, 0.0145555" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00269634, 0.00385819, 0.00383353, 0.0037342, 0.00351608, 0.00318123, 0.00230911", \ + "0.00273152, 0.00346217, 0.00367685, 0.00362545, 0.00357478, 0.00356701, 0.00229926", \ + "0.00358184, 0.00354449, 0.00350668, 0.00360017, 0.00339062, 0.0030858, 0.00227823", \ + "0.00580771, 0.00419154, 0.0041328, 0.0037588, 0.00357198, 0.00403603, 0.00350018", \ + "0.0105472, 0.00662402, 0.00601023, 0.00541643, 0.00479397, 0.00354797, 0.00406766", \ + "0.0207627, 0.013096, 0.0113205, 0.00948213, 0.00793264, 0.00672981, 0.00455102", \ + "0.041443, 0.0296841, 0.0258615, 0.0219841, 0.0177238, 0.014454, 0.0115467" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0062497, 0.00736696, 0.00735393, 0.00722594, 0.00699941, 0.00645368, 0.00652234", \ + "0.00660515, 0.00690027, 0.00687809, 0.00688832, 0.00675441, 0.00629394, 0.00642938", \ + "0.00757441, 0.00694075, 0.00696142, 0.00683464, 0.00674762, 0.00628112, 0.0063005", \ + "0.0098043, 0.00774737, 0.00739429, 0.00738496, 0.00720798, 0.00648632, 0.00639121", \ + "0.0149248, 0.0107436, 0.00986156, 0.00877495, 0.00835757, 0.00722174, 0.00654898", \ + "0.0254542, 0.0179831, 0.0160683, 0.0140902, 0.0116874, 0.0103017, 0.00890682", \ + "0.0469367, 0.0350616, 0.0310203, 0.0270986, 0.0233444, 0.0189464, 0.0145555" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00269634, 0.00385819, 0.00383353, 0.0037342, 0.00351608, 0.00318123, 0.00230911", \ + "0.00273152, 0.00346217, 0.00367685, 0.00362545, 0.00357478, 0.00356701, 0.00229926", \ + "0.00358184, 0.00354449, 0.00350668, 0.00360017, 0.00339062, 0.0030858, 0.00227823", \ + "0.00580771, 0.00419154, 0.0041328, 0.0037588, 0.00357198, 0.00403603, 0.00350018", \ + "0.0105472, 0.00662402, 0.00601023, 0.00541643, 0.00479397, 0.00354797, 0.00406766", \ + "0.0207627, 0.013096, 0.0113205, 0.00948213, 0.00793264, 0.00672981, 0.00455102", \ + "0.041443, 0.0296841, 0.0258615, 0.0219841, 0.0177238, 0.014454, 0.0115467" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00536419; + rise_capacitance : 0.0054738; + rise_capacitance_range (0.0054738, 0.0054738); + fall_capacitance : 0.00525458; + fall_capacitance_range (0.00525458, 0.00525458); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000229826, -0.000236904, -0.000232223, -0.000229804, -0.000228604, -0.00021646, -0.000225709" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000229826, 0.000236904, 0.000232223, 0.000229804, 0.000228604, 0.00021646, 0.000225709" \ + ); + } + } + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00107903, -0.00107246, -0.00105611, -0.00105222, -0.00105848, -0.00106108, -0.00105776" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00206847, 0.00208953, 0.00208774, 0.00208792, 0.00209381, 0.00209582, 0.00209459" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00107903, -0.00107246, -0.00105611, -0.00105222, -0.00105848, -0.00106108, -0.00105776" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00206847, 0.00208953, 0.00208774, 0.00208792, 0.00209381, 0.00209582, 0.00209459" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00586654; + rise_capacitance : 0.00591916; + rise_capacitance_range (0.00591916, 0.00591916); + fall_capacitance : 0.00581392; + fall_capacitance_range (0.00581392, 0.00581392); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000128031, -0.000130698, -0.000123797, -0.000132162, -0.000125393, -0.000113486, -0.000120735" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000128031, 0.000130698, 0.000123797, 0.000132162, 0.000125393, 0.000113486, 0.000120735" \ + ); + } + } + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000520858, -0.00052444, -0.000525185, -0.000538456, -0.00052371, -0.000528795, -0.000534754" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000981704, 0.000782661, 0.000727316, 0.00068614, 0.000651118, 0.000616499, 0.000580271" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000520858, -0.00052444, -0.000525185, -0.000538456, -0.00052371, -0.000528795, -0.000534754" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000981704, 0.000782661, 0.000727316, 0.00068614, 0.000651118, 0.000616499, 0.000580271" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00525422; + rise_capacitance : 0.00530275; + rise_capacitance_range (0.00530275, 0.00530275); + fall_capacitance : 0.00520568; + fall_capacitance_range (0.00520568, 0.00520568); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000855751, 0.000852324, 0.000859459, 0.000857423, 0.000865359, 0.00086767, 0.000869908" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000855751, -0.000852324, -0.000859459, -0.000857423, -0.000865359, -0.00086767, -0.000869908" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000855751, 0.000852324, 0.000859459, 0.000857423, 0.000865359, 0.00086767, 0.000869908" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000855751, -0.000852324, -0.000859459, -0.000857423, -0.000865359, -0.00086767, -0.000869908" \ + ); + } + } + } + } + cell (sg13g2_a221oi_1) { + area : 14.5152; + cell_footprint : "a221oi"; + cell_leakage_power : 899.557; + leakage_power () { + value : 364.955; + when : "!A1*!A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 831.47; + when : "!A1*!A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 516.36; + when : "!A1*!A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 838.853; + when : "!A1*!A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 476.61; + when : "!A1*!A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 838.859; + when : "!A1*!A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1629.59; + when : "!A1*!A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 550.035; + when : "!A1*!A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 516.372; + when : "!A1*A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 838.865; + when : "!A1*A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 667.777; + when : "!A1*A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 846.248; + when : "!A1*A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 628.027; + when : "!A1*A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 846.255; + when : "!A1*A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1636.98; + when : "!A1*A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 557.44; + when : "!A1*A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 476.61; + when : "A1*!A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 838.859; + when : "A1*!A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 628.015; + when : "A1*!A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 846.241; + when : "A1*!A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 588.265; + when : "A1*!A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 846.248; + when : "A1*!A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1636.98; + when : "A1*!A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 557.434; + when : "A1*!A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 2175.97; + when : "A1*A2*!B1*!B2*!C1*!Y"; + } + leakage_power () { + value : 543.478; + when : "A1*A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 2189.62; + when : "A1*A2*!B1*B2*!C1*!Y"; + } + leakage_power () { + value : 560.718; + when : "A1*A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 2189.63; + when : "A1*A2*B1*!B2*!C1*!Y"; + } + leakage_power () { + value : 560.724; + when : "A1*A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 1068.04; + when : "A1*A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 494.307; + when : "A1*A2*B1*B2*C1*!Y"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+(B1*B2)+C1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b1 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108432, 0.527902, 0.810851, 1.27868, 2.06168, 3.36827, 5.54165", \ + "0.131417, 0.553852, 0.837307, 1.3059, 2.0888, 3.39419, 5.56894", \ + "0.147099, 0.573348, 0.857206, 1.32613, 2.10909, 3.4146, 5.59067", \ + "0.16799, 0.607967, 0.892039, 1.36123, 2.14619, 3.45134, 5.62615", \ + "0.191826, 0.671489, 0.959306, 1.42849, 2.21249, 3.51789, 5.69395", \ + "0.222825, 0.77092, 1.07564, 1.5568, 2.34255, 3.64792, 5.82689", \ + "0.267325, 0.92366, 1.26438, 1.78097, 2.5963, 3.91126, 6.08469" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0773825, 0.662946, 1.06088, 1.71878, 2.8202, 4.6539, 7.71466", \ + "0.0798427, 0.662947, 1.06089, 1.71879, 2.82034, 4.65573, 7.71467", \ + "0.0864166, 0.662948, 1.0609, 1.7188, 2.82035, 4.65574, 7.71468", \ + "0.101404, 0.666608, 1.0635, 1.71881, 2.82036, 4.65575, 7.71469", \ + "0.135424, 0.690712, 1.07429, 1.72174, 2.82037, 4.65576, 7.71545", \ + "0.206335, 0.756164, 1.13117, 1.75846, 2.83433, 4.66247, 7.71963", \ + "0.32017, 0.894172, 1.27107, 1.88696, 2.92771, 4.70307, 7.72676" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0516057, 0.262093, 0.399307, 0.624849, 1.00084, 1.62584, 2.66708", \ + "0.0825801, 0.299052, 0.436261, 0.661786, 1.0376, 1.66294, 2.70386", \ + "0.102888, 0.336237, 0.473532, 0.698818, 1.07466, 1.69988, 2.74081", \ + "0.131986, 0.403855, 0.547136, 0.773769, 1.14911, 1.77386, 2.81471", \ + "0.169772, 0.505923, 0.671339, 0.915392, 1.29781, 1.92222, 2.96194", \ + "0.220498, 0.652764, 0.85704, 1.1453, 1.57024, 2.21894, 3.26172", \ + "0.288089, 0.851324, 1.11358, 1.4788, 1.99303, 2.73638, 3.84758" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0590501, 0.32475, 0.505677, 0.805256, 1.30647, 2.14143, 3.53372", \ + "0.0727888, 0.325635, 0.505761, 0.805257, 1.30648, 2.14144, 3.53373", \ + "0.0895579, 0.333924, 0.508816, 0.806158, 1.30649, 2.14145, 3.53571", \ + "0.118496, 0.365191, 0.53083, 0.814935, 1.30871, 2.14146, 3.53572", \ + "0.165885, 0.436713, 0.599761, 0.867925, 1.33606, 2.14861, 3.53573", \ + "0.239929, 0.568127, 0.740306, 1.0114, 1.45752, 2.22405, 3.56253", \ + "0.358655, 0.78641, 0.990783, 1.28318, 1.74709, 2.4921, 3.74882" \ + ); + } + } + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0931159, 0.51324, 0.796775, 1.26555, 2.05034, 3.35977, 5.54061", \ + "0.11587, 0.539083, 0.82347, 1.29278, 2.0771, 3.3858, 5.56554", \ + "0.130338, 0.55853, 0.843028, 1.31222, 2.0977, 3.40627, 5.5866", \ + "0.14849, 0.593091, 0.877763, 1.34796, 2.134, 3.44146, 5.62616", \ + "0.167198, 0.655993, 0.944799, 1.4151, 2.20043, 3.51665, 5.6905", \ + "0.190152, 0.753752, 1.06014, 1.54277, 2.33055, 3.63756, 5.82282", \ + "0.225076, 0.905477, 1.24704, 1.76518, 2.58294, 3.90155, 6.07961" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.064287, 0.650021, 1.04794, 1.70804, 2.81202, 4.65025, 7.71572", \ + "0.067496, 0.650022, 1.04826, 1.70805, 2.81203, 4.65026, 7.71858", \ + "0.0746183, 0.650725, 1.04827, 1.70806, 2.81204, 4.65238, 7.71859", \ + "0.0902354, 0.654225, 1.04965, 1.70807, 2.81205, 4.65239, 7.7186", \ + "0.125508, 0.678548, 1.06259, 1.71241, 2.81662, 4.65876, 7.71929", \ + "0.198904, 0.745393, 1.12053, 1.74803, 2.82569, 4.66012, 7.72355", \ + "0.314186, 0.883988, 1.26089, 1.87724, 2.91963, 4.70005, 7.73083" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.050635, 0.259902, 0.396555, 0.621292, 0.997091, 1.62192, 2.66329", \ + "0.0812468, 0.29687, 0.433493, 0.658153, 1.03367, 1.6588, 2.70082", \ + "0.101066, 0.33406, 0.470778, 0.695487, 1.07117, 1.69629, 2.73777", \ + "0.128826, 0.401241, 0.544161, 0.77015, 1.14533, 1.76989, 2.81106", \ + "0.164482, 0.502489, 0.667647, 0.911502, 1.29367, 1.91819, 2.95884", \ + "0.210858, 0.647499, 0.85209, 1.14051, 1.56578, 2.21474, 3.25809", \ + "0.270423, 0.842629, 1.10626, 1.47244, 1.98774, 2.73185, 3.84364" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0470714, 0.315361, 0.496411, 0.796622, 1.29849, 2.13306, 3.52455", \ + "0.0599878, 0.316021, 0.496622, 0.796623, 1.2985, 2.13461, 3.52456", \ + "0.075153, 0.32415, 0.49965, 0.796728, 1.29851, 2.13462, 3.52457", \ + "0.101093, 0.355417, 0.521258, 0.806311, 1.30107, 2.13463, 3.5274", \ + "0.142799, 0.426392, 0.589594, 0.858124, 1.32653, 2.14166, 3.52741", \ + "0.208709, 0.556038, 0.728358, 1.00117, 1.44766, 2.21433, 3.55056", \ + "0.315284, 0.770312, 0.97533, 1.27387, 1.73693, 2.48098, 3.73858" \ + ); + } + } + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0834853, 0.431055, 0.665582, 1.05317, 1.70213, 2.78375, 4.58681", \ + "0.108698, 0.459674, 0.694547, 1.0823, 1.73311, 2.81338, 4.61605", \ + "0.125013, 0.4826, 0.718171, 1.10653, 1.75575, 2.83904, 4.64071", \ + "0.145471, 0.524165, 0.759737, 1.1481, 1.80204, 2.88057, 4.68631", \ + "0.165839, 0.597307, 0.840379, 1.23061, 1.88032, 2.96214, 4.76577", \ + "0.188632, 0.707385, 0.971784, 1.38073, 2.03827, 3.12032, 4.94443", \ + "0.220698, 0.867046, 1.17462, 1.6317, 2.33073, 3.43618, 5.24308" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0535109, 0.540858, 0.872057, 1.4203, 2.33816, 3.86786, 6.42093", \ + "0.057918, 0.540977, 0.872524, 1.42031, 2.33853, 3.86787, 6.42094", \ + "0.0662812, 0.540984, 0.873629, 1.42044, 2.33964, 3.87009, 6.42095", \ + "0.0844151, 0.547687, 0.874197, 1.42412, 2.34334, 3.8705, 6.42096", \ + "0.122515, 0.579279, 0.894334, 1.42863, 2.34335, 3.87051, 6.42097", \ + "0.198508, 0.658471, 0.965287, 1.47992, 2.36429, 3.87788, 6.43833", \ + "0.317047, 0.811827, 1.12565, 1.63556, 2.48876, 3.94678, 6.44317" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0528801, 0.263073, 0.39986, 0.624767, 1.00064, 1.62556, 2.66754", \ + "0.0841693, 0.300169, 0.436814, 0.661649, 1.03727, 1.66292, 2.70458", \ + "0.104899, 0.337093, 0.473904, 0.698613, 1.07419, 1.6999, 2.74144", \ + "0.134361, 0.40477, 0.547603, 0.773681, 1.14866, 1.77345, 2.81473", \ + "0.172614, 0.506788, 0.671552, 0.915219, 1.29722, 1.92188, 2.96181", \ + "0.222696, 0.653678, 0.85739, 1.14515, 1.5699, 2.21861, 3.26185", \ + "0.287785, 0.853269, 1.11439, 1.47935, 1.99362, 2.7375, 3.84795" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0494793, 0.318015, 0.499316, 0.799739, 1.30137, 2.13772, 3.53019", \ + "0.0620597, 0.318899, 0.49938, 0.79974, 1.30138, 2.13773, 3.5302", \ + "0.0769025, 0.326715, 0.5025, 0.799742, 1.30139, 2.13774, 3.53021", \ + "0.10263, 0.357817, 0.523971, 0.808745, 1.3127, 2.13775, 3.53041", \ + "0.143983, 0.428426, 0.591829, 0.860772, 1.32971, 2.14463, 3.53042", \ + "0.209006, 0.556417, 0.729749, 1.00396, 1.45017, 2.21717, 3.55767", \ + "0.313091, 0.768986, 0.975732, 1.27372, 1.73821, 2.48491, 3.74215" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108432, 0.527902, 0.810851, 1.27868, 2.06168, 3.36827, 5.54165", \ + "0.131417, 0.553852, 0.837307, 1.3059, 2.0888, 3.39419, 5.56894", \ + "0.147099, 0.573348, 0.857206, 1.32613, 2.10909, 3.4146, 5.59067", \ + "0.16799, 0.607967, 0.892039, 1.36123, 2.14619, 3.45134, 5.62615", \ + "0.191826, 0.671489, 0.959306, 1.42849, 2.21249, 3.51789, 5.69395", \ + "0.222825, 0.77092, 1.07564, 1.5568, 2.34255, 3.64792, 5.82689", \ + "0.267325, 0.92366, 1.26438, 1.78097, 2.5963, 3.91126, 6.08469" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0773825, 0.662946, 1.06088, 1.71878, 2.8202, 4.6539, 7.71466", \ + "0.0798427, 0.662947, 1.06089, 1.71879, 2.82034, 4.65573, 7.71467", \ + "0.0864166, 0.662948, 1.0609, 1.7188, 2.82035, 4.65574, 7.71468", \ + "0.101404, 0.666608, 1.0635, 1.71881, 2.82036, 4.65575, 7.71469", \ + "0.135424, 0.690712, 1.07429, 1.72174, 2.82037, 4.65576, 7.71545", \ + "0.206335, 0.756164, 1.13117, 1.75846, 2.83433, 4.66247, 7.71963", \ + "0.32017, 0.894172, 1.27107, 1.88696, 2.92771, 4.70307, 7.72676" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0528801, 0.263073, 0.39986, 0.624767, 1.00064, 1.62556, 2.66754", \ + "0.0841693, 0.300169, 0.436814, 0.661649, 1.03727, 1.66292, 2.70458", \ + "0.104899, 0.337093, 0.473904, 0.698613, 1.07419, 1.6999, 2.74144", \ + "0.134361, 0.40477, 0.547603, 0.773681, 1.14866, 1.77345, 2.81473", \ + "0.172614, 0.506788, 0.671552, 0.915219, 1.29722, 1.92188, 2.96181", \ + "0.222696, 0.653678, 0.85739, 1.14515, 1.5699, 2.21861, 3.26185", \ + "0.287785, 0.853269, 1.11439, 1.47935, 1.99362, 2.7375, 3.84795" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0494793, 0.318015, 0.499316, 0.799739, 1.30137, 2.13772, 3.53019", \ + "0.0620597, 0.318899, 0.49938, 0.79974, 1.30138, 2.13773, 3.5302", \ + "0.0769025, 0.326715, 0.5025, 0.799742, 1.30139, 2.13774, 3.53021", \ + "0.10263, 0.357817, 0.523971, 0.808745, 1.3127, 2.13775, 3.53041", \ + "0.143983, 0.428426, 0.591829, 0.860772, 1.32971, 2.14463, 3.53042", \ + "0.209006, 0.556417, 0.729749, 1.00396, 1.45017, 2.21717, 3.55767", \ + "0.313091, 0.768986, 0.975732, 1.27372, 1.73821, 2.48491, 3.74215" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b1 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.121159, 0.538847, 0.821503, 1.28932, 2.07082, 3.37422, 5.54893", \ + "0.145982, 0.565387, 0.848247, 1.31609, 2.09862, 3.40156, 5.57357", \ + "0.162735, 0.585521, 0.86875, 1.33649, 2.1183, 3.42206, 5.59466", \ + "0.185868, 0.621311, 0.904473, 1.37256, 2.15605, 3.45935, 5.6348", \ + "0.214325, 0.685606, 0.972154, 1.44009, 2.22268, 3.52606, 5.69915", \ + "0.253114, 0.787687, 1.09002, 1.56899, 2.3537, 3.65546, 5.83191", \ + "0.314849, 0.94559, 1.28202, 1.79675, 2.60826, 3.92073, 6.09186" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0909421, 0.676356, 1.07441, 1.73115, 2.83217, 4.66574, 7.71843", \ + "0.0925594, 0.676357, 1.07447, 1.73139, 2.83218, 4.66577, 7.72128", \ + "0.0985246, 0.676519, 1.07448, 1.7314, 2.83219, 4.66601, 7.72129", \ + "0.112773, 0.680321, 1.0758, 1.73141, 2.83382, 4.66602, 7.7213", \ + "0.146378, 0.703223, 1.08741, 1.73518, 2.83383, 4.66603, 7.72219", \ + "0.212231, 0.769092, 1.14366, 1.77142, 2.84502, 4.669, 7.72611", \ + "0.317716, 0.905387, 1.28207, 1.89977, 2.93849, 4.71232, 7.72947" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.055919, 0.266401, 0.403669, 0.629074, 1.00512, 1.63013, 2.67134", \ + "0.0832475, 0.298123, 0.435414, 0.66103, 1.0369, 1.66202, 2.70317", \ + "0.102086, 0.328801, 0.46651, 0.69216, 1.06815, 1.69334, 2.73437", \ + "0.129197, 0.385086, 0.527436, 0.754562, 1.13074, 1.75604, 2.79708", \ + "0.164125, 0.47446, 0.631805, 0.871551, 1.2535, 1.87962, 2.92084", \ + "0.209862, 0.608277, 0.796585, 1.06805, 1.4804, 2.1246, 3.17056", \ + "0.270656, 0.795466, 1.03548, 1.36753, 1.84696, 2.55865, 3.65317" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0589034, 0.324775, 0.505949, 0.805219, 1.30645, 2.14318, 3.53305", \ + "0.0673949, 0.325376, 0.50595, 0.805242, 1.30646, 2.14319, 3.53306", \ + "0.0794749, 0.330717, 0.507933, 0.805918, 1.30647, 2.1432, 3.53307", \ + "0.10312, 0.351269, 0.522033, 0.81177, 1.31079, 2.14321, 3.53308", \ + "0.145226, 0.401957, 0.568386, 0.846501, 1.32618, 2.14659, 3.53368", \ + "0.213886, 0.50439, 0.671142, 0.945295, 1.40684, 2.19678, 3.55292", \ + "0.321253, 0.690951, 0.872797, 1.15397, 1.61486, 2.37822, 3.67533" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.105984, 0.524249, 0.807753, 1.27571, 2.05954, 3.36593, 5.54552", \ + "0.130559, 0.550826, 0.834153, 1.30302, 2.08695, 3.39322, 5.57025", \ + "0.146388, 0.570819, 0.854391, 1.32344, 2.10697, 3.41483, 5.59067", \ + "0.167284, 0.606555, 0.89028, 1.35935, 2.14486, 3.44969, 5.63134", \ + "0.191044, 0.669993, 0.957775, 1.42665, 2.21102, 3.51706, 5.69872", \ + "0.223794, 0.770753, 1.07457, 1.55512, 2.34164, 3.64703, 5.8277", \ + "0.277114, 0.925534, 1.26433, 1.78184, 2.59563, 3.91164, 6.08705" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0774447, 0.663607, 1.06189, 1.72112, 2.82406, 4.6621, 7.72241", \ + "0.0800278, 0.663918, 1.0623, 1.72113, 2.82407, 4.66211, 7.72242", \ + "0.0865777, 0.663919, 1.06231, 1.72114, 2.82408, 4.66212, 7.72525", \ + "0.101008, 0.667801, 1.06515, 1.72115, 2.82415, 4.66213, 7.72526", \ + "0.134813, 0.691815, 1.07585, 1.72405, 2.82416, 4.66214, 7.72527", \ + "0.202193, 0.758644, 1.13273, 1.76085, 2.83838, 4.66566, 7.72983", \ + "0.307298, 0.893109, 1.27144, 1.89102, 2.93039, 4.70935, 7.73347" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0549113, 0.264277, 0.400788, 0.625577, 1.00116, 1.62622, 2.66759", \ + "0.0820772, 0.295952, 0.432661, 0.657369, 1.03334, 1.65811, 2.69929", \ + "0.100571, 0.326616, 0.463696, 0.688519, 1.06463, 1.6893, 2.7313", \ + "0.12687, 0.38274, 0.524576, 0.750964, 1.12683, 1.75192, 2.79382", \ + "0.159744, 0.471565, 0.628487, 0.867652, 1.24939, 1.87577, 2.9178", \ + "0.202471, 0.604004, 0.792261, 1.06371, 1.47608, 2.12064, 3.16672", \ + "0.256886, 0.788563, 1.02998, 1.36203, 1.84257, 2.55457, 3.64922" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0468544, 0.315447, 0.496377, 0.796746, 1.29745, 2.13463, 3.52761", \ + "0.0550901, 0.31596, 0.496553, 0.796747, 1.29849, 2.13464, 3.52762", \ + "0.0661164, 0.321277, 0.498428, 0.796906, 1.2985, 2.13465, 3.52763", \ + "0.0882815, 0.34176, 0.51239, 0.802987, 1.3013, 2.13466, 3.52764", \ + "0.126475, 0.391561, 0.558993, 0.837117, 1.31674, 2.13798, 3.52765", \ + "0.187472, 0.493064, 0.66116, 0.936041, 1.39917, 2.18746, 3.54474", \ + "0.285405, 0.676699, 0.860603, 1.14526, 1.60598, 2.36888, 3.66631" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0940422, 0.440067, 0.674234, 1.06126, 1.70934, 2.78952, 4.58916", \ + "0.121056, 0.469045, 0.703983, 1.09073, 1.74022, 2.81902, 4.61874", \ + "0.138811, 0.49261, 0.72724, 1.11485, 1.76287, 2.84443, 4.64244", \ + "0.162519, 0.535209, 0.769883, 1.15772, 1.8055, 2.88618, 4.68756", \ + "0.188608, 0.609124, 0.850958, 1.24001, 1.88827, 2.96891, 4.76896", \ + "0.221072, 0.720878, 0.984067, 1.3915, 2.04682, 3.12681, 4.92713", \ + "0.272126, 0.886281, 1.1913, 1.64439, 2.34116, 3.44442, 5.24686" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0645416, 0.552152, 0.882879, 1.43088, 2.34691, 3.87515, 6.4199", \ + "0.0678776, 0.552153, 0.883527, 1.43097, 2.34818, 3.87717, 6.42471", \ + "0.0755235, 0.552537, 0.884323, 1.43098, 2.34896, 3.87745, 6.42472", \ + "0.0928183, 0.558585, 0.885542, 1.43099, 2.34897, 3.87746, 6.42473", \ + "0.129845, 0.589164, 0.904607, 1.43929, 2.35308, 3.87747, 6.42474", \ + "0.200267, 0.666553, 0.975187, 1.48952, 2.37367, 3.89667, 6.42475", \ + "0.309389, 0.818329, 1.13475, 1.64439, 2.49712, 3.9532, 6.44722" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0571642, 0.267357, 0.404138, 0.629177, 1.00472, 1.62986, 2.67129", \ + "0.0847524, 0.299178, 0.436034, 0.660884, 1.03659, 1.66213, 2.70387", \ + "0.10379, 0.329816, 0.467063, 0.692, 1.06823, 1.69351, 2.73506", \ + "0.131293, 0.386141, 0.527858, 0.754446, 1.13028, 1.75569, 2.79758", \ + "0.166527, 0.475319, 0.632131, 0.871385, 1.25328, 1.87948, 2.92158", \ + "0.213066, 0.609223, 0.797046, 1.06842, 1.47981, 2.12452, 3.17027", \ + "0.272982, 0.797075, 1.03702, 1.36797, 1.84774, 2.55873, 3.6535" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0494662, 0.318271, 0.499342, 0.799623, 1.30047, 2.13772, 3.53071", \ + "0.0572055, 0.318629, 0.499447, 0.799624, 1.30048, 2.13773, 3.53072", \ + "0.0680796, 0.323685, 0.501114, 0.799852, 1.30097, 2.13774, 3.53073", \ + "0.090182, 0.344135, 0.515093, 0.805146, 1.30496, 2.13775, 3.53074", \ + "0.12807, 0.393842, 0.561555, 0.839175, 1.31981, 2.14103, 3.53075", \ + "0.187778, 0.494777, 0.66431, 0.938872, 1.40109, 2.19017, 3.54865", \ + "0.282493, 0.676436, 0.863333, 1.14649, 1.60734, 2.37139, 3.66886" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.121159, 0.538847, 0.821503, 1.28932, 2.07082, 3.37422, 5.54893", \ + "0.145982, 0.565387, 0.848247, 1.31609, 2.09862, 3.40156, 5.57357", \ + "0.162735, 0.585521, 0.86875, 1.33649, 2.1183, 3.42206, 5.59466", \ + "0.185868, 0.621311, 0.904473, 1.37256, 2.15605, 3.45935, 5.6348", \ + "0.214325, 0.685606, 0.972154, 1.44009, 2.22268, 3.52606, 5.69915", \ + "0.253114, 0.787687, 1.09002, 1.56899, 2.3537, 3.65546, 5.83191", \ + "0.314849, 0.94559, 1.28202, 1.79675, 2.60826, 3.92073, 6.09186" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0909421, 0.676356, 1.07441, 1.73115, 2.83217, 4.66574, 7.71843", \ + "0.0925594, 0.676357, 1.07447, 1.73139, 2.83218, 4.66577, 7.72128", \ + "0.0985246, 0.676519, 1.07448, 1.7314, 2.83219, 4.66601, 7.72129", \ + "0.112773, 0.680321, 1.0758, 1.73141, 2.83382, 4.66602, 7.7213", \ + "0.146378, 0.703223, 1.08741, 1.73518, 2.83383, 4.66603, 7.72219", \ + "0.212231, 0.769092, 1.14366, 1.77142, 2.84502, 4.669, 7.72611", \ + "0.317716, 0.905387, 1.28207, 1.89977, 2.93849, 4.71232, 7.72947" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0571642, 0.267357, 0.404138, 0.629177, 1.00472, 1.62986, 2.67129", \ + "0.0847524, 0.299178, 0.436034, 0.660884, 1.03659, 1.66213, 2.70387", \ + "0.10379, 0.329816, 0.467063, 0.692, 1.06823, 1.69351, 2.73506", \ + "0.131293, 0.386141, 0.527858, 0.754446, 1.13028, 1.75569, 2.79758", \ + "0.166527, 0.475319, 0.632131, 0.871385, 1.25328, 1.87948, 2.92158", \ + "0.213066, 0.609223, 0.797046, 1.06842, 1.47981, 2.12452, 3.17027", \ + "0.272982, 0.797075, 1.03702, 1.36797, 1.84774, 2.55873, 3.6535" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0494662, 0.318271, 0.499342, 0.799623, 1.30047, 2.13772, 3.53071", \ + "0.0572055, 0.318629, 0.499447, 0.799624, 1.30048, 2.13773, 3.53072", \ + "0.0680796, 0.323685, 0.501114, 0.799852, 1.30097, 2.13774, 3.53073", \ + "0.090182, 0.344135, 0.515093, 0.805146, 1.30496, 2.13775, 3.53074", \ + "0.12807, 0.393842, 0.561555, 0.839175, 1.31981, 2.14103, 3.53075", \ + "0.187778, 0.494777, 0.66431, 0.938872, 1.40109, 2.19017, 3.54865", \ + "0.282493, 0.676436, 0.863333, 1.14649, 1.60734, 2.37139, 3.66886" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0971092, 0.51703, 0.800325, 1.26856, 2.05249, 3.35873, 5.53653", \ + "0.119214, 0.542782, 0.826908, 1.29524, 2.07947, 3.38618, 5.56334", \ + "0.135677, 0.566265, 0.850829, 1.31905, 2.10367, 3.41178, 5.58829", \ + "0.159686, 0.612633, 0.89711, 1.3667, 2.15242, 3.45804, 5.63595", \ + "0.193335, 0.702241, 0.992259, 1.46191, 2.24648, 3.5531, 5.73165", \ + "0.247722, 0.847014, 1.15983, 1.64544, 2.43304, 3.73872, 5.91732", \ + "0.325425, 1.06201, 1.42986, 1.96618, 2.79559, 4.11764, 6.29546" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0774623, 0.663827, 1.0623, 1.72112, 2.82405, 4.66231, 7.72115", \ + "0.082521, 0.663828, 1.06231, 1.72113, 2.82431, 4.66232, 7.72116", \ + "0.0931038, 0.663997, 1.06232, 1.72114, 2.82432, 4.66233, 7.72528", \ + "0.115309, 0.66972, 1.06477, 1.72115, 2.82566, 4.66234, 7.72529", \ + "0.157706, 0.703068, 1.08091, 1.72579, 2.82567, 4.66235, 7.72568", \ + "0.224612, 0.791613, 1.1569, 1.77267, 2.84099, 4.67679, 7.72569", \ + "0.330212, 0.965502, 1.3426, 1.94275, 2.96039, 4.72278, 7.73716" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0470094, 0.250654, 0.38693, 0.611744, 0.987177, 1.61174, 2.65262", \ + "0.0742945, 0.288244, 0.424579, 0.64945, 1.02473, 1.6493, 2.69024", \ + "0.0910096, 0.325311, 0.461994, 0.686638, 1.06191, 1.6866, 2.72722", \ + "0.113619, 0.391532, 0.535191, 0.761452, 1.13636, 1.76072, 2.80128", \ + "0.141896, 0.490663, 0.657344, 0.902373, 1.28482, 1.90908, 2.94846", \ + "0.177857, 0.631637, 0.839038, 1.1296, 1.55601, 2.20533, 3.24841", \ + "0.221051, 0.818655, 1.08717, 1.45673, 1.97472, 2.72107, 3.83351" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0471833, 0.312196, 0.49316, 0.792298, 1.29312, 2.13, 3.52168", \ + "0.0626117, 0.313281, 0.493281, 0.792299, 1.29313, 2.13001, 3.52169", \ + "0.0789188, 0.322095, 0.4963, 0.792369, 1.29314, 2.13002, 3.5217", \ + "0.106886, 0.354546, 0.519389, 0.802424, 1.29562, 2.13003, 3.52171", \ + "0.151741, 0.426222, 0.588473, 0.856033, 1.32371, 2.13713, 3.52172", \ + "0.223002, 0.557808, 0.729925, 1.00004, 1.44565, 2.21111, 3.54656", \ + "0.339342, 0.775293, 0.978441, 1.27284, 1.73638, 2.48026, 3.73562" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0817691, 0.502069, 0.785385, 1.2542, 2.03904, 3.34699, 5.52694", \ + "0.102818, 0.527547, 0.811934, 1.28083, 2.06588, 3.3757, 5.55413", \ + "0.116966, 0.551017, 0.83553, 1.30487, 2.09019, 3.39861, 5.57899", \ + "0.136708, 0.597383, 0.88215, 1.35225, 2.13901, 3.44565, 5.62624", \ + "0.163646, 0.686275, 0.977149, 1.44741, 2.23279, 3.54111, 5.72243", \ + "0.210878, 0.828612, 1.14402, 1.63068, 2.41954, 3.72698, 5.90797", \ + "0.278429, 1.04078, 1.41146, 1.95086, 2.78172, 4.10587, 6.28595" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0641472, 0.64973, 1.0487, 1.70659, 2.8099, 4.65198, 7.71859", \ + "0.0707303, 0.650064, 1.04871, 1.70791, 2.81202, 4.65199, 7.7186", \ + "0.0819624, 0.650065, 1.04872, 1.70792, 2.81203, 4.65201, 7.71861", \ + "0.104416, 0.656399, 1.05058, 1.70793, 2.81204, 4.65202, 7.71862", \ + "0.146853, 0.69069, 1.06804, 1.71301, 2.81781, 4.65203, 7.71892", \ + "0.214379, 0.779815, 1.14567, 1.76039, 2.82918, 4.66317, 7.71893", \ + "0.31822, 0.953289, 1.33069, 1.93293, 2.94998, 4.71204, 7.72906" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0463, 0.248615, 0.384316, 0.608284, 0.983508, 1.60786, 2.64887", \ + "0.073118, 0.286239, 0.421918, 0.645871, 1.02083, 1.64538, 2.68628", \ + "0.0892841, 0.323272, 0.459275, 0.683171, 1.05791, 1.68306, 2.72418", \ + "0.11069, 0.389094, 0.532333, 0.757981, 1.13245, 1.75663, 2.79762", \ + "0.13718, 0.487453, 0.653796, 0.898562, 1.2808, 1.90508, 2.94488", \ + "0.168871, 0.626562, 0.834045, 1.12492, 1.55161, 2.20137, 3.2444", \ + "0.202957, 0.810015, 1.08046, 1.4508, 1.97007, 2.717, 3.82927" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0365405, 0.30279, 0.48406, 0.783794, 1.28411, 2.11902, 3.51059", \ + "0.0503679, 0.303863, 0.484291, 0.783795, 1.28492, 2.11914, 3.5106", \ + "0.0647038, 0.312551, 0.487276, 0.784031, 1.28493, 2.11915, 3.51061", \ + "0.0891749, 0.345088, 0.509509, 0.792845, 1.2892, 2.12037, 3.51062", \ + "0.127734, 0.416582, 0.578828, 0.846742, 1.31415, 2.12775, 3.51063", \ + "0.189928, 0.544524, 0.718721, 0.990329, 1.43617, 2.20189, 3.53982", \ + "0.291545, 0.760685, 0.966278, 1.26086, 1.72672, 2.47106, 3.7273" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.068512, 0.416841, 0.651901, 1.04067, 1.69153, 2.77621, 4.58632", \ + "0.0904444, 0.443785, 0.679646, 1.06846, 1.72046, 2.8057, 4.61221", \ + "0.104054, 0.468175, 0.704315, 1.09374, 1.74491, 2.83133, 4.63734", \ + "0.12225, 0.516026, 0.752318, 1.14219, 1.79303, 2.8787, 4.68643", \ + "0.145915, 0.602928, 0.848428, 1.2402, 1.8918, 2.97805, 4.78496", \ + "0.184801, 0.736951, 1.00829, 1.42276, 2.08265, 3.16766, 4.97652", \ + "0.235556, 0.928526, 1.25557, 1.72649, 2.43751, 3.55042, 5.36224" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0512525, 0.539563, 0.871271, 1.42169, 2.34032, 3.87327, 6.43108", \ + "0.0585573, 0.539564, 0.871598, 1.4217, 2.34226, 3.87501, 6.43109", \ + "0.070126, 0.540294, 0.871682, 1.42171, 2.34239, 3.87648, 6.43255", \ + "0.0924073, 0.549064, 0.874425, 1.42185, 2.3424, 3.87649, 6.43256", \ + "0.133314, 0.587954, 0.899039, 1.43165, 2.34241, 3.8765, 6.43257", \ + "0.199864, 0.681067, 0.984119, 1.49174, 2.37143, 3.88029, 6.43258", \ + "0.30101, 0.852951, 1.17301, 1.67595, 2.51586, 3.96477, 6.4575" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0459465, 0.248229, 0.38387, 0.608062, 0.982989, 1.60748, 2.64902", \ + "0.0724772, 0.28569, 0.421226, 0.645217, 1.02023, 1.64492, 2.68586", \ + "0.0887386, 0.322664, 0.458654, 0.682428, 1.0581, 1.68186, 2.7232", \ + "0.110765, 0.388622, 0.531786, 0.757407, 1.13184, 1.75614, 2.79699", \ + "0.138928, 0.487169, 0.653324, 0.898213, 1.28036, 1.90454, 2.94474", \ + "0.174848, 0.626992, 0.834306, 1.12469, 1.55141, 2.20082, 3.24406", \ + "0.217477, 0.814732, 1.08362, 1.45247, 1.97103, 2.71679, 3.82969" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0365579, 0.30279, 0.484162, 0.783098, 1.2851, 2.11902, 3.51299", \ + "0.0507903, 0.303981, 0.484306, 0.783099, 1.28511, 2.11914, 3.513", \ + "0.0650935, 0.312659, 0.486819, 0.784, 1.28609, 2.11915, 3.51301", \ + "0.0892244, 0.345354, 0.509716, 0.793366, 1.2861, 2.12055, 3.51302", \ + "0.126935, 0.416691, 0.579022, 0.846921, 1.31484, 2.12788, 3.51303", \ + "0.186976, 0.543627, 0.718016, 0.990552, 1.43576, 2.20179, 3.5376", \ + "0.286106, 0.755395, 0.963758, 1.25982, 1.72548, 2.47062, 3.72634" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0971092, 0.51703, 0.800325, 1.26856, 2.05249, 3.35873, 5.53653", \ + "0.119214, 0.542782, 0.826908, 1.29524, 2.07947, 3.38618, 5.56334", \ + "0.135677, 0.566265, 0.850829, 1.31905, 2.10367, 3.41178, 5.58829", \ + "0.159686, 0.612633, 0.89711, 1.3667, 2.15242, 3.45804, 5.63595", \ + "0.193335, 0.702241, 0.992259, 1.46191, 2.24648, 3.5531, 5.73165", \ + "0.247722, 0.847014, 1.15983, 1.64544, 2.43304, 3.73872, 5.91732", \ + "0.325425, 1.06201, 1.42986, 1.96618, 2.79559, 4.11764, 6.29546" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0774623, 0.663827, 1.0623, 1.72112, 2.82405, 4.66231, 7.72115", \ + "0.082521, 0.663828, 1.06231, 1.72113, 2.82431, 4.66232, 7.72116", \ + "0.0931038, 0.663997, 1.06232, 1.72114, 2.82432, 4.66233, 7.72528", \ + "0.115309, 0.66972, 1.06477, 1.72115, 2.82566, 4.66234, 7.72529", \ + "0.157706, 0.703068, 1.08091, 1.72579, 2.82567, 4.66235, 7.72568", \ + "0.224612, 0.791613, 1.1569, 1.77267, 2.84099, 4.67679, 7.72569", \ + "0.330212, 0.965502, 1.3426, 1.94275, 2.96039, 4.72278, 7.73716" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0470094, 0.250654, 0.38693, 0.611744, 0.987177, 1.61174, 2.65262", \ + "0.0742945, 0.288244, 0.424579, 0.64945, 1.02473, 1.6493, 2.69024", \ + "0.0910096, 0.325311, 0.461994, 0.686638, 1.06191, 1.6866, 2.72722", \ + "0.113619, 0.391532, 0.535191, 0.761452, 1.13636, 1.76072, 2.80128", \ + "0.141896, 0.490663, 0.657344, 0.902373, 1.28482, 1.90908, 2.94846", \ + "0.177857, 0.631637, 0.839038, 1.1296, 1.55601, 2.20533, 3.24841", \ + "0.221051, 0.818655, 1.08717, 1.45673, 1.97472, 2.72107, 3.83351" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0471833, 0.312196, 0.49316, 0.792298, 1.29312, 2.13, 3.52168", \ + "0.0626117, 0.313281, 0.493281, 0.792299, 1.29313, 2.13001, 3.52169", \ + "0.0789188, 0.322095, 0.4963, 0.792369, 1.29314, 2.13002, 3.5217", \ + "0.106886, 0.354546, 0.519389, 0.802424, 1.29562, 2.13003, 3.52171", \ + "0.151741, 0.426222, 0.588473, 0.856033, 1.32371, 2.13713, 3.52172", \ + "0.223002, 0.557808, 0.729925, 1.00004, 1.44565, 2.21111, 3.54656", \ + "0.339342, 0.775293, 0.978441, 1.27284, 1.73638, 2.48026, 3.73562" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.109719, 0.527576, 0.810177, 1.27791, 2.05948, 3.3629, 5.53516", \ + "0.134012, 0.554029, 0.836708, 1.30419, 2.08725, 3.39004, 5.56214", \ + "0.152419, 0.578014, 0.861013, 1.3291, 2.11089, 3.41451, 5.58678", \ + "0.179874, 0.625331, 0.908434, 1.37645, 2.15989, 3.46457, 5.63873", \ + "0.219807, 0.716497, 1.00488, 1.47277, 2.25495, 3.558, 5.73139", \ + "0.283857, 0.863588, 1.17416, 1.65785, 2.44287, 3.74525, 5.91754", \ + "0.379284, 1.08542, 1.44845, 1.98255, 2.80806, 4.12553, 6.29771" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0907534, 0.676811, 1.07441, 1.73139, 2.83217, 4.66573, 7.72129", \ + "0.0946053, 0.676812, 1.07442, 1.73186, 2.83218, 4.66621, 7.7213", \ + "0.104665, 0.67689, 1.07443, 1.73187, 2.83219, 4.66622, 7.72131", \ + "0.126436, 0.682376, 1.07735, 1.73188, 2.83361, 4.66623, 7.72132", \ + "0.167908, 0.714591, 1.09253, 1.73715, 2.83362, 4.66624, 7.7217", \ + "0.231352, 0.802494, 1.16861, 1.78294, 2.85044, 4.67946, 7.72171", \ + "0.331209, 0.97427, 1.34942, 1.95373, 2.96828, 4.72556, 7.73158" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0516002, 0.255022, 0.391271, 0.616129, 0.9915, 1.61606, 2.65692", \ + "0.0762362, 0.287305, 0.423758, 0.648702, 1.02404, 1.64873, 2.68955", \ + "0.0921243, 0.317949, 0.454939, 0.679837, 1.05526, 1.68018, 2.72117", \ + "0.113765, 0.373302, 0.51563, 0.742357, 1.11804, 1.7429, 2.78362", \ + "0.140152, 0.460319, 0.618418, 0.858665, 1.24059, 1.86658, 2.90753", \ + "0.172984, 0.589756, 0.77993, 1.05327, 1.46648, 2.11136, 3.15713", \ + "0.210314, 0.766548, 1.01221, 1.34813, 1.83064, 2.54398, 3.63941" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.047059, 0.312184, 0.492974, 0.7923, 1.29316, 2.12965, 3.52168", \ + "0.0567524, 0.312909, 0.493277, 0.792356, 1.29317, 2.12966, 3.52169", \ + "0.0690319, 0.318518, 0.495115, 0.792554, 1.29318, 2.12972, 3.5217", \ + "0.0924393, 0.339924, 0.51008, 0.798986, 1.29939, 2.12973, 3.52171", \ + "0.13328, 0.391004, 0.556834, 0.834583, 1.31378, 2.13313, 3.52172", \ + "0.1996, 0.493815, 0.660467, 0.934154, 1.39487, 2.1833, 3.53739", \ + "0.304191, 0.680062, 0.862262, 1.14274, 1.60363, 2.36535, 3.66105" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0946231, 0.512421, 0.795345, 1.26307, 2.04608, 3.3513, 5.52603", \ + "0.118235, 0.538854, 0.822214, 1.28984, 2.07396, 3.37979, 5.55301", \ + "0.134787, 0.562901, 0.846172, 1.3141, 2.09747, 3.40267, 5.57829", \ + "0.159013, 0.61011, 0.893405, 1.36208, 2.14665, 3.45137, 5.62472", \ + "0.19297, 0.70086, 0.989858, 1.45835, 2.24158, 3.54692, 5.72221", \ + "0.251093, 0.84637, 1.15882, 1.6431, 2.42977, 3.73326, 5.90871", \ + "0.337314, 1.06458, 1.43132, 1.96678, 2.79427, 4.1134, 6.28835" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0773897, 0.662928, 1.06088, 1.71879, 2.8202, 4.65323, 7.71466", \ + "0.0826548, 0.662929, 1.06089, 1.7188, 2.82021, 4.65395, 7.71467", \ + "0.0931722, 0.66293, 1.0609, 1.71881, 2.82022, 4.65572, 7.71545", \ + "0.115336, 0.668942, 1.06448, 1.71882, 2.82023, 4.65573, 7.71546", \ + "0.157203, 0.702519, 1.07974, 1.72338, 2.82114, 4.65574, 7.71547", \ + "0.219462, 0.790693, 1.15593, 1.77071, 2.83785, 4.66885, 7.71548", \ + "0.316036, 0.96226, 1.34121, 1.9432, 2.95756, 4.71584, 7.72503" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0508517, 0.252947, 0.388649, 0.612668, 0.987695, 1.61216, 2.65318", \ + "0.0752976, 0.285327, 0.421064, 0.645393, 1.02013, 1.64484, 2.6858", \ + "0.090783, 0.315928, 0.452203, 0.676387, 1.05156, 1.67612, 2.7178", \ + "0.111625, 0.37094, 0.512818, 0.738763, 1.11411, 1.73908, 2.78048", \ + "0.136554, 0.457703, 0.615196, 0.854918, 1.2366, 1.8627, 2.90433", \ + "0.165919, 0.585705, 0.775715, 1.04951, 1.46235, 2.1071, 3.15315", \ + "0.196328, 0.75924, 1.00599, 1.34263, 1.82692, 2.54021, 3.63522" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0364499, 0.302861, 0.484128, 0.783803, 1.28506, 2.11914, 3.51059", \ + "0.0453177, 0.303558, 0.484129, 0.783804, 1.28507, 2.11915, 3.5106", \ + "0.0563135, 0.309055, 0.486117, 0.783965, 1.28508, 2.11916, 3.51061", \ + "0.0776732, 0.330629, 0.500476, 0.790368, 1.29411, 2.12091, 3.51062", \ + "0.11314, 0.381195, 0.547635, 0.824949, 1.30437, 2.12628, 3.51063", \ + "0.172092, 0.482417, 0.650184, 0.925011, 1.38605, 2.17405, 3.52881", \ + "0.265445, 0.666819, 0.85033, 1.13279, 1.59421, 2.35654, 3.65299" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0789281, 0.425278, 0.659679, 1.04721, 1.69625, 2.77763, 4.58046", \ + "0.103278, 0.452771, 0.68769, 1.07522, 1.72511, 2.80698, 4.61003", \ + "0.119353, 0.477707, 0.712765, 1.10077, 1.74951, 2.83215, 4.63316", \ + "0.141963, 0.526318, 0.761386, 1.14941, 1.80278, 2.88136, 4.68629", \ + "0.172237, 0.615114, 0.858823, 1.24885, 1.89784, 2.98062, 4.7819", \ + "0.221829, 0.752172, 1.02204, 1.43297, 2.08981, 3.17142, 4.99115", \ + "0.292087, 0.950473, 1.27209, 1.74114, 2.44728, 3.55643, 5.36233" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0621461, 0.549824, 0.881158, 1.42938, 2.34559, 3.87375, 6.4251", \ + "0.0681301, 0.550459, 0.881159, 1.42969, 2.34727, 3.87519, 6.42511", \ + "0.0791199, 0.550598, 0.88116, 1.4297, 2.34728, 3.87528, 6.42512", \ + "0.101311, 0.559148, 0.884212, 1.42971, 2.35014, 3.87529, 6.42513", \ + "0.141739, 0.59712, 0.90807, 1.43856, 2.35037, 3.87537, 6.42514", \ + "0.203399, 0.690069, 0.993552, 1.49922, 2.37707, 3.8949, 6.43798", \ + "0.297243, 0.860573, 1.17565, 1.6828, 2.52056, 3.96548, 6.45142" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0504822, 0.252633, 0.388278, 0.612345, 0.987192, 1.61179, 2.65281", \ + "0.0747253, 0.284757, 0.420555, 0.644824, 1.01953, 1.64424, 2.68533", \ + "0.0902999, 0.315327, 0.451624, 0.675802, 1.05185, 1.67551, 2.71662", \ + "0.111326, 0.370418, 0.512247, 0.738251, 1.11349, 1.73832, 2.77904", \ + "0.13729, 0.45704, 0.614703, 0.854369, 1.23616, 1.86191, 2.90368", \ + "0.170374, 0.586047, 0.775741, 1.04885, 1.46203, 2.10669, 3.15255", \ + "0.209422, 0.76362, 1.0093, 1.34379, 1.8264, 2.54058, 3.63543" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0363945, 0.302892, 0.484098, 0.783673, 1.2842, 2.11914, 3.51059", \ + "0.0454312, 0.303411, 0.484304, 0.783674, 1.28492, 2.11915, 3.51354", \ + "0.056655, 0.30913, 0.486275, 0.783996, 1.28594, 2.11916, 3.51355", \ + "0.0779434, 0.330939, 0.500679, 0.789786, 1.28627, 2.12081, 3.51356", \ + "0.11314, 0.381136, 0.547532, 0.824954, 1.30442, 2.12451, 3.51357", \ + "0.170186, 0.483031, 0.650008, 0.924655, 1.38613, 2.17448, 3.5291", \ + "0.261292, 0.664279, 0.848668, 1.13204, 1.59122, 2.35843, 3.65244" \ + ); + } + } + timing () { + related_pin : "B2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.109719, 0.527576, 0.810177, 1.27791, 2.05948, 3.3629, 5.53516", \ + "0.134012, 0.554029, 0.836708, 1.30419, 2.08725, 3.39004, 5.56214", \ + "0.152419, 0.578014, 0.861013, 1.3291, 2.11089, 3.41451, 5.58678", \ + "0.179874, 0.625331, 0.908434, 1.37645, 2.15989, 3.46457, 5.63873", \ + "0.219807, 0.716497, 1.00488, 1.47277, 2.25495, 3.558, 5.73139", \ + "0.283857, 0.863588, 1.17416, 1.65785, 2.44287, 3.74525, 5.91754", \ + "0.379284, 1.08542, 1.44845, 1.98255, 2.80806, 4.12553, 6.29771" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0907534, 0.676811, 1.07441, 1.73139, 2.83217, 4.66573, 7.72129", \ + "0.0946053, 0.676812, 1.07442, 1.73186, 2.83218, 4.66621, 7.7213", \ + "0.104665, 0.67689, 1.07443, 1.73187, 2.83219, 4.66622, 7.72131", \ + "0.126436, 0.682376, 1.07735, 1.73188, 2.83361, 4.66623, 7.72132", \ + "0.167908, 0.714591, 1.09253, 1.73715, 2.83362, 4.66624, 7.7217", \ + "0.231352, 0.802494, 1.16861, 1.78294, 2.85044, 4.67946, 7.72171", \ + "0.331209, 0.97427, 1.34942, 1.95373, 2.96828, 4.72556, 7.73158" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0516002, 0.255022, 0.391271, 0.616129, 0.9915, 1.61606, 2.65692", \ + "0.0762362, 0.287305, 0.423758, 0.648702, 1.02404, 1.64873, 2.68955", \ + "0.0921243, 0.317949, 0.454939, 0.679837, 1.05526, 1.68018, 2.72117", \ + "0.113765, 0.373302, 0.51563, 0.742357, 1.11804, 1.7429, 2.78362", \ + "0.140152, 0.460319, 0.618418, 0.858665, 1.24059, 1.86658, 2.90753", \ + "0.172984, 0.589756, 0.77993, 1.05327, 1.46648, 2.11136, 3.15713", \ + "0.210314, 0.766548, 1.01221, 1.34813, 1.83064, 2.54398, 3.63941" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.047059, 0.312184, 0.492974, 0.7923, 1.29316, 2.12965, 3.52168", \ + "0.0567524, 0.312909, 0.493277, 0.792356, 1.29317, 2.12966, 3.52169", \ + "0.0690319, 0.318518, 0.495115, 0.792554, 1.29318, 2.12972, 3.5217", \ + "0.0924393, 0.339924, 0.51008, 0.798986, 1.29939, 2.12973, 3.52171", \ + "0.13328, 0.391004, 0.556834, 0.834583, 1.31378, 2.13313, 3.52172", \ + "0.1996, 0.493815, 0.660467, 0.934154, 1.39487, 2.1833, 3.53739", \ + "0.304191, 0.680062, 0.862262, 1.14274, 1.60363, 2.36535, 3.66105" \ + ); + } + } + timing () { + related_pin : "C1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.070431, 0.491662, 0.774496, 1.24232, 2.02544, 3.33041, 5.50541", \ + "0.0957745, 0.518319, 0.801916, 1.27024, 2.05363, 3.35883, 5.53396", \ + "0.116537, 0.547254, 0.830997, 1.29962, 2.08274, 3.38969, 5.56347", \ + "0.147604, 0.606728, 0.889412, 1.35777, 2.14212, 3.44572, 5.62508", \ + "0.192506, 0.721766, 1.01081, 1.47685, 2.25886, 3.56168, 5.73688", \ + "0.262966, 0.901291, 1.22359, 1.71133, 2.49429, 3.79368, 5.96439", \ + "0.365809, 1.15769, 1.55192, 2.11212, 2.95351, 4.27038, 6.43503" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0769335, 0.66282, 1.06087, 1.71867, 2.8202, 4.65569, 7.71514", \ + "0.0852034, 0.662821, 1.06088, 1.71868, 2.82021, 4.6557, 7.71515", \ + "0.0983009, 0.662822, 1.06089, 1.71869, 2.82052, 4.65571, 7.71516", \ + "0.12038, 0.67228, 1.06395, 1.7187, 2.82053, 4.65582, 7.71517", \ + "0.158357, 0.72081, 1.08977, 1.72596, 2.82266, 4.65583, 7.71518", \ + "0.21613, 0.838065, 1.19772, 1.79787, 2.84718, 4.65607, 7.71519", \ + "0.31638, 1.04521, 1.43471, 2.03206, 3.02616, 4.7492, 7.73362" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0259272, 0.14508, 0.225168, 0.357216, 0.578357, 0.946153, 1.55878", \ + "0.0469673, 0.189008, 0.269318, 0.401347, 0.622326, 0.990255, 1.60286", \ + "0.0569998, 0.228249, 0.31184, 0.444657, 0.6655, 1.03332, 1.64574", \ + "0.0696569, 0.289072, 0.386688, 0.5288, 0.752319, 1.12, 1.73208", \ + "0.081658, 0.375978, 0.497787, 0.66742, 0.913465, 1.29089, 1.90367", \ + "0.0899999, 0.494144, 0.655421, 0.87316, 1.17361, 1.60181, 2.24466", \ + "0.0900009, 0.636465, 0.863394, 1.15574, 1.55095, 2.08443, 2.83602" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0206043, 0.176461, 0.283037, 0.458955, 0.753846, 1.24542, 2.06465", \ + "0.0404776, 0.181796, 0.284495, 0.459726, 0.753847, 1.24543, 2.06625", \ + "0.0560181, 0.198839, 0.295483, 0.463162, 0.754199, 1.24544, 2.06626", \ + "0.0821351, 0.23977, 0.332641, 0.489654, 0.766078, 1.24893, 2.06627", \ + "0.12378, 0.312476, 0.411909, 0.567507, 0.826651, 1.27951, 2.07402", \ + "0.192608, 0.438835, 0.553398, 0.72296, 0.986255, 1.41543, 2.15672", \ + "0.308349, 0.647394, 0.790797, 0.99456, 1.28508, 1.73689, 2.45429" \ + ); + } + } + timing () { + related_pin : "C1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.070431, 0.491662, 0.774496, 1.24232, 2.02544, 3.33041, 5.50541", \ + "0.0957745, 0.518319, 0.801916, 1.27024, 2.05363, 3.35883, 5.53396", \ + "0.116537, 0.547254, 0.830997, 1.29962, 2.08274, 3.38969, 5.56347", \ + "0.147604, 0.606728, 0.889412, 1.35777, 2.14212, 3.44572, 5.62508", \ + "0.192506, 0.721766, 1.01081, 1.47685, 2.25886, 3.56168, 5.73688", \ + "0.262966, 0.901291, 1.22359, 1.71133, 2.49429, 3.79368, 5.96439", \ + "0.365809, 1.15769, 1.55192, 2.11212, 2.95351, 4.27038, 6.43503" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0769335, 0.66282, 1.06087, 1.71867, 2.8202, 4.65569, 7.71514", \ + "0.0852034, 0.662821, 1.06088, 1.71868, 2.82021, 4.6557, 7.71515", \ + "0.0983009, 0.662822, 1.06089, 1.71869, 2.82052, 4.65571, 7.71516", \ + "0.12038, 0.67228, 1.06395, 1.7187, 2.82053, 4.65582, 7.71517", \ + "0.158357, 0.72081, 1.08977, 1.72596, 2.82266, 4.65583, 7.71518", \ + "0.21613, 0.838065, 1.19772, 1.79787, 2.84718, 4.65607, 7.71519", \ + "0.31638, 1.04521, 1.43471, 2.03206, 3.02616, 4.7492, 7.73362" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0259272, 0.14508, 0.225168, 0.357216, 0.578357, 0.946153, 1.55878", \ + "0.0469673, 0.189008, 0.269318, 0.401347, 0.622326, 0.990255, 1.60286", \ + "0.0569998, 0.228249, 0.31184, 0.444657, 0.6655, 1.03332, 1.64574", \ + "0.0696569, 0.289072, 0.386688, 0.5288, 0.752319, 1.12, 1.73208", \ + "0.081658, 0.375978, 0.497787, 0.66742, 0.913465, 1.29089, 1.90367", \ + "0.0899999, 0.494144, 0.655421, 0.87316, 1.17361, 1.60181, 2.24466", \ + "0.0900009, 0.636465, 0.863394, 1.15574, 1.55095, 2.08443, 2.83602" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0206043, 0.176461, 0.283037, 0.458955, 0.753846, 1.24542, 2.06465", \ + "0.0404776, 0.181796, 0.284495, 0.459726, 0.753847, 1.24543, 2.06625", \ + "0.0560181, 0.198839, 0.295483, 0.463162, 0.754199, 1.24544, 2.06626", \ + "0.0821351, 0.23977, 0.332641, 0.489654, 0.766078, 1.24893, 2.06627", \ + "0.12378, 0.312476, 0.411909, 0.567507, 0.826651, 1.27951, 2.07402", \ + "0.192608, 0.438835, 0.553398, 0.72296, 0.986255, 1.41543, 2.15672", \ + "0.308349, 0.647394, 0.790797, 0.99456, 1.28508, 1.73689, 2.45429" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0102208, 0.0103385, 0.0102353, 0.0100686, 0.00975684, 0.00977828, 0.00974341", \ + "0.00982749, 0.0102005, 0.0101561, 0.0100477, 0.00977768, 0.00983614, 0.00981276", \ + "0.00972245, 0.0100762, 0.0100681, 0.00995508, 0.00967855, 0.00926924, 0.00969317", \ + "0.00974913, 0.00991592, 0.0100065, 0.00989288, 0.0097046, 0.00923372, 0.00976997", \ + "0.010406, 0.0100991, 0.00994193, 0.0102038, 0.0096436, 0.00919358, 0.00962181", \ + "0.0134104, 0.0112642, 0.0108397, 0.0108171, 0.00991714, 0.00968164, 0.0100214", \ + "0.0215451, 0.0159146, 0.0147488, 0.0133176, 0.012429, 0.0110581, 0.0128455" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00877989, 0.00867006, 0.00857327, 0.00840959, 0.0081357, 0.00760696, 0.00693561", \ + "0.00851805, 0.00871183, 0.00838471, 0.00823365, 0.00795707, 0.00749055, 0.00669124", \ + "0.00860918, 0.00858597, 0.00839768, 0.00828513, 0.00792412, 0.00740262, 0.00689951", \ + "0.00911195, 0.00858938, 0.00859801, 0.00820903, 0.00799256, 0.00742487, 0.00687503", \ + "0.0106025, 0.00933404, 0.00896475, 0.00866093, 0.00842108, 0.00915689, 0.00679742", \ + "0.014321, 0.011319, 0.010668, 0.00982401, 0.00895244, 0.00890436, 0.00795124", \ + "0.0226272, 0.0168174, 0.0152144, 0.0136083, 0.0121327, 0.010073, 0.00978011" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(!B1 * B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00976415, 0.00995303, 0.00985223, 0.00968712, 0.00937656, 0.00940051, 0.00923853", \ + "0.00937268, 0.00980799, 0.00977241, 0.00962029, 0.00937382, 0.00941833, 0.00938043", \ + "0.00929357, 0.00972102, 0.00969158, 0.00959704, 0.00932393, 0.00890539, 0.0093903", \ + "0.00936006, 0.00954846, 0.00955551, 0.00953236, 0.00929395, 0.00884701, 0.00943458", \ + "0.0101183, 0.00971115, 0.00958191, 0.00980872, 0.00945755, 0.00917301, 0.00934", \ + "0.0133383, 0.0109001, 0.0104506, 0.0102931, 0.00952997, 0.00930155, 0.00961058", \ + "0.0217315, 0.0156567, 0.0144078, 0.0130209, 0.0119833, 0.0106934, 0.0113728" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00676518, 0.00668057, 0.00657707, 0.00644396, 0.00620635, 0.00567048, 0.00493467", \ + "0.00651903, 0.00648534, 0.00641296, 0.00626353, 0.00598126, 0.00560939, 0.00480112", \ + "0.00659936, 0.00656841, 0.00644211, 0.0062394, 0.00601397, 0.00556837, 0.0047901", \ + "0.00711041, 0.00657903, 0.00660538, 0.00629348, 0.00612892, 0.00556397, 0.00495082", \ + "0.00860898, 0.00731015, 0.00689645, 0.00667554, 0.00636408, 0.00644511, 0.00474451", \ + "0.0123374, 0.0093328, 0.00866041, 0.00783906, 0.00695554, 0.00668254, 0.00566892", \ + "0.0206527, 0.0148086, 0.0131634, 0.0116728, 0.0102243, 0.00797316, 0.00779096" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(!B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120121, 0.0122021, 0.0121017, 0.0119206, 0.011579, 0.0116689, 0.0115972", \ + "0.011619, 0.0120863, 0.0120107, 0.0118744, 0.0116381, 0.0116898, 0.0116721", \ + "0.0115456, 0.011928, 0.012009, 0.0118357, 0.0116106, 0.0117452, 0.011534", \ + "0.0116163, 0.0117778, 0.0120651, 0.0119055, 0.0117627, 0.0116488, 0.011679", \ + "0.0123757, 0.011912, 0.0119256, 0.0117085, 0.0115249, 0.0115264, 0.0113414", \ + "0.0155926, 0.0132283, 0.0127347, 0.0124449, 0.0117949, 0.0118952, 0.0128792", \ + "0.0240266, 0.0179625, 0.0166685, 0.0153033, 0.0140874, 0.0140669, 0.012671" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00564623, 0.00554711, 0.00544207, 0.00532991, 0.00506178, 0.00463849, 0.00396895", \ + "0.00537704, 0.0054034, 0.00527467, 0.00514544, 0.00482634, 0.00449909, 0.00371537", \ + "0.0054418, 0.00549271, 0.00526641, 0.00510939, 0.00485004, 0.00445128, 0.00367113", \ + "0.00596923, 0.0054603, 0.00545157, 0.00516639, 0.00568833, 0.0044466, 0.00383861", \ + "0.00747054, 0.00620552, 0.00584835, 0.00559296, 0.00533001, 0.00519161, 0.00371977", \ + "0.0112138, 0.00826397, 0.00757166, 0.00680503, 0.0059085, 0.00578265, 0.00490481", \ + "0.0195984, 0.0139263, 0.0122505, 0.0107504, 0.00925441, 0.00716803, 0.00680271" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0102208, 0.0103385, 0.0102353, 0.0100686, 0.00975684, 0.00977828, 0.00974341", \ + "0.00982749, 0.0102005, 0.0101561, 0.0100477, 0.00977768, 0.00983614, 0.00981276", \ + "0.00972245, 0.0100762, 0.0100681, 0.00995508, 0.00967855, 0.00926924, 0.00969317", \ + "0.00974913, 0.00991592, 0.0100065, 0.00989288, 0.0097046, 0.00923372, 0.00976997", \ + "0.010406, 0.0100991, 0.00994193, 0.0102038, 0.0096436, 0.00919358, 0.00962181", \ + "0.0134104, 0.0112642, 0.0108397, 0.0108171, 0.00991714, 0.00968164, 0.0100214", \ + "0.0215451, 0.0159146, 0.0147488, 0.0133176, 0.012429, 0.0110581, 0.0128455" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00676518, 0.00668057, 0.00657707, 0.00644396, 0.00620635, 0.00567048, 0.00493467", \ + "0.00651903, 0.00648534, 0.00641296, 0.00626353, 0.00598126, 0.00560939, 0.00480112", \ + "0.00659936, 0.00656841, 0.00644211, 0.0062394, 0.00601397, 0.00556837, 0.0047901", \ + "0.00711041, 0.00657903, 0.00660538, 0.00629348, 0.00612892, 0.00556397, 0.00495082", \ + "0.00860898, 0.00731015, 0.00689645, 0.00667554, 0.00636408, 0.00644511, 0.00474451", \ + "0.0123374, 0.0093328, 0.00866041, 0.00783906, 0.00695554, 0.00668254, 0.00566892", \ + "0.0206527, 0.0148086, 0.0131634, 0.0116728, 0.0102243, 0.00797316, 0.00779096" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0104396, 0.0103426, 0.0102517, 0.0100648, 0.00975863, 0.00981333, 0.00961144", \ + "0.0102286, 0.010276, 0.0102071, 0.0100432, 0.00977117, 0.0092808, 0.00978645", \ + "0.0101419, 0.0102309, 0.010176, 0.0100149, 0.00973607, 0.00931399, 0.00980069", \ + "0.0101822, 0.0101803, 0.0101351, 0.00999857, 0.00980914, 0.00924671, 0.0096849", \ + "0.0107757, 0.010415, 0.0101894, 0.0104152, 0.00974081, 0.00925994, 0.00983852", \ + "0.0136012, 0.0116645, 0.0111694, 0.0110454, 0.0100914, 0.0095903, 0.0101648", \ + "0.0214381, 0.0164731, 0.0152206, 0.0138061, 0.0126777, 0.0118228, 0.0110086" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0107654, 0.010627, 0.010531, 0.0103636, 0.0100711, 0.00965302, 0.00889406", \ + "0.0105051, 0.0106712, 0.0103363, 0.010186, 0.009909, 0.00944064, 0.00875038", \ + "0.0105087, 0.0106059, 0.0104237, 0.010177, 0.00989501, 0.00939534, 0.00868115", \ + "0.0107432, 0.0104772, 0.010579, 0.0101878, 0.0101413, 0.00939079, 0.0086743", \ + "0.0118671, 0.0110469, 0.0107672, 0.0105533, 0.0101693, 0.010782, 0.00892726", \ + "0.0151436, 0.01262, 0.0121444, 0.0115649, 0.010738, 0.0107276, 0.00955268", \ + "0.022892, 0.0175571, 0.0160868, 0.0147637, 0.0135905, 0.0116149, 0.0113642" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(!B1 * B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.010028, 0.00997028, 0.00985989, 0.00968983, 0.00937631, 0.00943743, 0.00920672", \ + "0.00980983, 0.00991251, 0.00981968, 0.00967197, 0.00935975, 0.00937462, 0.00921782", \ + "0.00974208, 0.00984668, 0.0097824, 0.00963137, 0.00935972, 0.00947236, 0.00934373", \ + "0.00979142, 0.00977993, 0.00979331, 0.00962654, 0.00939882, 0.00938764, 0.00939022", \ + "0.0104414, 0.0100377, 0.00981604, 0.00992386, 0.00938783, 0.00943578, 0.00931891", \ + "0.0134646, 0.0113314, 0.0108007, 0.0103747, 0.00977995, 0.00923655, 0.00989416", \ + "0.0214967, 0.0161723, 0.0148695, 0.0134279, 0.0124279, 0.0109369, 0.0110784" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0087325, 0.00864225, 0.00852416, 0.00839435, 0.00811988, 0.00770406, 0.00713215", \ + "0.00850666, 0.00846255, 0.00835979, 0.00818605, 0.00797997, 0.00755743, 0.00691701", \ + "0.00848856, 0.00856396, 0.00838308, 0.00820956, 0.0079785, 0.00744484, 0.00684311", \ + "0.00873708, 0.00848574, 0.00855596, 0.00821372, 0.00809633, 0.00752269, 0.00683436", \ + "0.00985968, 0.00902954, 0.00875341, 0.00854238, 0.00817559, 0.00821496, 0.0069674", \ + "0.0131452, 0.0106378, 0.010163, 0.00954949, 0.00878203, 0.00870168, 0.00734969", \ + "0.0209196, 0.0155599, 0.0140889, 0.0129151, 0.0116846, 0.00967853, 0.00926054" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(!B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0122713, 0.0122113, 0.012078, 0.011919, 0.0115862, 0.0116207, 0.0113653", \ + "0.0120543, 0.0121401, 0.0120808, 0.0119136, 0.0116388, 0.0116712, 0.0116964", \ + "0.0119918, 0.0120743, 0.0120551, 0.0118446, 0.0116137, 0.0117808, 0.0117076", \ + "0.012043, 0.0120243, 0.0121153, 0.0118173, 0.0115341, 0.0116551, 0.0115768", \ + "0.0126999, 0.0122213, 0.0122903, 0.0121865, 0.0117561, 0.0115872, 0.0114924", \ + "0.0157097, 0.0135552, 0.0130696, 0.0129474, 0.0120173, 0.0125421, 0.0115673", \ + "0.0237975, 0.0184737, 0.0171808, 0.0157197, 0.0144281, 0.0136865, 0.0129645" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0076201, 0.00751216, 0.00741265, 0.00727752, 0.00698878, 0.00659901, 0.00602523", \ + "0.0073757, 0.00739044, 0.00723306, 0.00703703, 0.00680187, 0.00624656, 0.00564769", \ + "0.00734176, 0.00746986, 0.00730415, 0.00711513, 0.0068619, 0.00640627, 0.00573582", \ + "0.00758269, 0.00734682, 0.00742505, 0.007087, 0.00705035, 0.00642629, 0.0057255", \ + "0.00869829, 0.00788434, 0.00764902, 0.00737125, 0.00740627, 0.00778247, 0.00570245", \ + "0.0120083, 0.00953908, 0.00912289, 0.00845533, 0.00774307, 0.00765034, 0.00626353", \ + "0.0198201, 0.0145877, 0.0132165, 0.0118652, 0.0106801, 0.00861531, 0.00832202" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0104396, 0.0103426, 0.0102517, 0.0100648, 0.00975863, 0.00981333, 0.00961144", \ + "0.0102286, 0.010276, 0.0102071, 0.0100432, 0.00977117, 0.0092808, 0.00978645", \ + "0.0101419, 0.0102309, 0.010176, 0.0100149, 0.00973607, 0.00931399, 0.00980069", \ + "0.0101822, 0.0101803, 0.0101351, 0.00999857, 0.00980914, 0.00924671, 0.0096849", \ + "0.0107757, 0.010415, 0.0101894, 0.0104152, 0.00974081, 0.00925994, 0.00983852", \ + "0.0136012, 0.0116645, 0.0111694, 0.0110454, 0.0100914, 0.0095903, 0.0101648", \ + "0.0214381, 0.0164731, 0.0152206, 0.0138061, 0.0126777, 0.0118228, 0.0110086" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0087325, 0.00864225, 0.00852416, 0.00839435, 0.00811988, 0.00770406, 0.00713215", \ + "0.00850666, 0.00846255, 0.00835979, 0.00818605, 0.00797997, 0.00755743, 0.00691701", \ + "0.00848856, 0.00856396, 0.00838308, 0.00820956, 0.0079785, 0.00744484, 0.00684311", \ + "0.00873708, 0.00848574, 0.00855596, 0.00821372, 0.00809633, 0.00752269, 0.00683436", \ + "0.00985968, 0.00902954, 0.00875341, 0.00854238, 0.00817559, 0.00821496, 0.0069674", \ + "0.0131452, 0.0106378, 0.010163, 0.00954949, 0.00878203, 0.00870168, 0.00734969", \ + "0.0209196, 0.0155599, 0.0140889, 0.0129151, 0.0116846, 0.00967853, 0.00926054" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00990891, 0.0100243, 0.00992429, 0.0097551, 0.00944723, 0.00895257, 0.00930096", \ + "0.00951481, 0.00988847, 0.00984895, 0.00972383, 0.0094572, 0.00949986, 0.00920439", \ + "0.00945745, 0.00979271, 0.00979415, 0.00967721, 0.00942881, 0.00894698, 0.00952769", \ + "0.00966558, 0.00968551, 0.00975188, 0.00960188, 0.00947698, 0.00901455, 0.0095142", \ + "0.0107335, 0.0100089, 0.00979836, 0.00966481, 0.00940259, 0.00942252, 0.00951982", \ + "0.0141494, 0.0114469, 0.0109414, 0.01065, 0.00989401, 0.010233, 0.0094872", \ + "0.0219075, 0.0162405, 0.0149576, 0.0134266, 0.0124165, 0.0110475, 0.0108012" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00461136, 0.00455906, 0.00446219, 0.00429952, 0.00403082, 0.00362721, 0.00296321", \ + "0.00434254, 0.00454443, 0.0044279, 0.00427151, 0.00402439, 0.00349269, 0.00280109", \ + "0.00440858, 0.00461257, 0.00441188, 0.00424367, 0.00401381, 0.0035322, 0.00285289", \ + "0.00496681, 0.00456106, 0.00459016, 0.00430262, 0.00405032, 0.00353011, 0.00284764", \ + "0.0064648, 0.00525178, 0.00492081, 0.00465994, 0.00448658, 0.00448847, 0.00285876", \ + "0.0100709, 0.00717143, 0.00657274, 0.00583384, 0.00492278, 0.00513686, 0.00373833", \ + "0.0179701, 0.0123897, 0.0108213, 0.00929913, 0.00812268, 0.00604841, 0.00578132" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00944031, 0.00964645, 0.00955398, 0.00935794, 0.00904085, 0.00912768, 0.00910198", \ + "0.00906254, 0.0095076, 0.00946643, 0.009336, 0.00906302, 0.00858738, 0.0088585", \ + "0.00904254, 0.00939564, 0.00940643, 0.00926839, 0.00903696, 0.00912942, 0.00898809", \ + "0.00929534, 0.0093007, 0.00934841, 0.00926976, 0.00906618, 0.00856763, 0.00895865", \ + "0.0104936, 0.00963506, 0.00942691, 0.00968494, 0.00930025, 0.00911059, 0.00914832", \ + "0.0141292, 0.0111177, 0.0105939, 0.0103316, 0.00941714, 0.00924135, 0.00911221", \ + "0.0221066, 0.0159419, 0.0146434, 0.0130944, 0.0120292, 0.010847, 0.0106562" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00259922, 0.00256336, 0.002483, 0.00234317, 0.00201306, 0.00152738, 0.00084798", \ + "0.00233792, 0.00254038, 0.00248518, 0.002278, 0.00206514, 0.001516, 0.00088656", \ + "0.00239897, 0.00264199, 0.00243314, 0.002309, 0.00205354, 0.00152911, 0.00087738", \ + "0.00295665, 0.0025705, 0.00257854, 0.00229807, 0.00222515, 0.00161814, 0.00072677", \ + "0.00446817, 0.00328756, 0.00288338, 0.00270181, 0.0025323, 0.00280538, 0.0009256", \ + "0.0080827, 0.00514461, 0.00455554, 0.00388633, 0.0029165, 0.00284279, 0.00193959", \ + "0.0160267, 0.010421, 0.00887643, 0.00730522, 0.00616707, 0.00404141, 0.0038383" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00944631, 0.00965884, 0.00954622, 0.00938518, 0.00904629, 0.00901714, 0.00901331", \ + "0.00905967, 0.00951267, 0.00946782, 0.00930543, 0.00912984, 0.00904508, 0.00882549", \ + "0.00906864, 0.00941073, 0.0094033, 0.009305, 0.00907518, 0.00924343, 0.00905359", \ + "0.00936549, 0.00933347, 0.00956966, 0.00927141, 0.00900458, 0.00911578, 0.00890522", \ + "0.010694, 0.00965815, 0.00957591, 0.00963019, 0.00905922, 0.00921807, 0.00880569", \ + "0.0145879, 0.0114262, 0.0107138, 0.0105537, 0.00954622, 0.00936663, 0.00906387", \ + "0.0230277, 0.0165672, 0.0152267, 0.0135332, 0.0121638, 0.011775, 0.0105253" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00251793, 0.00248104, 0.00239902, 0.00222458, 0.002006, 0.00144817, 0.00091198", \ + "0.00222944, 0.00241783, 0.00235748, 0.0021483, 0.00193699, 0.00142169, 0.00068394", \ + "0.00232696, 0.00250352, 0.00244536, 0.00216698, 0.00204316, 0.00137999, 0.00073639", \ + "0.00296828, 0.00246218, 0.00251286, 0.00215656, 0.00185529, 0.00149413, 0.00070102", \ + "0.00459693, 0.00325068, 0.00286732, 0.00259682, 0.00232362, 0.00269431, 0.00084981", \ + "0.00844031, 0.00522797, 0.00460461, 0.00378146, 0.00281602, 0.00273494, 0.00170852", \ + "0.0167914, 0.010848, 0.00923613, 0.00758447, 0.00629091, 0.00405531, 0.00375829" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00944631, 0.00965884, 0.00954622, 0.00938518, 0.00904629, 0.00901714, 0.00901331", \ + "0.00905967, 0.00951267, 0.00946782, 0.00930543, 0.00912984, 0.00904508, 0.00882549", \ + "0.00906864, 0.00941073, 0.0094033, 0.009305, 0.00907518, 0.00924343, 0.00905359", \ + "0.00936549, 0.00933347, 0.00956966, 0.00927141, 0.00900458, 0.00911578, 0.00890522", \ + "0.010694, 0.00965815, 0.00957591, 0.00963019, 0.00905922, 0.00921807, 0.00880569", \ + "0.0145879, 0.0114262, 0.0107138, 0.0105537, 0.00954622, 0.00936663, 0.00906387", \ + "0.0230277, 0.0165672, 0.0152267, 0.0135332, 0.0121638, 0.011775, 0.0105253" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00259922, 0.00256336, 0.002483, 0.00234317, 0.00201306, 0.00152738, 0.00084798", \ + "0.00233792, 0.00254038, 0.00248518, 0.002278, 0.00206514, 0.001516, 0.00088656", \ + "0.00239897, 0.00264199, 0.00243314, 0.002309, 0.00205354, 0.00152911, 0.00087738", \ + "0.00295665, 0.0025705, 0.00257854, 0.00229807, 0.00222515, 0.00161814, 0.00072677", \ + "0.00446817, 0.00328756, 0.00288338, 0.00270181, 0.0025323, 0.00280538, 0.0009256", \ + "0.0080827, 0.00514461, 0.00455554, 0.00388633, 0.0029165, 0.00284279, 0.00193959", \ + "0.0160267, 0.010421, 0.00887643, 0.00730522, 0.00616707, 0.00404141, 0.0038383" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0101287, 0.0100573, 0.00995401, 0.00976716, 0.00946048, 0.00951432, 0.00949161", \ + "0.00990883, 0.00997878, 0.00988659, 0.00972801, 0.00941711, 0.00955945, 0.00947279", \ + "0.0098775, 0.00993455, 0.00985447, 0.00971591, 0.00943976, 0.00896442, 0.00933016", \ + "0.0100578, 0.00992057, 0.00990707, 0.00970336, 0.00949477, 0.00892795, 0.00952662", \ + "0.0110473, 0.0103129, 0.0100309, 0.00989099, 0.00950584, 0.00892113, 0.00939107", \ + "0.0143565, 0.0118406, 0.0112734, 0.0107972, 0.0101459, 0.0103577, 0.00949287", \ + "0.0218491, 0.0167292, 0.0152988, 0.0137651, 0.0127534, 0.0109703, 0.0107872" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00662185, 0.00651302, 0.00640239, 0.00623385, 0.00593093, 0.00554405, 0.00487181", \ + "0.0062989, 0.00652552, 0.00638869, 0.00623994, 0.00598221, 0.00555483, 0.00496721", \ + "0.00624713, 0.00660905, 0.00636351, 0.00621058, 0.0059327, 0.00557381, 0.00484517", \ + "0.0065271, 0.00645554, 0.00657486, 0.00627842, 0.00643551, 0.00547484, 0.00466014", \ + "0.00771711, 0.00696929, 0.00675034, 0.00655391, 0.00630246, 0.00718862, 0.00487006", \ + "0.0109314, 0.00845246, 0.00802276, 0.00752761, 0.00680263, 0.00704386, 0.00552583", \ + "0.0182686, 0.0131239, 0.0117683, 0.0105078, 0.00956119, 0.00754739, 0.00725531" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00972724, 0.00966829, 0.00956505, 0.00939031, 0.00907806, 0.00853006, 0.00912233", \ + "0.00949653, 0.00958001, 0.00952011, 0.00935074, 0.00902318, 0.00856942, 0.00895922", \ + "0.0094732, 0.00953814, 0.00947933, 0.009349, 0.00904966, 0.00912538, 0.00926048", \ + "0.00968835, 0.00950996, 0.00954019, 0.00933445, 0.00906448, 0.00858721, 0.00907584", \ + "0.0107916, 0.00993399, 0.00965925, 0.0096364, 0.0091489, 0.00865357, 0.00915754", \ + "0.0142678, 0.011518, 0.0109311, 0.0105348, 0.00967946, 0.0094163, 0.00938412", \ + "0.0219, 0.0164426, 0.015142, 0.0134285, 0.0123213, 0.0105739, 0.0103276" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00460853, 0.00452064, 0.00443223, 0.00430172, 0.00402926, 0.00346301, 0.00282772", \ + "0.00428692, 0.00450869, 0.00439547, 0.00426426, 0.00402444, 0.00350433, 0.00281899", \ + "0.00422942, 0.00459149, 0.00440227, 0.00426587, 0.00402932, 0.00347577, 0.00288421", \ + "0.00452193, 0.00446682, 0.00455938, 0.0044579, 0.00464259, 0.00362029, 0.00289098", \ + "0.00571139, 0.00499948, 0.00472546, 0.00456248, 0.0042912, 0.00531887, 0.00289773", \ + "0.00895216, 0.00647577, 0.00603691, 0.00551862, 0.00478059, 0.00483689, 0.00343845", \ + "0.0163184, 0.0111391, 0.00976569, 0.00862589, 0.00759574, 0.00564417, 0.00532311" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00975602, 0.00967341, 0.00957281, 0.00940417, 0.00903416, 0.00910512, 0.00920661", \ + "0.00949612, 0.00963379, 0.00951186, 0.00936512, 0.00914805, 0.00906614, 0.00884756", \ + "0.00950284, 0.00955111, 0.00948094, 0.0093016, 0.00910114, 0.00912548, 0.00907516", \ + "0.00975881, 0.0095349, 0.00977059, 0.00932687, 0.00928205, 0.00908128, 0.00912783", \ + "0.0109583, 0.00996704, 0.00997291, 0.00961673, 0.00926016, 0.00864903, 0.00900047", \ + "0.014679, 0.0118303, 0.0110598, 0.0107629, 0.00978641, 0.0100474, 0.0101336", \ + "0.0227776, 0.0171523, 0.0155664, 0.0139722, 0.0124795, 0.0112517, 0.010748" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00451963, 0.00444172, 0.00434764, 0.00420526, 0.00391527, 0.00338561, 0.00274868", \ + "0.00419475, 0.00437294, 0.00431059, 0.00415645, 0.00389402, 0.00337251, 0.00291707", \ + "0.0041665, 0.0044555, 0.00434835, 0.00413057, 0.00399136, 0.00334195, 0.00279833", \ + "0.00449561, 0.0043444, 0.00443702, 0.00411628, 0.00391596, 0.00347424, 0.00278316", \ + "0.00577723, 0.00490298, 0.00465288, 0.00439709, 0.00415596, 0.00497351, 0.00293248", \ + "0.00923406, 0.00654509, 0.00603977, 0.00546996, 0.00471136, 0.00493234, 0.00337631", \ + "0.0170354, 0.0115496, 0.0100765, 0.00879879, 0.00756733, 0.005738, 0.00525083" \ + ); + } + } + internal_power () { + related_pin : "B2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00975602, 0.00967341, 0.00957281, 0.00940417, 0.00903416, 0.00910512, 0.00920661", \ + "0.00949612, 0.00963379, 0.00951186, 0.00936512, 0.00914805, 0.00906614, 0.00884756", \ + "0.00950284, 0.00955111, 0.00948094, 0.0093016, 0.00910114, 0.00912548, 0.00907516", \ + "0.00975881, 0.0095349, 0.00977059, 0.00932687, 0.00928205, 0.00908128, 0.00912783", \ + "0.0109583, 0.00996704, 0.00997291, 0.00961673, 0.00926016, 0.00864903, 0.00900047", \ + "0.014679, 0.0118303, 0.0110598, 0.0107629, 0.00978641, 0.0100474, 0.0101336", \ + "0.0227776, 0.0171523, 0.0155664, 0.0139722, 0.0124795, 0.0112517, 0.010748" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00460853, 0.00452064, 0.00443223, 0.00430172, 0.00402926, 0.00346301, 0.00282772", \ + "0.00428692, 0.00450869, 0.00439547, 0.00426426, 0.00402444, 0.00350433, 0.00281899", \ + "0.00422942, 0.00459149, 0.00440227, 0.00426587, 0.00402932, 0.00347577, 0.00288421", \ + "0.00452193, 0.00446682, 0.00455938, 0.0044579, 0.00464259, 0.00362029, 0.00289098", \ + "0.00571139, 0.00499948, 0.00472546, 0.00456248, 0.0042912, 0.00531887, 0.00289773", \ + "0.00895216, 0.00647577, 0.00603691, 0.00551862, 0.00478059, 0.00483689, 0.00343845", \ + "0.0163184, 0.0111391, 0.00976569, 0.00862589, 0.00759574, 0.00564417, 0.00532311" \ + ); + } + } + internal_power () { + related_pin : "C1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00448966, 0.00475818, 0.0046697, 0.00452532, 0.00420435, 0.00425942, 0.00424203", \ + "0.00429088, 0.00453102, 0.00450041, 0.00440214, 0.00412834, 0.0042002, 0.00420077", \ + "0.0045563, 0.00445936, 0.00444926, 0.00430663, 0.00411532, 0.0036828, 0.00406408", \ + "0.00529843, 0.00457313, 0.00452496, 0.00434187, 0.00411926, 0.0036244, 0.00417685", \ + "0.00706835, 0.00542613, 0.00496894, 0.00469351, 0.00439831, 0.0042005, 0.00427165", \ + "0.0109871, 0.00764496, 0.00683854, 0.00595319, 0.00504487, 0.0042026, 0.00428433", \ + "0.0197398, 0.0138367, 0.0122454, 0.0101898, 0.00876811, 0.00710618, 0.0057237" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00405602, 0.00435264, 0.00429344, 0.00413138, 0.00392597, 0.00342524, 0.00257299", \ + "0.00397309, 0.00446218, 0.00450017, 0.00432274, 0.003981, 0.00351293, 0.00286817", \ + "0.00416703, 0.00437984, 0.00454651, 0.00428883, 0.00398002, 0.00359307, 0.00274404", \ + "0.00488849, 0.00460412, 0.00444713, 0.00445075, 0.0043599, 0.00373989, 0.00286349", \ + "0.00662164, 0.00526219, 0.00507136, 0.00471176, 0.0043497, 0.00433411, 0.0050252", \ + "0.010644, 0.00716229, 0.00658954, 0.00612835, 0.00518478, 0.00418801, 0.00438015", \ + "0.0191503, 0.0125583, 0.011104, 0.00959239, 0.00816881, 0.00724086, 0.00476927" \ + ); + } + } + internal_power () { + related_pin : "C1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00448966, 0.00475818, 0.0046697, 0.00452532, 0.00420435, 0.00425942, 0.00424203", \ + "0.00429088, 0.00453102, 0.00450041, 0.00440214, 0.00412834, 0.0042002, 0.00420077", \ + "0.0045563, 0.00445936, 0.00444926, 0.00430663, 0.00411532, 0.0036828, 0.00406408", \ + "0.00529843, 0.00457313, 0.00452496, 0.00434187, 0.00411926, 0.0036244, 0.00417685", \ + "0.00706835, 0.00542613, 0.00496894, 0.00469351, 0.00439831, 0.0042005, 0.00427165", \ + "0.0109871, 0.00764496, 0.00683854, 0.00595319, 0.00504487, 0.0042026, 0.00428433", \ + "0.0197398, 0.0138367, 0.0122454, 0.0101898, 0.00876811, 0.00710618, 0.0057237" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00405602, 0.00435264, 0.00429344, 0.00413138, 0.00392597, 0.00342524, 0.00257299", \ + "0.00397309, 0.00446218, 0.00450017, 0.00432274, 0.003981, 0.00351293, 0.00286817", \ + "0.00416703, 0.00437984, 0.00454651, 0.00428883, 0.00398002, 0.00359307, 0.00274404", \ + "0.00488849, 0.00460412, 0.00444713, 0.00445075, 0.0043599, 0.00373989, 0.00286349", \ + "0.00662164, 0.00526219, 0.00507136, 0.00471176, 0.0043497, 0.00433411, 0.0050252", \ + "0.010644, 0.00716229, 0.00658954, 0.00612835, 0.00518478, 0.00418801, 0.00438015", \ + "0.0191503, 0.0125583, 0.011104, 0.00959239, 0.00816881, 0.00724086, 0.00476927" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00288832; + rise_capacitance : 0.00285138; + rise_capacitance_range (0.00285138, 0.00285138); + fall_capacitance : 0.00292526; + fall_capacitance_range (0.00292526, 0.00292526); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-7.06719e-05, -7.20357e-05, -6.96208e-05, -7.02056e-05, -6.8571e-05, -6.80969e-05, -6.82081e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "7.06719e-05, 7.20357e-05, 6.96208e-05, 7.02056e-05, 6.8571e-05, 6.80969e-05, 6.82081e-05" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0029295; + rise_capacitance : 0.00296263; + rise_capacitance_range (0.00296263, 0.00296263); + fall_capacitance : 0.00289637; + fall_capacitance_range (0.00289637, 0.00289637); + internal_power () { + when : "(B1 * B2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-9.94231e-05, -9.44862e-05, -9.41784e-05, -9.35279e-05, -9.44422e-05, -9.05843e-05, -8.85878e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "9.94231e-05, 9.44862e-05, 9.41784e-05, 9.35279e-05, 9.44422e-05, 9.05843e-05, 8.85878e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-9.94231e-05, -9.44862e-05, -9.41784e-05, -9.35279e-05, -9.44422e-05, -9.05843e-05, -8.85878e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "9.94231e-05, 9.44862e-05, 9.41784e-05, 9.35279e-05, 9.44422e-05, 9.05843e-05, 8.85878e-05" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00269855; + rise_capacitance : 0.00265973; + rise_capacitance_range (0.00265973, 0.00265973); + fall_capacitance : 0.00273737; + fall_capacitance_range (0.00273737, 0.00273737); + internal_power () { + when : "C1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.9005e-05, -7.28253e-05, -6.91418e-05, -6.77634e-05, -5.53809e-05, -6.39106e-05, -6.82786e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.9005e-05, 7.28253e-05, 6.91418e-05, 6.77634e-05, 5.53809e-05, 6.39106e-05, 6.82786e-05" \ + ); + } + } + internal_power () { + when : "(A1 * A2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00182811, 0.00183512, 0.00184602, 0.00185793, 0.00185754, 0.00187399, 0.00186207" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00182811, -0.00183512, -0.00184602, -0.00185793, -0.00185754, -0.00187399, -0.00186207" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00182811, 0.00183512, 0.00184602, 0.00185793, 0.00185754, 0.00187399, 0.00186207" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00182811, -0.00183512, -0.00184602, -0.00185793, -0.00185754, -0.00187399, -0.00186207" \ + ); + } + } + } + pin (B2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0027803; + rise_capacitance : 0.00279187; + rise_capacitance_range (0.00279187, 0.00279187); + fall_capacitance : 0.00276874; + fall_capacitance_range (0.00276874, 0.00276874); + internal_power () { + when : "C1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.98066e-05, -4.64026e-05, -4.69973e-05, -4.463e-05, -4.29252e-05, -4.11712e-05, -4.54019e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.98066e-05, 4.64026e-05, 4.69973e-05, 4.463e-05, 4.29252e-05, 4.11712e-05, 4.54019e-05" \ + ); + } + } + internal_power () { + when : "(A1 * A2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00186108, 0.00185944, 0.00187052, 0.00187678, 0.00187588, 0.00189594, 0.00189941" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00186108, -0.00185944, -0.00187052, -0.00187678, -0.00187588, -0.00189594, -0.00189916" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00186108, 0.00185944, 0.00187052, 0.00187678, 0.00187588, 0.00189594, 0.00189941" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00186108, -0.00185944, -0.00187052, -0.00187678, -0.00187588, -0.00189594, -0.00189916" \ + ); + } + } + } + pin (C1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00248334; + rise_capacitance : 0.00239262; + rise_capacitance_range (0.00239262, 0.00239262); + fall_capacitance : 0.00257405; + fall_capacitance_range (0.00257405, 0.00257405); + internal_power () { + when : "(B1 * B2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000467969, 0.000468056, 0.000470117, 0.00047074, 0.000474617, 0.000476416, 0.000477315" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000828571, 0.000847073, 0.00085038, 0.000856393, 0.000860697, 0.000860757, 0.00086738" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000467969, 0.000468056, 0.000470117, 0.00047074, 0.000474617, 0.000476416, 0.000477315" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000828571, 0.000847073, 0.00085038, 0.000856393, 0.000860697, 0.000860757, 0.00086738" \ + ); + } + } + } + } + cell (sg13g2_a22oi_1) { + area : 10.8486; + cell_footprint : "a22oi"; + cell_leakage_power : 900.801; + leakage_power () { + value : 158.845; + when : "!A1*!A2*!B1*!B2"; + } + leakage_power () { + value : 270.5; + when : "!A1*!A2*!B1*B2"; + } + leakage_power () { + value : 310.257; + when : "!A1*!A2*B1*!B2"; + } + leakage_power () { + value : 1556.9; + when : "!A1*!A2*B1*B2"; + } + leakage_power () { + value : 310.356; + when : "!A1*A2*!B1*!B2"; + } + leakage_power () { + value : 422.018; + when : "!A1*A2*!B1*B2"; + } + leakage_power () { + value : 461.768; + when : "!A1*A2*B1*!B2"; + } + leakage_power () { + value : 1564.36; + when : "!A1*A2*B1*B2"; + } + leakage_power () { + value : 270.45; + when : "A1*!A2*!B1*!B2"; + } + leakage_power () { + value : 382.116; + when : "A1*!A2*!B1*B2"; + } + leakage_power () { + value : 421.877; + when : "A1*!A2*B1*!B2"; + } + leakage_power () { + value : 1564.26; + when : "A1*!A2*B1*B2"; + } + leakage_power () { + value : 1965.44; + when : "A1*A2*!B1*!B2"; + } + leakage_power () { + value : 1968.87; + when : "A1*A2*!B1*B2"; + } + leakage_power () { + value : 1968.87; + when : "A1*A2*B1*!B2"; + } + leakage_power () { + value : 815.933; + when : "A1*A2*B1*B2"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+(B1*B2))"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0481443, 0.15364, 0.225746, 0.344876, 0.544408, 0.876387, 1.42991", \ + "0.072702, 0.185542, 0.258044, 0.377555, 0.576985, 0.909266, 1.46358", \ + "0.0869527, 0.214415, 0.288792, 0.408562, 0.60828, 0.94074, 1.49446", \ + "0.102974, 0.261536, 0.34352, 0.468661, 0.669629, 1.00207, 1.55604", \ + "0.120896, 0.330363, 0.429805, 0.572692, 0.788018, 1.1259, 1.68001", \ + "0.147333, 0.420148, 0.54618, 0.724635, 0.976276, 1.3467, 1.91842", \ + "0.176038, 0.537771, 0.704303, 0.930064, 1.25147, 1.69869, 2.3417" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0325048, 0.177147, 0.278061, 0.444446, 0.72338, 1.18782, 1.96358", \ + "0.0426652, 0.178842, 0.278123, 0.44497, 0.723381, 1.18855, 1.96359", \ + "0.0559591, 0.188068, 0.283368, 0.446069, 0.723874, 1.18856, 1.9636", \ + "0.0811672, 0.215006, 0.305935, 0.459719, 0.729037, 1.18883, 1.96361", \ + "0.123887, 0.272494, 0.362895, 0.511123, 0.76482, 1.20523, 1.96648", \ + "0.196651, 0.366621, 0.467899, 0.624338, 0.874622, 1.29008, 2.0125", \ + "0.301208, 0.520978, 0.639753, 0.823271, 1.09545, 1.51958, 2.20645" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0508283, 0.150932, 0.218895, 0.331014, 0.518243, 0.829586, 1.34874", \ + "0.0790663, 0.18799, 0.256291, 0.36822, 0.555461, 0.867008, 1.38614", \ + "0.0973075, 0.221763, 0.292845, 0.405667, 0.592717, 0.904242, 1.4234", \ + "0.123092, 0.274316, 0.355664, 0.477024, 0.667434, 0.978942, 1.49777", \ + "0.157038, 0.349182, 0.448978, 0.591399, 0.802866, 1.12602, 1.64634", \ + "0.203129, 0.454823, 0.581284, 0.759996, 1.01444, 1.38464, 1.9374", \ + "0.265165, 0.592752, 0.761222, 0.994511, 1.31754, 1.77471, 2.4235" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0395109, 0.170184, 0.260682, 0.410137, 0.66028, 1.07704, 1.77124", \ + "0.0534068, 0.174985, 0.26219, 0.411525, 0.660281, 1.07705, 1.77125", \ + "0.0675897, 0.189943, 0.272922, 0.41532, 0.661005, 1.07706, 1.77126", \ + "0.0909866, 0.225241, 0.306996, 0.441282, 0.674926, 1.08075, 1.77127", \ + "0.127315, 0.288785, 0.375333, 0.511796, 0.735323, 1.11786, 1.78503", \ + "0.184932, 0.393402, 0.496214, 0.645588, 0.878475, 1.24971, 1.87717", \ + "0.277154, 0.559636, 0.692739, 0.87236, 1.13838, 1.53384, 2.15806" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0540557, 0.159053, 0.231181, 0.350319, 0.549684, 0.881842, 1.43542", \ + "0.0810782, 0.191549, 0.263796, 0.383165, 0.582411, 0.915222, 1.46859", \ + "0.0976896, 0.221035, 0.29487, 0.414381, 0.614173, 0.946117, 1.5006", \ + "0.118374, 0.269375, 0.350494, 0.475017, 0.675616, 1.00783, 1.56148", \ + "0.142664, 0.340731, 0.438898, 0.580148, 0.794617, 1.13212, 1.6859", \ + "0.179584, 0.43477, 0.558457, 0.73469, 0.984936, 1.35311, 1.92475", \ + "0.226878, 0.562048, 0.723663, 0.94516, 1.2638, 1.70905, 2.34961" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0388847, 0.183914, 0.284894, 0.451619, 0.730348, 1.19487, 1.97092", \ + "0.0478256, 0.185492, 0.285146, 0.45244, 0.730349, 1.1957, 1.97109", \ + "0.0608848, 0.194273, 0.290029, 0.452896, 0.732847, 1.19571, 1.9711", \ + "0.0860177, 0.220654, 0.312082, 0.466462, 0.735588, 1.19608, 1.97111", \ + "0.128294, 0.277927, 0.368327, 0.517303, 0.771337, 1.21215, 1.97378", \ + "0.195504, 0.371211, 0.473013, 0.629782, 0.880267, 1.29642, 2.01968", \ + "0.293485, 0.521395, 0.642447, 0.827891, 1.10336, 1.52518, 2.21279" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0550478, 0.154954, 0.222934, 0.334844, 0.522137, 0.833496, 1.35271", \ + "0.0803609, 0.186552, 0.254874, 0.366959, 0.554333, 0.865914, 1.38505", \ + "0.0972903, 0.214571, 0.285067, 0.39798, 0.585351, 0.897255, 1.4171", \ + "0.121334, 0.260493, 0.337892, 0.457192, 0.647531, 0.959835, 1.47901", \ + "0.152453, 0.328605, 0.420386, 0.554597, 0.760016, 1.08124, 1.60293", \ + "0.195396, 0.427005, 0.543341, 0.705929, 0.944373, 1.29808, 1.84342", \ + "0.252647, 0.557007, 0.712341, 0.925617, 1.21925, 1.64091, 2.25402" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0396353, 0.17013, 0.260428, 0.410136, 0.660434, 1.07689, 1.76984", \ + "0.0481616, 0.173177, 0.261874, 0.411442, 0.660435, 1.0769, 1.77076", \ + "0.0593375, 0.182826, 0.268562, 0.41312, 0.661449, 1.07691, 1.7714", \ + "0.0799887, 0.207535, 0.291313, 0.430327, 0.669575, 1.07857, 1.77141", \ + "0.114677, 0.257074, 0.341963, 0.478994, 0.709942, 1.10339, 1.7801", \ + "0.168803, 0.347262, 0.440179, 0.580584, 0.811179, 1.19385, 1.84059", \ + "0.252969, 0.492507, 0.608266, 0.769042, 1.01457, 1.40046, 2.03551" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0388248, 0.139959, 0.208836, 0.322756, 0.513683, 0.831238, 1.36074", \ + "0.064284, 0.175282, 0.244334, 0.358438, 0.549967, 0.866913, 1.39685", \ + "0.0800509, 0.209246, 0.280843, 0.395193, 0.5858, 0.905138, 1.43299", \ + "0.101428, 0.263543, 0.345114, 0.466679, 0.658555, 0.975835, 1.50481", \ + "0.129385, 0.340988, 0.443474, 0.587045, 0.797858, 1.12222, 1.65058", \ + "0.170468, 0.442754, 0.573667, 0.758935, 1.01488, 1.38151, 1.9338", \ + "0.220121, 0.577054, 0.747303, 0.986298, 1.32331, 1.78204, 2.42555" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.032882, 0.175855, 0.275009, 0.439381, 0.714488, 1.17293, 1.93685", \ + "0.0480636, 0.179002, 0.275922, 0.439864, 0.715186, 1.17294, 1.93686", \ + "0.0626766, 0.193077, 0.284308, 0.442366, 0.715891, 1.17419, 1.93687", \ + "0.0860203, 0.228281, 0.315799, 0.463026, 0.723066, 1.17483, 1.93688", \ + "0.120074, 0.296428, 0.387188, 0.532066, 0.775206, 1.19918, 1.94145", \ + "0.177163, 0.398188, 0.510242, 0.670203, 0.916183, 1.31511, 2.01012", \ + "0.266623, 0.555981, 0.697454, 0.898419, 1.18565, 1.60569, 2.26803" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.038931, 0.137591, 0.205263, 0.316797, 0.503961, 0.81511, 1.33413", \ + "0.0586749, 0.168873, 0.237176, 0.349173, 0.536107, 0.848189, 1.36688", \ + "0.0699304, 0.195498, 0.266813, 0.379964, 0.567292, 0.879092, 1.39882", \ + "0.0845332, 0.238284, 0.317626, 0.438322, 0.629193, 0.941522, 1.46081", \ + "0.102474, 0.301447, 0.396131, 0.533277, 0.740546, 1.06246, 1.58446", \ + "0.126398, 0.38929, 0.51192, 0.67945, 0.921453, 1.27752, 1.82434", \ + "0.153811, 0.502891, 0.667592, 0.889093, 1.18999, 1.61632, 2.2323" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0234664, 0.152564, 0.242971, 0.391724, 0.641351, 1.0573, 1.75048", \ + "0.0339995, 0.156373, 0.244355, 0.392072, 0.641352, 1.05823, 1.75051", \ + "0.0451061, 0.167355, 0.251817, 0.395658, 0.641996, 1.05824, 1.75087", \ + "0.0645528, 0.192527, 0.275724, 0.413766, 0.652274, 1.06082, 1.75088", \ + "0.0976389, 0.242789, 0.327189, 0.463696, 0.692917, 1.08525, 1.76177", \ + "0.15006, 0.334098, 0.424975, 0.567083, 0.795391, 1.17712, 1.8232", \ + "0.235321, 0.478521, 0.59579, 0.755976, 0.999841, 1.384, 2.01934" \ + ); + } + } + timing () { + related_pin : "B2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0326785, 0.134196, 0.203215, 0.317167, 0.508035, 0.825596, 1.35505", \ + "0.0542763, 0.169195, 0.238408, 0.352589, 0.544588, 0.861509, 1.39125", \ + "0.0666849, 0.202399, 0.274572, 0.389238, 0.579894, 0.89957, 1.42778", \ + "0.0831081, 0.254833, 0.337723, 0.460272, 0.652697, 0.970034, 1.49935", \ + "0.103777, 0.329099, 0.433756, 0.578975, 0.7909, 1.11597, 1.64439", \ + "0.13309, 0.42552, 0.559785, 0.748096, 1.0065, 1.3745, 1.92734", \ + "0.162479, 0.549305, 0.725818, 0.968315, 1.30999, 1.77204, 2.4176" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0265234, 0.168856, 0.267977, 0.432221, 0.707216, 1.16535, 1.92931", \ + "0.0428481, 0.172454, 0.268871, 0.432735, 0.708063, 1.16539, 1.92932", \ + "0.0571673, 0.187032, 0.27781, 0.435268, 0.708064, 1.16685, 1.92933", \ + "0.0791834, 0.222779, 0.309766, 0.456465, 0.715984, 1.16857, 1.92934", \ + "0.113411, 0.290947, 0.382259, 0.525836, 0.767978, 1.19226, 1.93363", \ + "0.174931, 0.392483, 0.505262, 0.664375, 0.909814, 1.30836, 2.00224", \ + "0.271154, 0.556143, 0.694061, 0.893698, 1.1813, 1.59991, 2.26176" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0339565, 0.13319, 0.200895, 0.312505, 0.499597, 0.810867, 1.32987", \ + "0.0541212, 0.169957, 0.238203, 0.349956, 0.536936, 0.848855, 1.36754", \ + "0.0655358, 0.201789, 0.274057, 0.387173, 0.574133, 0.885699, 1.40534", \ + "0.0814583, 0.250369, 0.334165, 0.457592, 0.648811, 0.960333, 1.47899", \ + "0.101765, 0.318427, 0.422497, 0.568339, 0.7824, 1.1069, 1.62768", \ + "0.128018, 0.412698, 0.5468, 0.730589, 0.989912, 1.36349, 1.91815", \ + "0.159094, 0.532095, 0.711608, 0.954545, 1.28455, 1.7475, 2.40056" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0239475, 0.152801, 0.24281, 0.392074, 0.641788, 1.05788, 1.75057", \ + "0.0397482, 0.158724, 0.245038, 0.392907, 0.641789, 1.05823, 1.75174", \ + "0.0525429, 0.175372, 0.256912, 0.397578, 0.643005, 1.05824, 1.75217", \ + "0.0730679, 0.211185, 0.29223, 0.425491, 0.657775, 1.06059, 1.75218", \ + "0.105609, 0.274036, 0.360714, 0.496802, 0.719025, 1.1, 1.76626", \ + "0.160142, 0.378768, 0.481104, 0.631582, 0.862759, 1.23524, 1.86013", \ + "0.2544, 0.543179, 0.677664, 0.857862, 1.12679, 1.51744, 2.14425" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00315421, 0.0034349, 0.00341165, 0.00334177, 0.00319788, 0.00290113, 0.00258842", \ + "0.00280466, 0.00319154, 0.00342366, 0.00329416, 0.00314648, 0.00293071, 0.00262372", \ + "0.00282616, 0.003115, 0.00314736, 0.00324293, 0.00313241, 0.00291189, 0.00256661", \ + "0.00315929, 0.00306678, 0.00312697, 0.00324586, 0.00312385, 0.00287681, 0.00257865", \ + "0.00457601, 0.00369462, 0.00355987, 0.00333451, 0.00344769, 0.0029552, 0.00265108", \ + "0.00883018, 0.00618168, 0.00555488, 0.00504738, 0.00428931, 0.00400269, 0.00317433", \ + "0.0179259, 0.0131136, 0.0115661, 0.00999656, 0.00846586, 0.00680056, 0.00539736" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00041169, 0.00043307, 0.00040502, 0.00035268, 0.00021415, 1.65901e-05, -0.00035898", \ + "0.00037829, 0.00059407, 0.0005248, 0.00056898, 0.00039084, 0.00020231, -0.00021962", \ + "0.00056769, 0.00054696, 0.00060724, 0.000567, 0.00041166, 0.00021797, -0.00015177", \ + "0.00131294, 0.00089614, 0.00075258, 0.00069177, 0.00049673, 0.00042505, -0.00013287", \ + "0.00305294, 0.00199434, 0.00171029, 0.00138984, 0.00097029, 0.0009504, 0.00012998", \ + "0.0071879, 0.00496876, 0.00416461, 0.00340969, 0.00275796, 0.00165791, 0.00108524", \ + "0.016074, 0.0122264, 0.0106765, 0.00895902, 0.00736502, 0.00573814, 0.00361116" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00420026, 0.00421483, 0.00417491, 0.004085, 0.00392381, 0.00364696, 0.00332235", \ + "0.00400224, 0.00413059, 0.00420975, 0.00413341, 0.00391838, 0.00371028, 0.00337001", \ + "0.00399716, 0.00411351, 0.00405426, 0.00405764, 0.00408135, 0.00364056, 0.00330137", \ + "0.00429348, 0.00415235, 0.00411976, 0.00415045, 0.00394236, 0.00366051, 0.00332522", \ + "0.00558474, 0.00482502, 0.0046473, 0.00437876, 0.00441714, 0.00408575, 0.00348027", \ + "0.00964404, 0.00732334, 0.00669384, 0.00614054, 0.00539442, 0.00483221, 0.0040205", \ + "0.0183654, 0.0143646, 0.0128951, 0.0113045, 0.00982316, 0.00815306, 0.00640816" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00182885, 0.00180177, 0.0017533, 0.00169493, 0.0015993, 0.00135581, 0.00091368", \ + "0.00175853, 0.00195658, 0.00192247, 0.00193759, 0.00175215, 0.00147997, 0.0011506", \ + "0.00183382, 0.00191132, 0.00199473, 0.00197285, 0.00183068, 0.0016027, 0.00126842", \ + "0.00225294, 0.00215027, 0.00206635, 0.00203402, 0.00187893, 0.00262221, 0.00122732", \ + "0.00365918, 0.00289962, 0.00275449, 0.00252477, 0.00221144, 0.00237882, 0.00174566", \ + "0.00737346, 0.00538676, 0.00479101, 0.0041671, 0.00360754, 0.00278685, 0.0023748", \ + "0.0157109, 0.012113, 0.0106752, 0.00918648, 0.00771758, 0.00640588, 0.00471568" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00183365, 0.00198736, 0.00192522, 0.00184732, 0.00170673, 0.00145877, 0.00107082", \ + "0.00170077, 0.00178428, 0.00184956, 0.00178818, 0.00170381, 0.00137739, 0.00101882", \ + "0.00199213, 0.00184053, 0.0018279, 0.00173339, 0.00169666, 0.00148516, 0.00096718", \ + "0.00291328, 0.002178, 0.00203172, 0.00203012, 0.00189179, 0.00150106, 0.00098198", \ + "0.00504108, 0.00352903, 0.0031126, 0.00260875, 0.00246152, 0.00178718, 0.00126051", \ + "0.00958858, 0.00689841, 0.00600989, 0.00506238, 0.00391114, 0.00326856, 0.00203986", \ + "0.0191271, 0.0147169, 0.013075, 0.0112553, 0.00938024, 0.0073433, 0.00508448" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.00183365, -0.00198736, -0.00192522, -0.00184732, -0.00170673, -0.00145877, -0.00107082", \ + "-0.00170077, -0.00178428, -0.00184956, -0.00178818, -0.00170381, -0.00137739, -0.00101882", \ + "-0.00199213, -0.00184053, -0.0018279, -0.00173339, -0.00169666, -0.00148516, -0.00096718", \ + "-0.00291328, -0.002178, -0.00203172, -0.00203012, -0.00189179, -0.00150106, -0.00098198", \ + "-0.00170163, -0.00284427, -0.00297171, -0.00260875, -0.00246152, -0.00178718, -0.00126051", \ + "0.00241811, -0.00035304, -0.00110604, -0.00162466, -0.00219082, -0.00298354, -0.00203986", \ + "0.0114059, 0.00650708, 0.00481658, 0.00321988, 0.00167098, 0.00043052, -0.00117229" \ + ); + } + } + internal_power () { + related_pin : "B2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00150163, 0.00196579, 0.00194444, 0.0018842, 0.00175658, 0.00149198, 0.00106902", \ + "0.00135367, 0.00166152, 0.00173119, 0.0017705, 0.0017313, 0.0014007, 0.00105702", \ + "0.00170757, 0.00165034, 0.00167598, 0.00165067, 0.00158544, 0.00152159, 0.00102488", \ + "0.00262616, 0.00193703, 0.00183983, 0.00196004, 0.00164089, 0.00153149, 0.00104147", \ + "0.00488328, 0.00318731, 0.0028336, 0.00238093, 0.00224831, 0.00170868, 0.00121719", \ + "0.00963138, 0.00648903, 0.00563137, 0.00471692, 0.00369932, 0.00302492, 0.00185946", \ + "0.0195741, 0.0141673, 0.0124341, 0.0105431, 0.00900573, 0.00698106, 0.00480966" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.00150163, -0.00196579, -0.00194444, -0.0018842, -0.00175658, -0.00149198, -0.00106902", \ + "-0.00135367, -0.00166152, -0.00173119, -0.0017705, -0.0017313, -0.0014007, -0.00105702", \ + "-0.00170757, -0.00165034, -0.00167598, -0.00165067, -0.00158544, -0.00152159, -0.00102488", \ + "-0.00262616, -0.00193703, -0.00183983, -0.00196004, -0.00164089, -0.00153149, -0.00104147", \ + "-0.00083646, -0.00233977, -0.00261994, -0.00238093, -0.00224831, -0.00170868, -0.00121719", \ + "0.00361472, 0.00052323, -0.0003061, -0.00104067, -0.00173179, -0.00264888, -0.00185946", \ + "0.013123, 0.00786432, 0.00614502, 0.00433182, 0.00284752, 0.00108457, -0.00086404" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00268757; + rise_capacitance : 0.00343805; + rise_capacitance_range (0.00343805, 0.00343805); + fall_capacitance : 0.00193709; + fall_capacitance_range (0.00193709, 0.00193709); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00449439, 0.00424665, 0.0041969, 0.00415451, 0.00413469, 0.0041119, 0.00408538" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00524274, 0.00521698, 0.00520883, 0.00519341, 0.0052118, 0.00518732, 0.00519634" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00301092; + rise_capacitance : 0.00307907; + rise_capacitance_range (0.00307907, 0.00307907); + fall_capacitance : 0.00294277; + fall_capacitance_range (0.00294277, 0.00294277); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0050212, 0.00477108, 0.00470636, 0.00465749, 0.00462213, 0.00460814, 0.00456412" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00441284, 0.00437956, 0.00437719, 0.00435896, 0.00436882, 0.00436206, 0.00435884" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00347913; + rise_capacitance : 0.00423097; + rise_capacitance_range (0.00423097, 0.00423097); + fall_capacitance : 0.00272729; + fall_capacitance_range (0.00272729, 0.00272729); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00878934, 0.00889432, 0.00894532, 0.00901483, 0.00911153, 0.00920899, 0.00930192" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00187055, 0.00188222, 0.00190334, 0.00189511, 0.00189651, 0.00189702, 0.00190526" \ + ); + } + } + } + pin (B2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00351823; + rise_capacitance : 0.00425726; + rise_capacitance_range (0.00425726, 0.00425726); + fall_capacitance : 0.00277919; + fall_capacitance_range (0.00277919, 0.00277919); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00691529, 0.00702575, 0.00707373, 0.00715456, 0.0072474, 0.00736638, 0.00745658" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00180347, 0.00183494, 0.0018395, 0.0018348, 0.00185339, 0.00183862, 0.00186337" \ + ); + } + } + } + } + cell (sg13g2_and2_1) { + area : 9.072; + cell_footprint : "AND2"; + cell_leakage_power : 1010.75; + leakage_power () { + value : 1352.74; + when : "A&B"; + } + leakage_power () { + value : 949.306; + when : "!A&B"; + } + leakage_power () { + value : 917.083; + when : "A&!B"; + } + leakage_power () { + value : 823.863; + when : "!A&!B"; + } + pin (X) { + direction : "output"; + function : "(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0662832, 0.139176, 0.185569, 0.261561, 0.388852, 0.60056, 0.953385", \ + "0.0933613, 0.167175, 0.213508, 0.289606, 0.41711, 0.628994, 0.981724", \ + "0.110852, 0.185409, 0.232136, 0.308376, 0.435475, 0.647182, 1.00032", \ + "0.13539, 0.213062, 0.259329, 0.33547, 0.462917, 0.674887, 1.0279", \ + "0.166578, 0.250098, 0.296329, 0.372574, 0.499525, 0.711358, 1.06446", \ + "0.209552, 0.303738, 0.349859, 0.4262, 0.553796, 0.765805, 1.1185", \ + "0.26682, 0.377138, 0.427076, 0.505434, 0.634485, 0.847166, 1.20035" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0200978, 0.112485, 0.179554, 0.290797, 0.477374, 0.788271, 1.30648", \ + "0.0228286, 0.11332, 0.179917, 0.290895, 0.477929, 0.788437, 1.3065", \ + "0.0258599, 0.114289, 0.180575, 0.291436, 0.47793, 0.788438, 1.3072", \ + "0.0313304, 0.115954, 0.181518, 0.292342, 0.478372, 0.788893, 1.30879", \ + "0.0395063, 0.12007, 0.183674, 0.293365, 0.479269, 0.789833, 1.3088", \ + "0.051359, 0.131064, 0.191191, 0.297936, 0.481932, 0.791554, 1.30881", \ + "0.069909, 0.151703, 0.207232, 0.311085, 0.49294, 0.800241, 1.31496" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0563913, 0.120051, 0.16024, 0.226322, 0.336727, 0.520636, 0.826886", \ + "0.0856499, 0.150553, 0.190748, 0.256871, 0.367284, 0.551185, 0.857454", \ + "0.104389, 0.17064, 0.210975, 0.277057, 0.38746, 0.571444, 0.877618", \ + "0.129744, 0.199427, 0.239292, 0.305526, 0.415916, 0.600144, 0.906423", \ + "0.162431, 0.239418, 0.280081, 0.345249, 0.455717, 0.639507, 0.945671", \ + "0.209592, 0.29662, 0.338885, 0.405041, 0.515288, 0.697821, 1.00386", \ + "0.260143, 0.364646, 0.411527, 0.480506, 0.593223, 0.777236, 1.08414" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160445, 0.0895732, 0.142431, 0.230476, 0.378084, 0.623847, 1.03377", \ + "0.0188696, 0.0902959, 0.14272, 0.230544, 0.378085, 0.623929, 1.03378", \ + "0.0220889, 0.091672, 0.143572, 0.230865, 0.378086, 0.62393, 1.03379", \ + "0.0279782, 0.0940516, 0.145079, 0.23209, 0.378626, 0.623995, 1.0338", \ + "0.0367649, 0.0999658, 0.148819, 0.23377, 0.380123, 0.624827, 1.03381", \ + "0.049391, 0.110682, 0.15686, 0.239227, 0.382971, 0.626994, 1.03514", \ + "0.069934, 0.135418, 0.178193, 0.255706, 0.395665, 0.636536, 1.04147" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0712106, 0.14405, 0.190451, 0.266515, 0.393793, 0.605332, 0.958492", \ + "0.0961264, 0.169793, 0.216127, 0.29231, 0.419552, 0.63138, 0.984203", \ + "0.112375, 0.187349, 0.233795, 0.309897, 0.437203, 0.649104, 1.00221", \ + "0.135139, 0.213247, 0.259733, 0.335888, 0.463335, 0.675381, 1.02814", \ + "0.164329, 0.249054, 0.295669, 0.371583, 0.499255, 0.711089, 1.06405", \ + "0.203126, 0.298522, 0.346736, 0.423977, 0.551639, 0.762866, 1.11622", \ + "0.252629, 0.366449, 0.417554, 0.495688, 0.625819, 0.839878, 1.19408" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.020089, 0.112563, 0.179559, 0.290776, 0.477363, 0.788407, 1.30671", \ + "0.022017, 0.113004, 0.179734, 0.290822, 0.477677, 0.788408, 1.30673", \ + "0.0243065, 0.113835, 0.180183, 0.291094, 0.477678, 0.788409, 1.30698", \ + "0.0289804, 0.115555, 0.181107, 0.29167, 0.477881, 0.78858, 1.31255", \ + "0.0362454, 0.119912, 0.183716, 0.292875, 0.478622, 0.789101, 1.31256", \ + "0.04707, 0.129905, 0.19103, 0.298075, 0.481543, 0.790809, 1.31257", \ + "0.06378, 0.149416, 0.206778, 0.311029, 0.49307, 0.799956, 1.31422" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0617968, 0.126134, 0.166404, 0.232692, 0.343254, 0.527111, 0.833676", \ + "0.0935735, 0.158875, 0.199224, 0.265507, 0.375983, 0.559911, 0.866502", \ + "0.114881, 0.181386, 0.221894, 0.288262, 0.398989, 0.582997, 0.890468", \ + "0.144771, 0.214065, 0.25443, 0.320783, 0.431715, 0.615731, 0.9223", \ + "0.183137, 0.258528, 0.299269, 0.365358, 0.475961, 0.659988, 0.966531", \ + "0.239313, 0.323689, 0.365224, 0.431686, 0.541744, 0.725572, 1.03231", \ + "0.305133, 0.404578, 0.449818, 0.517942, 0.629345, 0.814029, 1.12074" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168015, 0.0900629, 0.142755, 0.230832, 0.378351, 0.623773, 1.03331", \ + "0.0189815, 0.0905506, 0.143152, 0.230941, 0.378352, 0.624145, 1.03345", \ + "0.0220356, 0.0917063, 0.143776, 0.23111, 0.378591, 0.624146, 1.03494", \ + "0.0273218, 0.0939106, 0.145203, 0.232075, 0.378912, 0.624218, 1.03495", \ + "0.0356443, 0.0987309, 0.148236, 0.233601, 0.379951, 0.625286, 1.03496", \ + "0.046763, 0.108236, 0.15467, 0.237773, 0.382345, 0.627228, 1.03538", \ + "0.064715, 0.12707, 0.171078, 0.249653, 0.39111, 0.633746, 1.04008" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00816359, 0.00864106, 0.00867429, 0.00863032, 0.00852112, 0.00823484, 0.00784246", \ + "0.00803137, 0.00836497, 0.00837608, 0.0084338, 0.00833306, 0.00804528, 0.0076794", \ + "0.00829751, 0.00845163, 0.00854101, 0.00872632, 0.00855177, 0.00813727, 0.00791615", \ + "0.00912638, 0.00920928, 0.00923466, 0.00917651, 0.0091798, 0.00879543, 0.00873291", \ + "0.0110585, 0.0107902, 0.01081, 0.0108743, 0.0106419, 0.0105314, 0.0101491", \ + "0.0152016, 0.0146359, 0.0144692, 0.0144085, 0.0144505, 0.0143551, 0.0138101", \ + "0.0240802, 0.0226552, 0.0223867, 0.0222549, 0.0220651, 0.0218548, 0.0216127" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0071483, 0.00771499, 0.00771372, 0.00768594, 0.00760054, 0.00738294, 0.00699506", \ + "0.00694055, 0.00737863, 0.00750187, 0.00751808, 0.00735024, 0.0071228, 0.00674984", \ + "0.00722309, 0.00750283, 0.0075166, 0.00763588, 0.00743795, 0.00726911, 0.00684996", \ + "0.0080806, 0.00820615, 0.00820859, 0.0081322, 0.00809073, 0.00774609, 0.00741", \ + "0.0101326, 0.00998557, 0.0100758, 0.00997519, 0.0100414, 0.00957098, 0.0102754", \ + "0.0146283, 0.0138354, 0.0137775, 0.0138292, 0.0138076, 0.0135468, 0.0128021", \ + "0.0238093, 0.0222199, 0.0221124, 0.0217136, 0.0215573, 0.0213684, 0.0214427" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00993294, 0.0103916, 0.0104195, 0.0103647, 0.0102542, 0.00994285, 0.0096412", \ + "0.0096975, 0.0100755, 0.0100811, 0.0101777, 0.00998561, 0.00967455, 0.00935598", \ + "0.00976743, 0.0100346, 0.0100914, 0.0101173, 0.0100213, 0.00968653, 0.00942286", \ + "0.0102353, 0.0103969, 0.0104442, 0.0103822, 0.0105772, 0.0100524, 0.0102355", \ + "0.0117338, 0.0116719, 0.0117465, 0.0117666, 0.0116806, 0.0115425, 0.0111942", \ + "0.0155847, 0.0149965, 0.0149558, 0.0149987, 0.0150179, 0.0148381, 0.0143999", \ + "0.0238546, 0.0226093, 0.022384, 0.022219, 0.022115, 0.0219435, 0.0218704" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00737626, 0.00789038, 0.00790194, 0.00788944, 0.00781707, 0.00756492, 0.00716717", \ + "0.00725558, 0.00764761, 0.0078112, 0.00771443, 0.00761104, 0.00743102, 0.00703681", \ + "0.00753995, 0.00783673, 0.00785166, 0.00794185, 0.00785563, 0.0075924, 0.00743311", \ + "0.00833929, 0.00843837, 0.00847632, 0.00836002, 0.00849342, 0.00821036, 0.00786858", \ + "0.0103661, 0.0101185, 0.0101944, 0.0101745, 0.0101978, 0.00986095, 0.0106939", \ + "0.0147979, 0.0140497, 0.0139596, 0.0140458, 0.0139927, 0.013839, 0.0134503", \ + "0.0235041, 0.0219189, 0.0219089, 0.0215331, 0.0214043, 0.021311, 0.0214347" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00252079; + rise_capacitance : 0.00251911; + rise_capacitance_range (0.00251911, 0.00251911); + fall_capacitance : 0.00252247; + fall_capacitance_range (0.00252247, 0.00252247); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00254393; + rise_capacitance : 0.00261834; + rise_capacitance_range (0.00261834, 0.00261834); + fall_capacitance : 0.00246952; + fall_capacitance_range (0.00246952, 0.00246952); + } + } + cell (sg13g2_and2_2) { + area : 10.8864; + cell_footprint : "AND2"; + cell_leakage_power : 1632.7; + leakage_power () { + value : 1558.27; + when : "A&B"; + } + leakage_power () { + value : 1710.07; + when : "!A&B"; + } + leakage_power () { + value : 1677.84; + when : "A&!B"; + } + leakage_power () { + value : 1584.62; + when : "!A&!B"; + } + pin (X) { + direction : "output"; + function : "(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0815822, 0.163521, 0.210253, 0.286678, 0.414159, 0.626326, 0.979547", \ + "0.113088, 0.195694, 0.242414, 0.318877, 0.446333, 0.658451, 1.01168", \ + "0.134769, 0.219038, 0.265843, 0.342377, 0.469741, 0.681743, 1.03571", \ + "0.166328, 0.253725, 0.300804, 0.377148, 0.503618, 0.715721, 1.06878", \ + "0.207263, 0.303047, 0.3505, 0.426744, 0.553504, 0.764896, 1.11794", \ + "0.263268, 0.372863, 0.420499, 0.495488, 0.622083, 0.83313, 1.18579", \ + "0.340672, 0.466074, 0.51932, 0.596065, 0.724103, 0.935507, 1.28815" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0229421, 0.115898, 0.181918, 0.292824, 0.479283, 0.790594, 1.3093", \ + "0.0256583, 0.116584, 0.182303, 0.292927, 0.479311, 0.790595, 1.30931", \ + "0.0293664, 0.118094, 0.183187, 0.293306, 0.479502, 0.791593, 1.31038", \ + "0.0360852, 0.121035, 0.184886, 0.294519, 0.480307, 0.791594, 1.31056", \ + "0.0473278, 0.127396, 0.188728, 0.296235, 0.481443, 0.791957, 1.31057", \ + "0.064056, 0.142132, 0.198358, 0.302134, 0.484204, 0.793687, 1.31127", \ + "0.087962, 0.170462, 0.222286, 0.318838, 0.495838, 0.801127, 1.31683" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0685539, 0.140696, 0.181429, 0.248, 0.358346, 0.542713, 0.849367", \ + "0.102206, 0.175205, 0.215865, 0.282195, 0.392822, 0.576901, 0.883464", \ + "0.126019, 0.200947, 0.241739, 0.30813, 0.418699, 0.603028, 0.909559", \ + "0.159832, 0.238795, 0.279291, 0.34536, 0.455652, 0.63938, 0.945697", \ + "0.204093, 0.291024, 0.331876, 0.398344, 0.508036, 0.691338, 0.997354", \ + "0.265367, 0.363142, 0.406654, 0.472304, 0.581424, 0.763332, 1.06899", \ + "0.33803, 0.453654, 0.501793, 0.570922, 0.680646, 0.864055, 1.16979" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0187258, 0.093188, 0.145399, 0.232898, 0.380408, 0.626714, 1.03633", \ + "0.0212159, 0.0938868, 0.145658, 0.233007, 0.380409, 0.626715, 1.03645", \ + "0.0252082, 0.0955841, 0.14667, 0.233353, 0.380595, 0.626716, 1.03646", \ + "0.0320604, 0.0994929, 0.149281, 0.234721, 0.381256, 0.626717, 1.03917", \ + "0.0438884, 0.108227, 0.154412, 0.23792, 0.382854, 0.627689, 1.03918", \ + "0.06023, 0.122754, 0.166419, 0.244933, 0.386776, 0.630392, 1.03919", \ + "0.086902, 0.151973, 0.191526, 0.264291, 0.399958, 0.638366, 1.04379" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0862607, 0.168239, 0.214899, 0.291348, 0.418911, 0.631096, 0.98404", \ + "0.113979, 0.196494, 0.243269, 0.319783, 0.44705, 0.658969, 1.01266", \ + "0.133575, 0.217787, 0.264623, 0.3411, 0.468533, 0.680539, 1.0337", \ + "0.162601, 0.249962, 0.296845, 0.373173, 0.500707, 0.712709, 1.06569", \ + "0.201341, 0.295874, 0.342949, 0.419261, 0.546597, 0.758485, 1.11146", \ + "0.253678, 0.360491, 0.409353, 0.486551, 0.613543, 0.825289, 1.17786", \ + "0.322632, 0.44346, 0.49596, 0.574746, 0.703881, 0.916579, 1.26991" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0229097, 0.115963, 0.181885, 0.292891, 0.479315, 0.790599, 1.30936", \ + "0.0245843, 0.11635, 0.182197, 0.292892, 0.479316, 0.7906, 1.30996", \ + "0.0270406, 0.117611, 0.182916, 0.293087, 0.479397, 0.791117, 1.30997", \ + "0.0321406, 0.120272, 0.184513, 0.294081, 0.479951, 0.791118, 1.30998", \ + "0.0413867, 0.125961, 0.188046, 0.295878, 0.480923, 0.791442, 1.30999", \ + "0.055648, 0.13905, 0.197375, 0.301861, 0.483792, 0.79314, 1.31092", \ + "0.076239, 0.163609, 0.217723, 0.317651, 0.495626, 0.801285, 1.31602" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0737296, 0.146574, 0.18732, 0.253782, 0.364503, 0.5486, 0.855169", \ + "0.109005, 0.182429, 0.22322, 0.28974, 0.400418, 0.584547, 0.891195", \ + "0.134826, 0.210013, 0.251021, 0.317569, 0.428338, 0.61245, 0.919032", \ + "0.171732, 0.2504, 0.291593, 0.358286, 0.468925, 0.652441, 0.958955", \ + "0.221165, 0.307727, 0.348943, 0.414771, 0.525157, 0.709261, 1.01573", \ + "0.289527, 0.386428, 0.428914, 0.495652, 0.605092, 0.788454, 1.09569", \ + "0.376446, 0.488736, 0.535524, 0.603976, 0.715341, 0.897808, 1.20447" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0195084, 0.0937963, 0.145737, 0.233349, 0.380456, 0.626823, 1.0364", \ + "0.0215199, 0.0943081, 0.146098, 0.233415, 0.38077, 0.626824, 1.03659", \ + "0.0251314, 0.0958547, 0.146822, 0.23366, 0.380771, 0.62708, 1.0366", \ + "0.0314618, 0.0993352, 0.149169, 0.234793, 0.381285, 0.627081, 1.03661", \ + "0.042836, 0.107317, 0.154422, 0.237805, 0.3829, 0.627804, 1.03755", \ + "0.057876, 0.120237, 0.1637, 0.243924, 0.386407, 0.63001, 1.03847", \ + "0.081772, 0.145551, 0.184924, 0.258797, 0.396314, 0.636414, 1.04272" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0131853, 0.0138132, 0.0138897, 0.0138549, 0.013654, 0.0131973, 0.0134235", \ + "0.0131491, 0.0134779, 0.0134894, 0.0140889, 0.0133054, 0.012857, 0.0130897", \ + "0.0136629, 0.013423, 0.0135632, 0.0138988, 0.0133206, 0.013117, 0.0134248", \ + "0.0148414, 0.0140409, 0.0140655, 0.0138869, 0.0141561, 0.0130557, 0.0134102", \ + "0.0174486, 0.0155238, 0.015624, 0.0156959, 0.0153798, 0.0150075, 0.0147853", \ + "0.0230193, 0.0194588, 0.0192413, 0.0191262, 0.0190758, 0.0189411, 0.0175889", \ + "0.0336636, 0.0282402, 0.027529, 0.026768, 0.0268661, 0.0265531, 0.0262615" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0117904, 0.0128601, 0.0129454, 0.012873, 0.0126382, 0.0123463, 0.0114658", \ + "0.0117198, 0.0123884, 0.0127705, 0.0124531, 0.0123505, 0.0119414, 0.0111029", \ + "0.0122116, 0.01242, 0.0125314, 0.0128194, 0.0125304, 0.0120914, 0.0112263", \ + "0.013436, 0.0129665, 0.0129869, 0.012706, 0.0126626, 0.0121733, 0.0120449", \ + "0.0163125, 0.0147009, 0.0145408, 0.0147316, 0.0145163, 0.0133957, 0.0139944", \ + "0.0219766, 0.0187214, 0.0186538, 0.0184048, 0.01814, 0.0174742, 0.0162073", \ + "0.0331755, 0.0272614, 0.026828, 0.026123, 0.0257384, 0.0253531, 0.0253062" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0148626, 0.0155365, 0.015573, 0.0155565, 0.0153417, 0.0148983, 0.0150639", \ + "0.0147481, 0.0152091, 0.0152142, 0.0153989, 0.0150153, 0.0145071, 0.0148872", \ + "0.0149767, 0.0150591, 0.0152248, 0.0153726, 0.0149826, 0.0146017, 0.0147693", \ + "0.0157449, 0.0153014, 0.0153814, 0.0152158, 0.0153214, 0.0146227, 0.014769", \ + "0.0177765, 0.0164327, 0.0165257, 0.0166213, 0.0162179, 0.0161755, 0.015979", \ + "0.022531, 0.0198399, 0.0197463, 0.0197549, 0.0197573, 0.0198428, 0.0182699", \ + "0.0323454, 0.0276298, 0.0271583, 0.0267182, 0.0265194, 0.0263101, 0.026044" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120451, 0.0129198, 0.012944, 0.0129245, 0.0128024, 0.0124309, 0.0115548", \ + "0.0120227, 0.0126317, 0.0129308, 0.0127805, 0.0125999, 0.0121769, 0.0113921", \ + "0.0126101, 0.0126312, 0.0127228, 0.0129574, 0.0128271, 0.0123727, 0.011484", \ + "0.013734, 0.0131155, 0.013272, 0.0131131, 0.0132735, 0.012676, 0.0117875", \ + "0.0165807, 0.0150062, 0.0149635, 0.0148357, 0.0145948, 0.0140614, 0.0153932", \ + "0.0222922, 0.0188997, 0.0186473, 0.0187498, 0.0185887, 0.0181411, 0.0171356", \ + "0.0327306, 0.0272639, 0.0267161, 0.0261129, 0.0260866, 0.0256377, 0.0261082" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00250539; + rise_capacitance : 0.00250471; + rise_capacitance_range (0.00250471, 0.00250471); + fall_capacitance : 0.00250606; + fall_capacitance_range (0.00250606, 0.00250606); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00253426; + rise_capacitance : 0.00261141; + rise_capacitance_range (0.00261141, 0.00261141); + fall_capacitance : 0.00245712; + fall_capacitance_range (0.00245712, 0.00245712); + } + } + cell (sg13g2_and3_1) { + area : 12.7008; + cell_footprint : "AND3"; + cell_leakage_power : 1009.29; + leakage_power () { + value : 1926.23; + when : "A&B&C"; + } + leakage_power () { + value : 822.262; + when : "!A&!B&!C"; + } + leakage_power () { + value : 844.781; + when : "!A&!B&C"; + } + leakage_power () { + value : 827.572; + when : "!A&B&!C"; + } + leakage_power () { + value : 970.255; + when : "!A&B&C"; + } + leakage_power () { + value : 826.923; + when : "A&!B&!C"; + } + leakage_power () { + value : 938.003; + when : "A&!B&C"; + } + leakage_power () { + value : 918.28; + when : "A&B&!C"; + } + pin (X) { + direction : "output"; + function : "(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0883455, 0.167975, 0.214712, 0.291179, 0.41813, 0.629542, 0.982062", \ + "0.115812, 0.196085, 0.24308, 0.319423, 0.446657, 0.658014, 1.01045", \ + "0.135448, 0.216821, 0.264154, 0.340459, 0.467666, 0.679227, 1.03249", \ + "0.164625, 0.248393, 0.295435, 0.371611, 0.498985, 0.710793, 1.06314", \ + "0.203253, 0.293576, 0.340533, 0.417095, 0.543788, 0.755483, 1.10796", \ + "0.257415, 0.360667, 0.408882, 0.485838, 0.61331, 0.824926, 1.17763", \ + "0.333849, 0.453826, 0.507098, 0.585329, 0.714241, 0.927972, 1.28182" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0256352, 0.11664, 0.182073, 0.292287, 0.478101, 0.788247, 1.30595", \ + "0.0274345, 0.117347, 0.182443, 0.292408, 0.478102, 0.788446, 1.30596", \ + "0.0301815, 0.118735, 0.183585, 0.293029, 0.478587, 0.789008, 1.3069", \ + "0.0352832, 0.120746, 0.185093, 0.294267, 0.479505, 0.789195, 1.30779", \ + "0.0438249, 0.125489, 0.187683, 0.295639, 0.480728, 0.790472, 1.3078", \ + "0.056509, 0.137014, 0.195414, 0.300262, 0.483147, 0.792337, 1.30847", \ + "0.075076, 0.160645, 0.216239, 0.315723, 0.495004, 0.800644, 1.31428" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0604029, 0.125142, 0.16533, 0.231484, 0.342063, 0.525905, 0.832306", \ + "0.0908258, 0.156803, 0.197119, 0.263312, 0.373727, 0.557712, 0.863786", \ + "0.110487, 0.178055, 0.218714, 0.285041, 0.395359, 0.579105, 0.885563", \ + "0.136883, 0.208914, 0.248879, 0.315125, 0.425645, 0.609559, 0.916074", \ + "0.170174, 0.249641, 0.290762, 0.356379, 0.466815, 0.650584, 0.95706", \ + "0.214391, 0.305175, 0.347615, 0.414707, 0.524099, 0.705424, 1.01108", \ + "0.257003, 0.366814, 0.414418, 0.484459, 0.596655, 0.780927, 1.08699" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168352, 0.0898571, 0.142565, 0.230347, 0.377792, 0.623723, 1.03314", \ + "0.0194226, 0.0905925, 0.142915, 0.230554, 0.377969, 0.623724, 1.03315", \ + "0.0226878, 0.0920638, 0.143684, 0.23098, 0.377974, 0.623725, 1.03316", \ + "0.0284996, 0.0947452, 0.145528, 0.232116, 0.378563, 0.624319, 1.03326", \ + "0.0375354, 0.101313, 0.149676, 0.234114, 0.380007, 0.624633, 1.03344", \ + "0.050583, 0.11348, 0.158238, 0.24002, 0.383002, 0.626734, 1.03547", \ + "0.071479, 0.139029, 0.181378, 0.257384, 0.395745, 0.636186, 1.04137" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0977458, 0.17731, 0.224, 0.300318, 0.427517, 0.639145, 0.991309", \ + "0.122919, 0.203314, 0.250163, 0.326481, 0.453492, 0.665082, 1.01872", \ + "0.141062, 0.223057, 0.270171, 0.346562, 0.47385, 0.685313, 1.03843", \ + "0.167888, 0.253128, 0.299816, 0.376311, 0.50382, 0.715445, 1.06765", \ + "0.204599, 0.296747, 0.343975, 0.420597, 0.547816, 0.759558, 1.11186", \ + "0.256504, 0.361247, 0.410597, 0.487832, 0.615319, 0.827723, 1.17972", \ + "0.33014, 0.449755, 0.505144, 0.585089, 0.714149, 0.929253, 1.28254" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0256427, 0.116569, 0.182009, 0.292232, 0.478235, 0.788517, 1.30595", \ + "0.0269599, 0.117117, 0.182332, 0.292319, 0.478236, 0.788602, 1.30719", \ + "0.0290727, 0.118444, 0.183201, 0.292749, 0.478237, 0.789661, 1.3072", \ + "0.0334237, 0.121021, 0.18476, 0.293945, 0.479097, 0.789662, 1.30721", \ + "0.0412449, 0.126356, 0.188029, 0.29546, 0.480161, 0.790026, 1.30722", \ + "0.052774, 0.137348, 0.196194, 0.300834, 0.482793, 0.791474, 1.30773", \ + "0.068916, 0.159784, 0.216406, 0.316384, 0.49504, 0.800306, 1.31369" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.066047, 0.131614, 0.17194, 0.238184, 0.348787, 0.532814, 0.839102", \ + "0.0984874, 0.165002, 0.205414, 0.271751, 0.382275, 0.566137, 0.87266", \ + "0.120444, 0.188526, 0.229143, 0.29543, 0.406091, 0.590192, 0.897418", \ + "0.150977, 0.222065, 0.262663, 0.329051, 0.439606, 0.62386, 0.930292", \ + "0.190435, 0.268507, 0.30994, 0.375178, 0.486055, 0.669919, 0.976394", \ + "0.242903, 0.330984, 0.373132, 0.439935, 0.550019, 0.734291, 1.03998", \ + "0.300446, 0.405226, 0.451648, 0.519869, 0.632426, 0.816442, 1.12348" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0175983, 0.0904298, 0.142887, 0.230657, 0.378235, 0.623727, 1.03326", \ + "0.0195976, 0.0909825, 0.143296, 0.230975, 0.378727, 0.623728, 1.03389", \ + "0.022713, 0.0922288, 0.144002, 0.23134, 0.378728, 0.624141, 1.03484", \ + "0.0280225, 0.0947063, 0.145635, 0.232155, 0.378882, 0.624142, 1.03485", \ + "0.0365804, 0.100255, 0.149372, 0.23387, 0.379959, 0.625037, 1.03486", \ + "0.048318, 0.111024, 0.156425, 0.238632, 0.382331, 0.626831, 1.03617", \ + "0.066834, 0.132122, 0.174612, 0.25195, 0.391998, 0.633535, 1.04004" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.102023, 0.181621, 0.228234, 0.304396, 0.431702, 0.643182, 0.995591", \ + "0.124929, 0.205031, 0.251908, 0.328159, 0.455164, 0.666695, 1.0201", \ + "0.140728, 0.222444, 0.269319, 0.345775, 0.472928, 0.684479, 1.03741", \ + "0.163933, 0.248268, 0.295492, 0.371946, 0.49927, 0.710823, 1.06299", \ + "0.194374, 0.286038, 0.333432, 0.410196, 0.537288, 0.749164, 1.10144", \ + "0.23551, 0.339699, 0.390262, 0.467671, 0.595538, 0.807306, 1.15979", \ + "0.29507, 0.413411, 0.469093, 0.549589, 0.680556, 0.895236, 1.24965" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0256558, 0.116643, 0.182005, 0.292193, 0.478103, 0.788563, 1.30596", \ + "0.0265459, 0.116967, 0.182242, 0.292285, 0.478104, 0.788607, 1.30726", \ + "0.0280954, 0.118069, 0.182805, 0.292623, 0.478213, 0.788663, 1.30727", \ + "0.031525, 0.120268, 0.184376, 0.293503, 0.478732, 0.788691, 1.30728", \ + "0.0381473, 0.125829, 0.187651, 0.295131, 0.47965, 0.789321, 1.30729", \ + "0.049148, 0.137438, 0.19767, 0.301404, 0.482856, 0.791134, 1.30757", \ + "0.064663, 0.158746, 0.217159, 0.317823, 0.49648, 0.801408, 1.3137" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0697446, 0.136264, 0.176865, 0.243403, 0.354195, 0.538157, 0.844833", \ + "0.103697, 0.170825, 0.211484, 0.277951, 0.388712, 0.572802, 0.879361", \ + "0.127722, 0.196372, 0.237142, 0.303686, 0.41447, 0.598643, 0.905331", \ + "0.161627, 0.23313, 0.273574, 0.34011, 0.4509, 0.634906, 0.941461", \ + "0.205527, 0.283444, 0.32397, 0.390632, 0.501118, 0.684949, 0.991516", \ + "0.266664, 0.354008, 0.395738, 0.462683, 0.572918, 0.756584, 1.06289", \ + "0.338689, 0.441044, 0.48632, 0.554573, 0.666305, 0.851087, 1.15752" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0184276, 0.0912513, 0.143765, 0.231389, 0.378785, 0.624498, 1.03355", \ + "0.0201603, 0.091675, 0.143933, 0.23139, 0.378786, 0.624499, 1.03381", \ + "0.022992, 0.0927013, 0.144476, 0.231857, 0.378927, 0.6245, 1.03439", \ + "0.0278287, 0.0953677, 0.146031, 0.23254, 0.379189, 0.624501, 1.03535", \ + "0.0363138, 0.100673, 0.149141, 0.234355, 0.38044, 0.625355, 1.03536", \ + "0.047148, 0.109743, 0.15567, 0.23853, 0.382806, 0.627428, 1.03592", \ + "0.064298, 0.12844, 0.171187, 0.249913, 0.390806, 0.632874, 1.03993" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0102426, 0.0105531, 0.0105771, 0.0105783, 0.0104422, 0.0101923, 0.0101319", \ + "0.0100203, 0.0102832, 0.0102847, 0.0104731, 0.0102018, 0.00995379, 0.00998449", \ + "0.0103433, 0.0103621, 0.0104187, 0.01051, 0.0102992, 0.0101332, 0.0101822", \ + "0.0110863, 0.010964, 0.0109863, 0.0108447, 0.0109879, 0.0104856, 0.0106204", \ + "0.0128469, 0.0124189, 0.0124466, 0.0124688, 0.0121712, 0.0120793, 0.0118151", \ + "0.0168955, 0.0159989, 0.0159053, 0.0158596, 0.0158804, 0.0158342, 0.0151268", \ + "0.0253139, 0.0235852, 0.0234681, 0.0230986, 0.0229158, 0.0228147, 0.0227258" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00650008, 0.00705091, 0.0070639, 0.00702878, 0.00694813, 0.0067608, 0.00630598", \ + "0.0062736, 0.00668956, 0.00686127, 0.00684402, 0.0066752, 0.00646448, 0.00600091", \ + "0.00648882, 0.00671834, 0.00679642, 0.00696566, 0.00675566, 0.00654061, 0.00613183", \ + "0.00721608, 0.00734618, 0.007412, 0.0072505, 0.00723536, 0.00697683, 0.00656966", \ + "0.00907001, 0.00886414, 0.00896464, 0.00893809, 0.00895125, 0.00852901, 0.00927234", \ + "0.0134103, 0.0125881, 0.0124577, 0.0125786, 0.0124867, 0.0122279, 0.0116257", \ + "0.0220363, 0.0203228, 0.0202712, 0.0199187, 0.0196684, 0.0194129, 0.0193856" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011115, 0.0114852, 0.0114989, 0.0114817, 0.0113863, 0.0111501, 0.0110474", \ + "0.0108812, 0.0111974, 0.0112039, 0.0111835, 0.0110646, 0.0108364, 0.0107455", \ + "0.0109969, 0.0111294, 0.0112154, 0.0113276, 0.0110648, 0.0109405, 0.0107291", \ + "0.0114186, 0.0114232, 0.0113906, 0.0113113, 0.0114858, 0.0110442, 0.0109941", \ + "0.0128094, 0.0125512, 0.0125631, 0.0125907, 0.0124262, 0.0123711, 0.0121737", \ + "0.0163644, 0.0156573, 0.0156049, 0.0155446, 0.0155606, 0.0156585, 0.0149331", \ + "0.0241566, 0.0227777, 0.0226622, 0.0223544, 0.0222562, 0.0222655, 0.0221906" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00759002, 0.00812836, 0.00814359, 0.008117, 0.00804508, 0.00781357, 0.00741419", \ + "0.00738461, 0.00779037, 0.00792514, 0.00786436, 0.00784416, 0.00754554, 0.00720075", \ + "0.00762528, 0.00788929, 0.00792036, 0.00807632, 0.00796145, 0.00768077, 0.00749983", \ + "0.00837863, 0.00837841, 0.00847445, 0.00833659, 0.00835355, 0.00814754, 0.00775175", \ + "0.0102243, 0.00994215, 0.0100652, 0.00993873, 0.00998009, 0.00958504, 0.0104039", \ + "0.0143379, 0.0136149, 0.0134901, 0.013578, 0.0135505, 0.0134839, 0.0129143", \ + "0.0227401, 0.0210865, 0.0209751, 0.0205994, 0.020576, 0.0203512, 0.0205542" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012722, 0.0130938, 0.0130927, 0.0130681, 0.0129818, 0.0127, 0.0126479", \ + "0.0124513, 0.0127929, 0.0128003, 0.0127594, 0.0126285, 0.0123965, 0.0122513", \ + "0.0124814, 0.0126734, 0.0127662, 0.0128006, 0.0126047, 0.012395, 0.0122132", \ + "0.0127568, 0.0128384, 0.0128515, 0.012779, 0.0129823, 0.0124506, 0.0122727", \ + "0.013877, 0.0137567, 0.0137742, 0.013822, 0.0136472, 0.0135697, 0.0133719", \ + "0.0172171, 0.0167431, 0.0167726, 0.0166709, 0.0167364, 0.0166643, 0.0160398", \ + "0.0251845, 0.023963, 0.0238792, 0.0235507, 0.0234162, 0.0232999, 0.0232659" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00783726, 0.00830616, 0.00833479, 0.00833231, 0.00828332, 0.00806228, 0.00762357", \ + "0.00768457, 0.00805429, 0.00823247, 0.00813575, 0.00805128, 0.00783515, 0.00746734", \ + "0.00791906, 0.00814659, 0.00818553, 0.00835126, 0.00814581, 0.00798982, 0.00765773", \ + "0.00863638, 0.00867173, 0.00869681, 0.00858205, 0.00861328, 0.00829613, 0.00815679", \ + "0.0105386, 0.0102732, 0.0102015, 0.0102527, 0.0102149, 0.00977882, 0.0105559", \ + "0.014673, 0.013912, 0.0137866, 0.0138556, 0.0138206, 0.0135982, 0.0130069", \ + "0.0231233, 0.0215866, 0.0214383, 0.0210837, 0.0209744, 0.0209042, 0.0208344" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00235983; + rise_capacitance : 0.00237863; + rise_capacitance_range (0.00237863, 0.00237863); + fall_capacitance : 0.00234104; + fall_capacitance_range (0.00234104, 0.00234104); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000746852, -0.000739247, -0.000753439, -0.000764373, -0.000786063, -0.000804114, -0.0008315" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000746852, 0.000739247, 0.000753439, 0.000764373, 0.000786063, 0.000804114, 0.0008315" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00248984; + rise_capacitance : 0.00254015; + rise_capacitance_range (0.00254015, 0.00254015); + fall_capacitance : 0.00243953; + fall_capacitance_range (0.00243953, 0.00243953); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00250189; + rise_capacitance : 0.0025765; + rise_capacitance_range (0.0025765, 0.0025765); + fall_capacitance : 0.00242728; + fall_capacitance_range (0.00242728, 0.00242728); + } + } + cell (sg13g2_and3_2) { + area : 12.7008; + cell_footprint : "AND3"; + cell_leakage_power : 1700.64; + leakage_power () { + value : 2131.76; + when : "A&B&C"; + } + leakage_power () { + value : 1583.02; + when : "!A&!B&!C"; + } + leakage_power () { + value : 1605.54; + when : "!A&!B&C"; + } + leakage_power () { + value : 1588.33; + when : "!A&B&!C"; + } + leakage_power () { + value : 1731.02; + when : "!A&B&C"; + } + leakage_power () { + value : 1587.68; + when : "A&!B&!C"; + } + leakage_power () { + value : 1698.76; + when : "A&!B&C"; + } + leakage_power () { + value : 1679.04; + when : "A&B&!C"; + } + pin (X) { + direction : "output"; + function : "(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.109841, 0.202004, 0.250271, 0.327602, 0.45584, 0.668304, 1.02248", \ + "0.140293, 0.232846, 0.281066, 0.35829, 0.486364, 0.69893, 1.05359", \ + "0.164115, 0.258165, 0.306536, 0.383845, 0.51188, 0.724602, 1.07904", \ + "0.199872, 0.296844, 0.345019, 0.422688, 0.550827, 0.763184, 1.11762", \ + "0.248843, 0.352321, 0.401166, 0.478175, 0.605526, 0.817579, 1.17145", \ + "0.320023, 0.434249, 0.484152, 0.561627, 0.688971, 0.900423, 1.25437", \ + "0.416983, 0.551091, 0.60647, 0.687055, 0.815941, 1.02885, 1.38255" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0301371, 0.124462, 0.188845, 0.298304, 0.484106, 0.796026, 1.31656", \ + "0.0316123, 0.124831, 0.18914, 0.298483, 0.484687, 0.796179, 1.31684", \ + "0.0348553, 0.126612, 0.19013, 0.29887, 0.484688, 0.796231, 1.31695", \ + "0.0411931, 0.129917, 0.192769, 0.300646, 0.48557, 0.796395, 1.33116", \ + "0.0528082, 0.136788, 0.197028, 0.303125, 0.487187, 0.797753, 1.33117", \ + "0.07007, 0.15253, 0.207748, 0.309449, 0.490013, 0.79973, 1.33118", \ + "0.096593, 0.181827, 0.232538, 0.327432, 0.502236, 0.806873, 1.33119" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0721514, 0.145671, 0.186441, 0.252882, 0.363607, 0.547857, 0.854659", \ + "0.106474, 0.180911, 0.221701, 0.28808, 0.398716, 0.582783, 0.889451", \ + "0.130988, 0.207538, 0.248426, 0.314989, 0.425594, 0.609646, 0.916191", \ + "0.165576, 0.246518, 0.287017, 0.353113, 0.463979, 0.647785, 0.953825", \ + "0.209779, 0.299486, 0.341295, 0.407071, 0.516922, 0.700653, 1.00655", \ + "0.269461, 0.370745, 0.414191, 0.480782, 0.590558, 0.77166, 1.07731", \ + "0.334116, 0.454272, 0.50233, 0.573304, 0.68479, 0.86849, 1.17273" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0193525, 0.0938794, 0.145676, 0.233106, 0.380172, 0.626126, 1.03622", \ + "0.0215954, 0.0944509, 0.145935, 0.233107, 0.380571, 0.626127, 1.03623", \ + "0.025453, 0.0962374, 0.146981, 0.233579, 0.380572, 0.626463, 1.03624", \ + "0.0321066, 0.100598, 0.149703, 0.234893, 0.381235, 0.626464, 1.03912", \ + "0.0441784, 0.109445, 0.155933, 0.238243, 0.3829, 0.62757, 1.03913", \ + "0.060722, 0.125125, 0.167799, 0.245894, 0.387238, 0.630283, 1.03914", \ + "0.088023, 0.155527, 0.194606, 0.266377, 0.401177, 0.638338, 1.04359" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.118986, 0.211318, 0.259417, 0.336651, 0.4647, 0.677367, 1.03132", \ + "0.146337, 0.238945, 0.287163, 0.364468, 0.492484, 0.705005, 1.05935", \ + "0.167451, 0.261805, 0.310097, 0.387503, 0.515448, 0.728451, 1.08256", \ + "0.200452, 0.297541, 0.346318, 0.423561, 0.551809, 0.764452, 1.11845", \ + "0.2474, 0.350897, 0.400242, 0.47718, 0.605026, 0.817902, 1.17186", \ + "0.313289, 0.429304, 0.481363, 0.559269, 0.686886, 0.899901, 1.25317", \ + "0.404289, 0.539903, 0.593195, 0.678308, 0.808053, 1.022, 1.37543" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0301336, 0.12479, 0.188833, 0.298101, 0.484137, 0.795759, 1.31598", \ + "0.0311793, 0.124791, 0.188837, 0.29827, 0.484847, 0.79595, 1.31652", \ + "0.0333031, 0.126231, 0.189817, 0.298693, 0.484848, 0.796178, 1.31653", \ + "0.0380329, 0.129393, 0.192188, 0.300248, 0.485138, 0.796244, 1.33092", \ + "0.0477171, 0.135534, 0.196595, 0.302822, 0.486647, 0.797316, 1.33093", \ + "0.063299, 0.150177, 0.207965, 0.309532, 0.490069, 0.799074, 1.33094", \ + "0.086604, 0.176079, 0.230253, 0.326701, 0.50199, 0.806569, 1.33095" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0777284, 0.151936, 0.192817, 0.259263, 0.370066, 0.554353, 0.861011", \ + "0.113231, 0.18808, 0.22907, 0.295644, 0.406333, 0.590441, 0.897899", \ + "0.139518, 0.216262, 0.257456, 0.324039, 0.434715, 0.618887, 0.9255", \ + "0.176768, 0.257603, 0.298864, 0.365193, 0.475886, 0.659877, 0.966452", \ + "0.226788, 0.315692, 0.357599, 0.423916, 0.534001, 0.718, 1.02457", \ + "0.29281, 0.392709, 0.436743, 0.502765, 0.612859, 0.79631, 1.10259", \ + "0.368847, 0.487952, 0.534353, 0.603781, 0.715776, 0.89823, 1.2044" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0201217, 0.0944126, 0.14608, 0.233321, 0.380545, 0.626387, 1.03636", \ + "0.0219466, 0.0948434, 0.1464, 0.233545, 0.380546, 0.626427, 1.03695", \ + "0.0254555, 0.0964012, 0.147279, 0.233778, 0.380685, 0.627211, 1.03696", \ + "0.0317237, 0.100298, 0.149853, 0.235161, 0.381588, 0.627212, 1.04063", \ + "0.0432051, 0.108473, 0.155147, 0.238242, 0.382932, 0.62775, 1.04064", \ + "0.058649, 0.122498, 0.166281, 0.244842, 0.386375, 0.629929, 1.04065", \ + "0.083937, 0.149622, 0.189056, 0.261627, 0.397798, 0.636076, 1.04295" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.123324, 0.215479, 0.263658, 0.341016, 0.468985, 0.681846, 1.03548", \ + "0.147584, 0.240051, 0.288368, 0.365605, 0.493562, 0.706458, 1.0604", \ + "0.165215, 0.259148, 0.307571, 0.38484, 0.512798, 0.725699, 1.08004", \ + "0.192869, 0.289807, 0.338253, 0.415863, 0.543807, 0.756367, 1.11034", \ + "0.23162, 0.334266, 0.383887, 0.461405, 0.589527, 0.802208, 1.15647", \ + "0.285765, 0.400046, 0.452167, 0.53092, 0.659415, 0.871819, 1.22537", \ + "0.359444, 0.491346, 0.54812, 0.630488, 0.763256, 0.977622, 1.33104" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0301335, 0.124476, 0.188909, 0.298026, 0.484107, 0.795853, 1.31666", \ + "0.0308462, 0.124618, 0.188912, 0.298884, 0.484397, 0.795956, 1.31667", \ + "0.0323593, 0.125862, 0.18953, 0.298885, 0.484398, 0.796009, 1.31707", \ + "0.0358095, 0.128726, 0.191626, 0.299723, 0.484919, 0.796333, 1.31801", \ + "0.0434874, 0.134269, 0.195821, 0.302308, 0.486398, 0.796856, 1.31802", \ + "0.057393, 0.148088, 0.20713, 0.309644, 0.490158, 0.798957, 1.31803", \ + "0.07829, 0.172515, 0.228953, 0.327511, 0.504274, 0.807683, 1.32314" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0815271, 0.156607, 0.19772, 0.264389, 0.375354, 0.559778, 0.866615", \ + "0.11802, 0.193619, 0.234773, 0.301465, 0.41239, 0.59661, 0.904068", \ + "0.145819, 0.223174, 0.264461, 0.331119, 0.441977, 0.62632, 0.932976", \ + "0.185943, 0.267148, 0.308365, 0.374918, 0.485702, 0.669924, 0.976694", \ + "0.240315, 0.328588, 0.370553, 0.437166, 0.547199, 0.731281, 1.03777", \ + "0.312656, 0.411884, 0.455224, 0.522047, 0.632687, 0.816059, 1.12225", \ + "0.403202, 0.518237, 0.566739, 0.635815, 0.745902, 0.929483, 1.23584" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0209712, 0.095127, 0.146841, 0.233896, 0.38093, 0.626716, 1.03667", \ + "0.0223903, 0.0955554, 0.147008, 0.233909, 0.382232, 0.626833, 1.03719", \ + "0.0256926, 0.0970612, 0.147903, 0.234291, 0.382233, 0.627885, 1.0372", \ + "0.0316677, 0.100824, 0.150206, 0.235454, 0.382234, 0.627886, 1.04019", \ + "0.0427773, 0.108071, 0.155325, 0.238441, 0.383344, 0.628046, 1.0402", \ + "0.057484, 0.121281, 0.164614, 0.244636, 0.386625, 0.630564, 1.04021", \ + "0.080685, 0.146772, 0.186132, 0.259709, 0.396649, 0.636289, 1.04294" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.015561, 0.0155819, 0.0156213, 0.0155979, 0.0155741, 0.0148021, 0.0149518", \ + "0.0155414, 0.0154327, 0.0154523, 0.0154429, 0.0153707, 0.0148267, 0.0148584", \ + "0.0160646, 0.0153466, 0.0155112, 0.0155618, 0.0155695, 0.014925, 0.014482", \ + "0.0172763, 0.0160225, 0.015784, 0.0158751, 0.0163456, 0.0155649, 0.0181789", \ + "0.0199201, 0.0173965, 0.017369, 0.0172901, 0.0167789, 0.0165005, 0.0156223", \ + "0.0253479, 0.0211654, 0.020884, 0.0207254, 0.0204794, 0.0200617, 0.0189757", \ + "0.0358086, 0.0291019, 0.0285717, 0.0278974, 0.0278284, 0.0275495, 0.0274179" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0112145, 0.0121757, 0.0122117, 0.0121813, 0.0119326, 0.0115645, 0.0108598", \ + "0.0110795, 0.0117703, 0.0119542, 0.0118065, 0.0117823, 0.0112945, 0.0105252", \ + "0.0115407, 0.0116916, 0.0117785, 0.012146, 0.0121157, 0.0112832, 0.0105384", \ + "0.0127193, 0.0121815, 0.0121628, 0.0118753, 0.0120335, 0.0113053, 0.011306", \ + "0.0153685, 0.0136834, 0.0136828, 0.0136264, 0.0132371, 0.0126107, 0.0138439", \ + "0.0208498, 0.0176209, 0.0173689, 0.017232, 0.0171557, 0.016209, 0.0148296", \ + "0.0317, 0.0255648, 0.0250654, 0.0242743, 0.0241135, 0.0237606, 0.0234291" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0165108, 0.0164596, 0.0165652, 0.0165525, 0.0163133, 0.0158441, 0.0156611", \ + "0.0163869, 0.0163388, 0.0163483, 0.0163558, 0.0163305, 0.0156564, 0.0152478", \ + "0.0166552, 0.0161683, 0.0163306, 0.0163579, 0.0163474, 0.0156508, 0.0151562", \ + "0.0174458, 0.0164865, 0.0163659, 0.0163243, 0.0164747, 0.0161238, 0.0184557", \ + "0.0194718, 0.017503, 0.0175199, 0.017384, 0.0167601, 0.0168774, 0.0157524", \ + "0.0241394, 0.0206748, 0.0207165, 0.0203938, 0.0204689, 0.0201997, 0.0187933", \ + "0.0338939, 0.0280967, 0.0277372, 0.0271475, 0.0269642, 0.0270223, 0.0266397" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0123169, 0.0131452, 0.0132147, 0.0131299, 0.0129362, 0.0126305, 0.0117837", \ + "0.0122168, 0.0128102, 0.013066, 0.0130729, 0.0127576, 0.0123337, 0.0118596", \ + "0.0126395, 0.0127038, 0.0128486, 0.0131871, 0.0129145, 0.0124627, 0.0116586", \ + "0.0138718, 0.0131988, 0.0133704, 0.0131232, 0.0132828, 0.0127039, 0.0130084", \ + "0.0164604, 0.0148411, 0.014888, 0.0148507, 0.0145976, 0.014026, 0.0151409", \ + "0.0218472, 0.0185436, 0.0184673, 0.0182412, 0.0181171, 0.0179341, 0.0166166", \ + "0.0322747, 0.0264042, 0.0258856, 0.0251865, 0.025188, 0.0248158, 0.0248123" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0181218, 0.018113, 0.0181921, 0.0181773, 0.0179529, 0.0174955, 0.0176525", \ + "0.0179502, 0.0180094, 0.0179947, 0.0180875, 0.0178419, 0.0173267, 0.017321", \ + "0.0181032, 0.0177443, 0.0179472, 0.0180399, 0.0177158, 0.0172796, 0.0168993", \ + "0.0186527, 0.0179717, 0.0178646, 0.0178595, 0.0183535, 0.0175814, 0.0174661", \ + "0.0202, 0.0186662, 0.018704, 0.0186993, 0.0183126, 0.0182906, 0.0170968", \ + "0.0245231, 0.021624, 0.0217115, 0.0215055, 0.0215473, 0.0213909, 0.0201183", \ + "0.0341183, 0.0291662, 0.0287666, 0.0280699, 0.028269, 0.028108, 0.027746" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0125478, 0.0132189, 0.0132886, 0.0131817, 0.0129944, 0.0127823, 0.0119506", \ + "0.012483, 0.0130095, 0.013195, 0.0131851, 0.0133841, 0.0125852, 0.01203", \ + "0.0129285, 0.0129877, 0.0130837, 0.0133993, 0.0129982, 0.0127826, 0.0118548", \ + "0.0141125, 0.0134763, 0.0135861, 0.0133145, 0.0134966, 0.0133679, 0.0131277", \ + "0.0167485, 0.0150689, 0.0150482, 0.0150249, 0.014609, 0.0140331, 0.0143865", \ + "0.0222464, 0.0188277, 0.0185605, 0.018478, 0.0184857, 0.0183099, 0.0168573", \ + "0.0324959, 0.0272783, 0.0264701, 0.0258311, 0.0256867, 0.0255683, 0.0254251" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0023547; + rise_capacitance : 0.00237521; + rise_capacitance_range (0.00237521, 0.00237521); + fall_capacitance : 0.0023342; + fall_capacitance_range (0.0023342, 0.0023342); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000735318, -0.000743017, -0.000747643, -0.000753862, -0.000772556, -0.00080464, -0.000830052" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000735318, 0.000743017, 0.000747643, 0.000753862, 0.000772556, 0.00080464, 0.000830052" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00248161; + rise_capacitance : 0.00253656; + rise_capacitance_range (0.00253656, 0.00253656); + fall_capacitance : 0.00242667; + fall_capacitance_range (0.00242667, 0.00242667); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00251381; + rise_capacitance : 0.00259165; + rise_capacitance_range (0.00259165, 0.00259165); + fall_capacitance : 0.00243598; + fall_capacitance_range (0.00243598, 0.00243598); + } + } + cell (sg13g2_and4_1) { + area : 14.5152; + cell_footprint : "AND4"; + cell_leakage_power : 969.921; + leakage_power () { + value : 829.571; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 843.176; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 831.877; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 865.697; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 824.352; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 848.466; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 831.036; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 991.166; + when : "!A&B&C&D"; + } + leakage_power () { + value : 825.816; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 847.786; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 830.861; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 958.878; + when : "A&!B&C&D"; + } + leakage_power () { + value : 830.648; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 939.11; + when : "A&B&!C&D"; + } + leakage_power () { + value : 920.597; + when : "A&B&C&!D"; + } + leakage_power () { + value : 2499.7; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.112217, 0.199494, 0.247431, 0.324578, 0.452308, 0.664334, 1.01772", \ + "0.138928, 0.226317, 0.274633, 0.351689, 0.479247, 0.691391, 1.04501", \ + "0.160061, 0.248573, 0.297129, 0.374361, 0.50194, 0.713962, 1.06833", \ + "0.193119, 0.283343, 0.332061, 0.409143, 0.537399, 0.7493, 1.10248", \ + "0.238705, 0.334122, 0.382969, 0.460596, 0.588141, 0.80025, 1.15373", \ + "0.306971, 0.41452, 0.464407, 0.542273, 0.670188, 0.882349, 1.23557", \ + "0.402871, 0.528486, 0.58228, 0.663517, 0.79402, 1.00886, 1.363" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0322573, 0.124159, 0.188219, 0.296976, 0.481869, 0.792536, 1.31085", \ + "0.0332892, 0.124665, 0.188491, 0.297026, 0.482656, 0.792537, 1.31134", \ + "0.0354865, 0.126204, 0.189702, 0.297773, 0.482657, 0.794867, 1.31276", \ + "0.0401276, 0.128519, 0.191672, 0.299522, 0.483824, 0.794868, 1.3155", \ + "0.0488835, 0.133177, 0.194528, 0.301329, 0.485371, 0.794874, 1.31551", \ + "0.061756, 0.145147, 0.202642, 0.306284, 0.48788, 0.796743, 1.31552", \ + "0.081489, 0.169784, 0.223256, 0.322242, 0.499659, 0.804723, 1.31903" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0641696, 0.129108, 0.16916, 0.235027, 0.345195, 0.528475, 0.833725", \ + "0.095647, 0.16178, 0.201992, 0.26779, 0.377892, 0.56097, 0.866106", \ + "0.116172, 0.184097, 0.224129, 0.290469, 0.400418, 0.583819, 0.890007", \ + "0.14382, 0.215326, 0.255309, 0.321196, 0.431081, 0.614189, 0.919841", \ + "0.177321, 0.25759, 0.298741, 0.363965, 0.473519, 0.656722, 0.961756", \ + "0.219344, 0.311828, 0.354303, 0.4212, 0.528338, 0.710929, 1.01506", \ + "0.255842, 0.366238, 0.414992, 0.485088, 0.596387, 0.780866, 1.08532" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0181602, 0.0906181, 0.142969, 0.230261, 0.377393, 0.621823, 1.02978", \ + "0.020513, 0.0913338, 0.143233, 0.230379, 0.377677, 0.621884, 1.03059", \ + "0.0238558, 0.0928224, 0.144128, 0.230883, 0.377678, 0.621971, 1.03138", \ + "0.0296614, 0.0957702, 0.146017, 0.232115, 0.377949, 0.622237, 1.03139", \ + "0.0389499, 0.102915, 0.150753, 0.234244, 0.379153, 0.623089, 1.0314", \ + "0.052418, 0.11612, 0.159884, 0.240541, 0.382702, 0.625146, 1.03194", \ + "0.073499, 0.142662, 0.183352, 0.258644, 0.395692, 0.634449, 1.03816" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.125229, 0.212226, 0.260509, 0.337477, 0.465031, 0.67704, 1.02987", \ + "0.149732, 0.237238, 0.285547, 0.362532, 0.490202, 0.701941, 1.05537", \ + "0.168883, 0.257978, 0.306522, 0.383604, 0.511337, 0.723587, 1.0773", \ + "0.198916, 0.290263, 0.339228, 0.416687, 0.544641, 0.756778, 1.10989", \ + "0.242452, 0.339992, 0.38882, 0.466403, 0.594584, 0.807112, 1.16043", \ + "0.307583, 0.415865, 0.467492, 0.546321, 0.674253, 0.88665, 1.24025", \ + "0.400281, 0.526034, 0.58546, 0.666836, 0.797047, 1.01169, 1.36794" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0322971, 0.124307, 0.188213, 0.296878, 0.481927, 0.79246, 1.31109", \ + "0.0331297, 0.124472, 0.188262, 0.296987, 0.481942, 0.792461, 1.3111", \ + "0.0349606, 0.126051, 0.189372, 0.297584, 0.482231, 0.793136, 1.31134", \ + "0.0387832, 0.128603, 0.191487, 0.299108, 0.483452, 0.793352, 1.31135", \ + "0.0467817, 0.133894, 0.194889, 0.301282, 0.484848, 0.794481, 1.31186", \ + "0.058829, 0.145279, 0.203878, 0.307161, 0.487773, 0.795861, 1.31351", \ + "0.076625, 0.169547, 0.224573, 0.323921, 0.500113, 0.804026, 1.31828" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0697858, 0.135349, 0.175552, 0.241604, 0.351667, 0.534983, 0.840125", \ + "0.102876, 0.169352, 0.20969, 0.275727, 0.38581, 0.569334, 0.874629", \ + "0.125458, 0.193745, 0.234227, 0.300394, 0.410527, 0.593927, 0.899626", \ + "0.156509, 0.228008, 0.268418, 0.334585, 0.444676, 0.628191, 0.933266", \ + "0.195517, 0.27482, 0.31597, 0.381292, 0.491645, 0.675237, 0.980449", \ + "0.245966, 0.336007, 0.37822, 0.44508, 0.554668, 0.73825, 1.04356", \ + "0.295672, 0.402303, 0.449102, 0.518209, 0.629712, 0.814026, 1.11829" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0188662, 0.0910788, 0.143311, 0.230612, 0.377627, 0.622569, 1.0299", \ + "0.0207698, 0.0916942, 0.143649, 0.230879, 0.377628, 0.62257, 1.03015", \ + "0.0237695, 0.0929625, 0.144405, 0.231061, 0.377732, 0.622571, 1.03061", \ + "0.0292693, 0.0957954, 0.146127, 0.232253, 0.378172, 0.622862, 1.03062", \ + "0.0382052, 0.102222, 0.150267, 0.233994, 0.37918, 0.623319, 1.03063", \ + "0.050397, 0.113472, 0.158164, 0.239334, 0.381804, 0.62502, 1.03212", \ + "0.069694, 0.136337, 0.177432, 0.253791, 0.391635, 0.632084, 1.03693" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.13301, 0.22034, 0.268193, 0.345044, 0.472657, 0.684587, 1.03766", \ + "0.155529, 0.242953, 0.291131, 0.368214, 0.495734, 0.707871, 1.06087", \ + "0.171975, 0.26094, 0.309294, 0.386449, 0.514055, 0.726283, 1.0795", \ + "0.197968, 0.289695, 0.338236, 0.415793, 0.543624, 0.755745, 1.10872", \ + "0.234885, 0.332144, 0.381678, 0.459612, 0.587514, 0.799949, 1.15343", \ + "0.289029, 0.398377, 0.450408, 0.531054, 0.658858, 0.871131, 1.22405", \ + "0.367518, 0.497166, 0.551923, 0.636628, 0.769575, 0.983955, 1.33915" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0322419, 0.124413, 0.18825, 0.296912, 0.481878, 0.79243, 1.31062", \ + "0.0328613, 0.124414, 0.188275, 0.297721, 0.482211, 0.792466, 1.31106", \ + "0.0343169, 0.125677, 0.189058, 0.297722, 0.482212, 0.792769, 1.31167", \ + "0.0373985, 0.128437, 0.191161, 0.29881, 0.483123, 0.79297, 1.31376", \ + "0.0444555, 0.133427, 0.194898, 0.30106, 0.484451, 0.794016, 1.31377", \ + "0.056117, 0.14553, 0.204536, 0.308507, 0.488012, 0.79554, 1.31378", \ + "0.072981, 0.169419, 0.226412, 0.325686, 0.502454, 0.805013, 1.31839" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0737592, 0.140318, 0.180832, 0.246966, 0.357338, 0.540573, 0.846124", \ + "0.108032, 0.175225, 0.21578, 0.281929, 0.392268, 0.575626, 0.88114", \ + "0.132334, 0.201139, 0.241788, 0.308117, 0.418465, 0.60202, 0.907454", \ + "0.166407, 0.238265, 0.278502, 0.344823, 0.455315, 0.638473, 0.943908", \ + "0.210325, 0.288786, 0.330222, 0.395452, 0.50567, 0.68904, 0.9943", \ + "0.268037, 0.356718, 0.398907, 0.466112, 0.575669, 0.758673, 1.06396", \ + "0.328806, 0.433447, 0.479804, 0.548742, 0.659873, 0.84413, 1.14938" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0196984, 0.0919074, 0.143949, 0.231155, 0.378063, 0.622408, 1.03029", \ + "0.0212755, 0.0923857, 0.144246, 0.231226, 0.378753, 0.622446, 1.03054", \ + "0.0240977, 0.0934824, 0.144778, 0.231539, 0.378754, 0.622613, 1.03105", \ + "0.0291196, 0.096357, 0.146446, 0.232533, 0.378755, 0.622716, 1.03106", \ + "0.0378981, 0.101867, 0.150462, 0.234296, 0.379743, 0.623995, 1.03107", \ + "0.04947, 0.11221, 0.157337, 0.239274, 0.382025, 0.625789, 1.03252", \ + "0.06795, 0.133434, 0.175206, 0.252454, 0.391105, 0.631758, 1.03642" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.137345, 0.224765, 0.272685, 0.349335, 0.477129, 0.688999, 1.04215", \ + "0.159336, 0.246778, 0.29479, 0.371808, 0.499411, 0.71152, 1.06428", \ + "0.17441, 0.262881, 0.311107, 0.388332, 0.515722, 0.727881, 1.0814", \ + "0.196894, 0.287703, 0.336584, 0.413712, 0.541552, 0.753608, 1.10668", \ + "0.227174, 0.323484, 0.373064, 0.451018, 0.578873, 0.791223, 1.1444", \ + "0.268021, 0.376744, 0.429551, 0.508667, 0.637051, 0.849971, 1.20325", \ + "0.329791, 0.454691, 0.511883, 0.596649, 0.72995, 0.946364, 1.30043" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0321565, 0.124384, 0.188178, 0.296962, 0.481969, 0.792522, 1.31084", \ + "0.0326223, 0.124385, 0.188275, 0.297852, 0.482093, 0.792523, 1.3113", \ + "0.033758, 0.125387, 0.18888, 0.297853, 0.4822, 0.796617, 1.31131", \ + "0.0361104, 0.127464, 0.190627, 0.298448, 0.482714, 0.796618, 1.31361", \ + "0.0415532, 0.132404, 0.194204, 0.300582, 0.484172, 0.796619, 1.31362", \ + "0.052048, 0.144994, 0.205202, 0.307721, 0.48773, 0.79662, 1.31363", \ + "0.067894, 0.167132, 0.225869, 0.327013, 0.504218, 0.806533, 1.31883" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0765772, 0.144486, 0.18525, 0.251793, 0.362344, 0.545965, 0.851467", \ + "0.112014, 0.180254, 0.221068, 0.287579, 0.398154, 0.581691, 0.887286", \ + "0.138095, 0.207638, 0.248497, 0.315182, 0.425777, 0.609452, 0.91492", \ + "0.174926, 0.247009, 0.28771, 0.353977, 0.464653, 0.648142, 0.953641", \ + "0.223356, 0.302029, 0.343493, 0.409224, 0.519948, 0.703025, 1.0087", \ + "0.287387, 0.375632, 0.419094, 0.485676, 0.595989, 0.779336, 1.08373", \ + "0.365057, 0.468617, 0.51492, 0.583356, 0.693797, 0.878245, 1.18378" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0206548, 0.0930812, 0.145106, 0.232313, 0.378568, 0.623548, 1.03071", \ + "0.021942, 0.0933637, 0.145134, 0.232314, 0.378892, 0.623549, 1.03098", \ + "0.0244929, 0.0943455, 0.14577, 0.232374, 0.378893, 0.623679, 1.03142", \ + "0.0292783, 0.0969646, 0.147336, 0.233388, 0.379406, 0.62368, 1.03151", \ + "0.0378113, 0.102152, 0.150841, 0.235069, 0.380215, 0.624345, 1.03176", \ + "0.049224, 0.112032, 0.157384, 0.239272, 0.383007, 0.626203, 1.03263", \ + "0.066045, 0.130818, 0.173838, 0.251182, 0.391034, 0.631991, 1.0368" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0106845, 0.0109243, 0.0109442, 0.0109437, 0.0108953, 0.0106252, 0.0107343", \ + "0.0105031, 0.0106737, 0.0106894, 0.0106665, 0.0106723, 0.0103743, 0.0104169", \ + "0.0107452, 0.0106928, 0.0107929, 0.0107699, 0.0107299, 0.0106935, 0.0104285", \ + "0.0114883, 0.0112928, 0.0112613, 0.0111834, 0.0112343, 0.0110162, 0.0110256", \ + "0.0131223, 0.0125676, 0.0125762, 0.0126087, 0.0123333, 0.0122272, 0.011557", \ + "0.0168791, 0.0158711, 0.0157789, 0.0157345, 0.0157335, 0.0154589, 0.0148915", \ + "0.024977, 0.0232075, 0.0228281, 0.0225621, 0.0225069, 0.0224724, 0.022368" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00694968, 0.00747272, 0.0074856, 0.00742377, 0.00737999, 0.00716816, 0.00677583", \ + "0.00671193, 0.00713335, 0.00723418, 0.00718602, 0.00713138, 0.00687709, 0.0065412", \ + "0.00690593, 0.00718837, 0.0071586, 0.00737411, 0.00719502, 0.00697248, 0.00680903", \ + "0.00754244, 0.00762971, 0.00767981, 0.00753415, 0.00751855, 0.0071836, 0.00689923", \ + "0.00930059, 0.00912169, 0.00921709, 0.00911319, 0.00907261, 0.00869625, 0.00935589", \ + "0.0133431, 0.0125641, 0.0123994, 0.0124948, 0.0122355, 0.0121475, 0.0114555", \ + "0.0215851, 0.0199389, 0.0197947, 0.0194859, 0.0192435, 0.0191973, 0.0190765" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0128213, 0.0130222, 0.0130648, 0.0130759, 0.012927, 0.0127187, 0.0126255", \ + "0.0125799, 0.0127816, 0.0128062, 0.0127762, 0.0127083, 0.0124367, 0.0122019", \ + "0.0126786, 0.0126785, 0.0127921, 0.0127647, 0.0127604, 0.0124767, 0.012258", \ + "0.0130769, 0.0129563, 0.0129065, 0.012879, 0.013156, 0.0127674, 0.0125761", \ + "0.0143836, 0.0139739, 0.0139528, 0.0139207, 0.0137266, 0.0137216, 0.0133728", \ + "0.0177434, 0.0168161, 0.0167973, 0.0167782, 0.0167319, 0.0165798, 0.0160027", \ + "0.0252128, 0.023607, 0.0234579, 0.0231796, 0.0230865, 0.0230572, 0.0230124" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00737058, 0.0078476, 0.00787761, 0.00785948, 0.00777356, 0.00759372, 0.0071499", \ + "0.00712065, 0.00752183, 0.00764461, 0.00758455, 0.00747144, 0.00731873, 0.00693093", \ + "0.00728557, 0.00754523, 0.00758822, 0.00774903, 0.00764063, 0.00739876, 0.00707291", \ + "0.00798287, 0.0079831, 0.00806305, 0.00794433, 0.00795987, 0.00779086, 0.00737808", \ + "0.00964635, 0.00943035, 0.00945905, 0.0093428, 0.00942675, 0.00908452, 0.00943415", \ + "0.013609, 0.0128508, 0.0127594, 0.0128434, 0.0127743, 0.0127616, 0.0122181", \ + "0.0214316, 0.0199249, 0.0197586, 0.0194416, 0.0192842, 0.0193276, 0.0192588" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0138659, 0.0141037, 0.0140849, 0.0140683, 0.0139597, 0.0136679, 0.0136167", \ + "0.0135948, 0.0138377, 0.0138178, 0.0138947, 0.0137784, 0.0134893, 0.0134284", \ + "0.0136283, 0.0136868, 0.013776, 0.0138245, 0.0137949, 0.0134477, 0.0131232", \ + "0.0138808, 0.0138647, 0.0137905, 0.0137921, 0.0138357, 0.0136241, 0.0133975", \ + "0.0149224, 0.0145698, 0.0145997, 0.0146239, 0.0144007, 0.0144176, 0.0138326", \ + "0.0180761, 0.0172736, 0.0172675, 0.017397, 0.017326, 0.0172077, 0.0165698", \ + "0.0256294, 0.0242069, 0.0240411, 0.0237822, 0.0238871, 0.0238226, 0.0238607" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00817368, 0.00862464, 0.00865812, 0.00861816, 0.00857705, 0.00831246, 0.0079507", \ + "0.00795061, 0.00832951, 0.0084631, 0.0083676, 0.00841799, 0.00809146, 0.00769167", \ + "0.0081168, 0.00834636, 0.00839098, 0.00856072, 0.00848007, 0.00817858, 0.00785303", \ + "0.00881934, 0.00882905, 0.00881867, 0.00873857, 0.00878289, 0.00840827, 0.00809028", \ + "0.0104938, 0.0102411, 0.0102848, 0.0101696, 0.010117, 0.00973268, 0.0103176", \ + "0.0145557, 0.013699, 0.0135846, 0.0137071, 0.0136078, 0.013471, 0.013035", \ + "0.022505, 0.0209243, 0.0207371, 0.0204557, 0.0202862, 0.0201819, 0.0202104" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0138831, 0.0141114, 0.0141168, 0.0140394, 0.0139828, 0.013691, 0.013594", \ + "0.0136199, 0.0139169, 0.0138583, 0.0139373, 0.0137741, 0.0135237, 0.0131915", \ + "0.0136398, 0.0137153, 0.0138094, 0.0138391, 0.013672, 0.0138867, 0.0132236", \ + "0.0137597, 0.013803, 0.0137822, 0.0137581, 0.0139114, 0.013569, 0.0133439", \ + "0.0145564, 0.014335, 0.0143971, 0.0144093, 0.0142221, 0.0141746, 0.0136427", \ + "0.01741, 0.0168657, 0.0169526, 0.0168608, 0.0168473, 0.0168694, 0.0162353", \ + "0.0249062, 0.0237179, 0.0235793, 0.0233136, 0.0233503, 0.023353, 0.0231561" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00871906, 0.00912825, 0.00916118, 0.00913895, 0.00904685, 0.00888055, 0.00848659", \ + "0.00862709, 0.008979, 0.00908158, 0.00902359, 0.00899358, 0.00874441, 0.00830059", \ + "0.00884273, 0.00902438, 0.0090593, 0.00923356, 0.00924436, 0.00885376, 0.00849487", \ + "0.00946986, 0.0094697, 0.00949135, 0.0093231, 0.0094467, 0.00918557, 0.00892415", \ + "0.0112299, 0.0109922, 0.011018, 0.0109348, 0.010918, 0.0105006, 0.011266", \ + "0.01534, 0.0144588, 0.0143889, 0.0143942, 0.0144009, 0.0141775, 0.0132665", \ + "0.023449, 0.0219633, 0.0218562, 0.0214916, 0.0212767, 0.0212267, 0.0211784" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00224666; + rise_capacitance : 0.00232045; + rise_capacitance_range (0.00232045, 0.00232045); + fall_capacitance : 0.00217286; + fall_capacitance_range (0.00217286, 0.00217286); + internal_power () { + when : "(B * C * !D) + (B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000280008, -0.00027022, -0.000264568, -0.000263805, -0.000269481, -0.000265731, -0.000267307" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000891039, 0.000896728, 0.000889624, 0.000894354, 0.000895912, 0.000896118, 0.000896442" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000280008, -0.00027022, -0.000264568, -0.000263805, -0.000269481, -0.000265731, -0.000267307" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000891039, 0.000896728, 0.000889624, 0.000894354, 0.000895912, 0.000896118, 0.000896442" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00225997; + rise_capacitance : 0.00235256; + rise_capacitance_range (0.00235256, 0.00235256); + fall_capacitance : 0.00216739; + fall_capacitance_range (0.00216739, 0.00216739); + internal_power () { + when : "(A * C * !D) + (A * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000570662, -0.000567201, -0.000567273, -0.000567509, -0.000566012, -0.000564419, -0.000564948" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000599232, 0.000612959, 0.000607254, 0.000611113, 0.000615354, 0.000617195, 0.000616848" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000570662, -0.000567201, -0.000567273, -0.000567509, -0.000566012, -0.000564419, -0.000564948" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000599232, 0.000612959, 0.000607254, 0.000611113, 0.000615354, 0.000617195, 0.000616848" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00256461; + rise_capacitance : 0.00263225; + rise_capacitance_range (0.00263225, 0.00263225); + fall_capacitance : 0.00249697; + fall_capacitance_range (0.00249697, 0.00249697); + internal_power () { + when : "(A * !B * D) + (!A * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00253342; + rise_capacitance : 0.00261426; + rise_capacitance_range (0.00261426, 0.00261426); + fall_capacitance : 0.00245257; + fall_capacitance_range (0.00245257, 0.00245257); + internal_power () { + when : "(A * !B * C) + (!A * C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00151188, 0.00150201, 0.00149272, 0.00150465, 0.00152374, 0.00149551, 0.00151454" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000229899, -0.000305477, -0.000326397, -0.000335462, -0.000343986, -0.000350976, -0.000357274" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00151188, 0.00150201, 0.00149272, 0.00150465, 0.00152374, 0.00149551, 0.00151454" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000229899, -0.000305477, -0.000326397, -0.000335462, -0.000343986, -0.000350976, -0.000357274" \ + ); + } + } + } + } + cell (sg13g2_and4_2) { + area : 16.3296; + cell_footprint : "AND4"; + cell_leakage_power : 1695.98; + leakage_power () { + value : 1590.33; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 1603.94; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 1592.64; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 1626.46; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 1585.12; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 1609.23; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 1591.8; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 1751.93; + when : "!A&B&C&D"; + } + leakage_power () { + value : 1586.58; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 1608.55; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 1591.62; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 1719.64; + when : "A&!B&C&D"; + } + leakage_power () { + value : 1591.41; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 1699.88; + when : "A&B&!C&D"; + } + leakage_power () { + value : 1681.36; + when : "A&B&C&!D"; + } + leakage_power () { + value : 2705.25; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.139279, 0.241587, 0.291698, 0.370033, 0.498515, 0.710563, 1.06358", \ + "0.167794, 0.270141, 0.320408, 0.398891, 0.527151, 0.739638, 1.09274", \ + "0.192784, 0.295792, 0.346606, 0.425102, 0.553512, 0.766106, 1.12328", \ + "0.232157, 0.337563, 0.388638, 0.467222, 0.59571, 0.808316, 1.16206", \ + "0.288406, 0.398452, 0.449177, 0.527895, 0.656254, 0.868135, 1.22118", \ + "0.369197, 0.494461, 0.545609, 0.625265, 0.752769, 0.962096, 1.3155", \ + "0.489642, 0.628395, 0.686435, 0.769181, 0.899854, 1.10942, 1.46211" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0378172, 0.135111, 0.197286, 0.304224, 0.487361, 0.797075, 1.31547", \ + "0.0386136, 0.135112, 0.197438, 0.304282, 0.487469, 0.797307, 1.31552", \ + "0.0414947, 0.136106, 0.198647, 0.304918, 0.487873, 0.79797, 1.31945", \ + "0.04752, 0.139615, 0.201405, 0.307016, 0.489116, 0.797971, 1.32152", \ + "0.0583009, 0.146437, 0.206132, 0.309978, 0.491437, 0.799573, 1.32153", \ + "0.076642, 0.161985, 0.216578, 0.3169, 0.494798, 0.801832, 1.32154", \ + "0.1039, 0.191973, 0.243479, 0.335913, 0.507983, 0.808852, 1.32323" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0751409, 0.148991, 0.189664, 0.255899, 0.36619, 0.549568, 0.855388", \ + "0.110149, 0.184812, 0.225592, 0.291842, 0.402071, 0.58537, 0.890839", \ + "0.135188, 0.212184, 0.253163, 0.319457, 0.429707, 0.612981, 0.918649", \ + "0.170216, 0.251457, 0.292601, 0.358008, 0.468081, 0.651356, 0.956633", \ + "0.214951, 0.305241, 0.347358, 0.412971, 0.522556, 0.705356, 1.01045", \ + "0.272526, 0.375155, 0.419092, 0.486057, 0.595359, 0.776176, 1.07959", \ + "0.330706, 0.452694, 0.502446, 0.573762, 0.684829, 0.866966, 1.17118" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0201929, 0.0942958, 0.145698, 0.232714, 0.379105, 0.624171, 1.03321", \ + "0.0222272, 0.0948977, 0.146027, 0.232771, 0.379618, 0.624172, 1.03322", \ + "0.0259462, 0.0967353, 0.14701, 0.233224, 0.379619, 0.624718, 1.0336", \ + "0.0325369, 0.100985, 0.149952, 0.234737, 0.380237, 0.624719, 1.03361", \ + "0.044685, 0.109928, 0.156417, 0.238205, 0.381801, 0.625696, 1.03362", \ + "0.061536, 0.126176, 0.168967, 0.246497, 0.386703, 0.627792, 1.03461", \ + "0.089377, 0.158396, 0.196973, 0.268646, 0.400711, 0.636732, 1.04027" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.15212, 0.253921, 0.304461, 0.382964, 0.510682, 0.723301, 1.0758", \ + "0.178144, 0.280397, 0.330794, 0.409226, 0.537533, 0.750302, 1.10317", \ + "0.200101, 0.303512, 0.354064, 0.432653, 0.561074, 0.77362, 1.12692", \ + "0.235892, 0.34183, 0.393047, 0.471785, 0.600371, 0.812813, 1.16618", \ + "0.289486, 0.400464, 0.452671, 0.530735, 0.659367, 0.872337, 1.22523", \ + "0.367951, 0.491303, 0.544926, 0.624871, 0.753525, 0.964778, 1.31848", \ + "0.48417, 0.622369, 0.681013, 0.765276, 0.896603, 1.10938, 1.46266" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0378301, 0.134544, 0.197519, 0.304192, 0.487466, 0.797002, 1.31538", \ + "0.038453, 0.134779, 0.197543, 0.304302, 0.487467, 0.797445, 1.31562", \ + "0.0404971, 0.135951, 0.198335, 0.304935, 0.487889, 0.797446, 1.31587", \ + "0.0448937, 0.13904, 0.20113, 0.306798, 0.48878, 0.797701, 1.31588", \ + "0.0539586, 0.145928, 0.20662, 0.310035, 0.490805, 0.799447, 1.31625", \ + "0.070293, 0.160142, 0.216237, 0.316981, 0.494872, 0.801262, 1.31814", \ + "0.094677, 0.187418, 0.240679, 0.336028, 0.507991, 0.808525, 1.32243" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0806145, 0.155091, 0.195961, 0.262193, 0.372616, 0.55614, 0.861645", \ + "0.116498, 0.191762, 0.232603, 0.298965, 0.409299, 0.592694, 0.898784", \ + "0.143266, 0.220457, 0.261428, 0.327896, 0.43806, 0.621655, 0.927093", \ + "0.180827, 0.262228, 0.303558, 0.369763, 0.47987, 0.663296, 0.968715", \ + "0.230673, 0.320184, 0.362189, 0.428546, 0.538356, 0.721658, 1.02706", \ + "0.294661, 0.396153, 0.439915, 0.506498, 0.616416, 0.799412, 1.10406", \ + "0.36387, 0.483862, 0.532513, 0.602835, 0.714104, 0.896377, 1.19986" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0208901, 0.0948234, 0.146241, 0.232855, 0.379639, 0.624363, 1.03278", \ + "0.0225605, 0.0952954, 0.146412, 0.232967, 0.37964, 0.62438, 1.03394", \ + "0.0260245, 0.0969752, 0.147422, 0.233329, 0.379831, 0.625283, 1.03395", \ + "0.0322989, 0.100937, 0.150056, 0.234772, 0.380204, 0.625284, 1.03396", \ + "0.0438583, 0.109026, 0.156042, 0.237972, 0.38209, 0.625632, 1.03397", \ + "0.059623, 0.124599, 0.167106, 0.24505, 0.385771, 0.628313, 1.03462", \ + "0.085503, 0.153154, 0.191621, 0.263359, 0.397748, 0.634458, 1.03943" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.159872, 0.262187, 0.312149, 0.390708, 0.518399, 0.730674, 1.08382", \ + "0.183425, 0.285557, 0.33582, 0.414558, 0.54271, 0.754997, 1.10812", \ + "0.201797, 0.304942, 0.355567, 0.434132, 0.562713, 0.774946, 1.12822", \ + "0.232112, 0.3377, 0.389035, 0.467803, 0.596402, 0.808666, 1.16206", \ + "0.27735, 0.388012, 0.439931, 0.518959, 0.647467, 0.860233, 1.21356", \ + "0.344286, 0.465775, 0.519786, 0.601605, 0.730417, 0.942553, 1.29578", \ + "0.44316, 0.580005, 0.642307, 0.726884, 0.860652, 1.07476, 1.42854" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0378631, 0.134969, 0.197308, 0.304165, 0.487452, 0.797195, 1.31541", \ + "0.0382505, 0.13497, 0.197309, 0.304166, 0.487531, 0.797196, 1.31542", \ + "0.0398203, 0.135563, 0.198134, 0.304638, 0.487827, 0.797197, 1.3158", \ + "0.0431107, 0.138495, 0.200692, 0.306463, 0.488538, 0.797632, 1.31618", \ + "0.0505686, 0.144646, 0.205824, 0.309669, 0.490642, 0.798818, 1.31619", \ + "0.065395, 0.158717, 0.216035, 0.317923, 0.495127, 0.800991, 1.3177", \ + "0.088171, 0.185055, 0.240818, 0.336556, 0.50975, 0.810364, 1.32242" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0847147, 0.160217, 0.201169, 0.267596, 0.378098, 0.561858, 0.867537", \ + "0.121296, 0.197195, 0.238308, 0.304761, 0.415223, 0.598843, 0.905032", \ + "0.149354, 0.227049, 0.268209, 0.334689, 0.445213, 0.628755, 0.93433", \ + "0.189672, 0.271471, 0.312525, 0.379089, 0.489249, 0.67285, 0.978447", \ + "0.243685, 0.333032, 0.374836, 0.441386, 0.551174, 0.734471, 1.03995", \ + "0.313112, 0.414045, 0.457968, 0.525073, 0.635028, 0.817661, 1.12256", \ + "0.395073, 0.512551, 0.560085, 0.629192, 0.740925, 0.923525, 1.22826" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0217188, 0.0957084, 0.146803, 0.233412, 0.379869, 0.624663, 1.03308", \ + "0.0230436, 0.0959721, 0.146974, 0.233515, 0.380201, 0.624785, 1.03358", \ + "0.0262406, 0.0973876, 0.147979, 0.233993, 0.380202, 0.626109, 1.03359", \ + "0.0322784, 0.101465, 0.150339, 0.235224, 0.380855, 0.62611, 1.03656", \ + "0.043441, 0.108859, 0.155702, 0.238218, 0.382411, 0.626165, 1.03657", \ + "0.058582, 0.123156, 0.166081, 0.245194, 0.385872, 0.628352, 1.03658", \ + "0.0828, 0.150122, 0.189593, 0.26226, 0.397138, 0.634823, 1.03954" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.164389, 0.266216, 0.316577, 0.394778, 0.522703, 0.735059, 1.08819", \ + "0.186934, 0.28903, 0.339549, 0.418091, 0.546332, 0.758663, 1.11182", \ + "0.20335, 0.306246, 0.3567, 0.435233, 0.563655, 0.776071, 1.1293", \ + "0.228765, 0.333836, 0.385256, 0.463713, 0.59221, 0.804659, 1.15818", \ + "0.26547, 0.375108, 0.427325, 0.50645, 0.635212, 0.847546, 1.2011", \ + "0.31759, 0.437853, 0.492219, 0.575026, 0.703287, 0.915995, 1.26935", \ + "0.395321, 0.531614, 0.591581, 0.67906, 0.811952, 1.0268, 1.38153" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0378819, 0.134406, 0.197526, 0.304294, 0.487314, 0.797056, 1.31525", \ + "0.0380859, 0.134431, 0.197527, 0.304295, 0.487691, 0.797109, 1.31544", \ + "0.0393033, 0.135397, 0.197929, 0.304517, 0.487697, 0.797202, 1.3158", \ + "0.0417669, 0.137722, 0.200142, 0.305915, 0.488232, 0.797317, 1.31664", \ + "0.0475557, 0.143416, 0.20487, 0.309052, 0.490172, 0.798344, 1.31665", \ + "0.060257, 0.156087, 0.214856, 0.317746, 0.494802, 0.800461, 1.31723", \ + "0.080705, 0.18035, 0.238139, 0.338293, 0.51098, 0.810902, 1.32289" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0878468, 0.164383, 0.205925, 0.272518, 0.383278, 0.567196, 0.872976", \ + "0.125113, 0.202078, 0.243413, 0.31022, 0.420928, 0.604701, 0.910406", \ + "0.154505, 0.23295, 0.274478, 0.34116, 0.451818, 0.63566, 0.941413", \ + "0.197196, 0.279421, 0.321066, 0.387734, 0.498279, 0.68197, 0.988006", \ + "0.255193, 0.34446, 0.386614, 0.452651, 0.562817, 0.746037, 1.0516", \ + "0.330688, 0.431266, 0.474476, 0.541793, 0.651255, 0.834097, 1.13957", \ + "0.422969, 0.539796, 0.587898, 0.656659, 0.767396, 0.952805, 1.25787" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0226261, 0.0966307, 0.148115, 0.234347, 0.380708, 0.625357, 1.03356", \ + "0.0237119, 0.0968581, 0.148116, 0.234423, 0.380709, 0.625654, 1.03363", \ + "0.0266348, 0.098189, 0.148759, 0.234784, 0.38076, 0.626515, 1.03443", \ + "0.0325865, 0.10191, 0.150985, 0.235836, 0.381422, 0.626516, 1.03565", \ + "0.0433449, 0.109065, 0.156244, 0.239011, 0.38296, 0.626846, 1.03566", \ + "0.058059, 0.122547, 0.166073, 0.245477, 0.386567, 0.628836, 1.03567", \ + "0.081384, 0.148352, 0.188188, 0.261622, 0.397016, 0.634655, 1.03992" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0166088, 0.0160303, 0.0160413, 0.0159959, 0.0158466, 0.0151883, 0.0149291", \ + "0.0164993, 0.0159927, 0.0158597, 0.0158434, 0.0156779, 0.0153543, 0.0152715", \ + "0.016959, 0.0157173, 0.0159377, 0.0157526, 0.0163683, 0.015347, 0.0158625", \ + "0.0181932, 0.0164824, 0.0163989, 0.0162362, 0.016291, 0.0158608, 0.0161016", \ + "0.0207036, 0.0178173, 0.01754, 0.0174143, 0.0169451, 0.0166802, 0.0158383", \ + "0.025899, 0.0213242, 0.0207726, 0.0206756, 0.0205337, 0.0194579, 0.018447", \ + "0.0360779, 0.0289274, 0.0283402, 0.0275275, 0.0274427, 0.0269864, 0.0268063" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0116249, 0.0125432, 0.0125978, 0.0125338, 0.0123603, 0.0119762, 0.0112119", \ + "0.0114997, 0.0121798, 0.0125941, 0.0123673, 0.0122138, 0.0116933, 0.011036", \ + "0.01192, 0.012098, 0.0122065, 0.0125839, 0.0124615, 0.0118442, 0.0111457", \ + "0.0130286, 0.0124409, 0.0125554, 0.0121077, 0.0122097, 0.011769, 0.0108641", \ + "0.0155566, 0.013878, 0.014025, 0.0138638, 0.0136344, 0.0129192, 0.0124573", \ + "0.0209342, 0.0174374, 0.0174894, 0.0173344, 0.0172356, 0.0164303, 0.0147177", \ + "0.0312402, 0.0253302, 0.024749, 0.024251, 0.0238193, 0.0230675, 0.0232875" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0187397, 0.0180366, 0.0181253, 0.0182037, 0.017656, 0.0174733, 0.0169101", \ + "0.0185544, 0.0180646, 0.0180911, 0.0179395, 0.017824, 0.0175667, 0.0168108", \ + "0.0188362, 0.0177334, 0.0179348, 0.0178065, 0.0178419, 0.0172165, 0.0171637", \ + "0.0196575, 0.0180896, 0.0180385, 0.0180296, 0.0186177, 0.0181678, 0.0173058", \ + "0.0216172, 0.0192054, 0.0191899, 0.0188628, 0.0185021, 0.018609, 0.0179381", \ + "0.0262484, 0.022178, 0.0218358, 0.0216742, 0.021631, 0.0208952, 0.0197855", \ + "0.0355367, 0.0292277, 0.0285925, 0.0281011, 0.0278843, 0.0276618, 0.0274047" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120906, 0.0128587, 0.0129267, 0.0128517, 0.0127126, 0.0123502, 0.0114848", \ + "0.0119443, 0.0125534, 0.0128799, 0.0127912, 0.0124932, 0.0120904, 0.0116228", \ + "0.0123112, 0.0124457, 0.0125215, 0.0127406, 0.0127486, 0.0122026, 0.0113006", \ + "0.013419, 0.0128077, 0.0129529, 0.0127615, 0.0128181, 0.0124944, 0.0114318", \ + "0.0159036, 0.0141847, 0.0142105, 0.0142269, 0.0141317, 0.0135532, 0.0140187", \ + "0.0210738, 0.0179017, 0.017692, 0.0174378, 0.0174383, 0.0174799, 0.0160529", \ + "0.0313068, 0.0254512, 0.0247565, 0.0241698, 0.0240916, 0.023538, 0.0238109" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0197668, 0.0192224, 0.0191965, 0.0192339, 0.0187495, 0.0181872, 0.0181935", \ + "0.0195446, 0.0189183, 0.0189366, 0.0190427, 0.0188238, 0.0183974, 0.0183085", \ + "0.0196971, 0.0187307, 0.0189449, 0.0187742, 0.0197355, 0.0182502, 0.0180658", \ + "0.0203365, 0.0189799, 0.0189806, 0.0189781, 0.019529, 0.0185781, 0.0177932", \ + "0.0219026, 0.019783, 0.0196621, 0.0195475, 0.0191026, 0.0189552, 0.0184242", \ + "0.0260936, 0.0224663, 0.0222327, 0.0222914, 0.0222227, 0.0215501, 0.0205959", \ + "0.0353372, 0.0297204, 0.0292072, 0.0285677, 0.0285774, 0.0287892, 0.028708" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0129026, 0.0136249, 0.0136767, 0.0135956, 0.0134071, 0.0130181, 0.0123373", \ + "0.0127598, 0.0133114, 0.0134926, 0.0137092, 0.0133242, 0.0129265, 0.0123504", \ + "0.0131696, 0.0131942, 0.0133015, 0.0136007, 0.0135637, 0.0132177, 0.0120584", \ + "0.0142449, 0.0137616, 0.0137859, 0.0136397, 0.0137176, 0.013158, 0.0133621", \ + "0.0167869, 0.015074, 0.0149984, 0.0150095, 0.0145541, 0.0140625, 0.0154263", \ + "0.0221546, 0.0186772, 0.0184564, 0.0184108, 0.0183749, 0.0182311, 0.016781", \ + "0.0319616, 0.0264223, 0.0257541, 0.0252198, 0.0250058, 0.0244297, 0.0242041" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0198323, 0.0191532, 0.0191545, 0.0190548, 0.0186864, 0.0181898, 0.0180612", \ + "0.0195435, 0.0190692, 0.019052, 0.0190862, 0.0189398, 0.018394, 0.0182701", \ + "0.0196865, 0.0188037, 0.0189901, 0.018815, 0.0196072, 0.0181787, 0.0176283", \ + "0.0200544, 0.0189492, 0.0189856, 0.0188607, 0.0194791, 0.0190069, 0.0179603", \ + "0.0212744, 0.0194237, 0.0194944, 0.0194122, 0.0190336, 0.0189243, 0.0177386", \ + "0.0249603, 0.0218645, 0.0217541, 0.0219843, 0.0217803, 0.0214832, 0.020395", \ + "0.0340809, 0.0289061, 0.0285834, 0.0284241, 0.0282184, 0.0280157, 0.0281699" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0134359, 0.0140443, 0.0141142, 0.0141069, 0.0139098, 0.0135076, 0.0127738", \ + "0.0134542, 0.0139132, 0.0140767, 0.0143111, 0.0138179, 0.0135911, 0.0127492", \ + "0.013878, 0.0138515, 0.0139593, 0.0143951, 0.0140877, 0.0137012, 0.0128962", \ + "0.0150908, 0.014401, 0.0144452, 0.014238, 0.0144436, 0.0142382, 0.0135687", \ + "0.0174529, 0.015824, 0.0158031, 0.015569, 0.0152019, 0.0145252, 0.0150088", \ + "0.0228059, 0.0194095, 0.0191053, 0.0190176, 0.018703, 0.0184649, 0.0171396", \ + "0.0329061, 0.0274683, 0.0268077, 0.0262154, 0.0260009, 0.0259626, 0.0257343" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00224038; + rise_capacitance : 0.00231691; + rise_capacitance_range (0.00231691, 0.00231691); + fall_capacitance : 0.00216385; + fall_capacitance_range (0.00216385, 0.00216385); + internal_power () { + when : "(B * C * !D) + (B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000277465, -0.000277964, -0.000270807, -0.0002727, -0.000265301, -0.00026805, -0.000267834" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000882268, 0.000895395, 0.000894339, 0.000892201, 0.000889631, 0.000895673, 0.000896408" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000277465, -0.000277964, -0.000270807, -0.0002727, -0.000265301, -0.00026805, -0.000267834" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000882268, 0.000895395, 0.000894339, 0.000892201, 0.000889631, 0.000895673, 0.000896408" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00225632; + rise_capacitance : 0.0023515; + rise_capacitance_range (0.0023515, 0.0023515); + fall_capacitance : 0.00216114; + fall_capacitance_range (0.00216114, 0.00216114); + internal_power () { + when : "(A * C * !D) + (A * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000569259, -0.000567203, -0.000567833, -0.000570313, -0.00056508, -0.000564693, -0.000566023" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000593861, 0.000611299, 0.000607821, 0.000606998, 0.00061488, 0.000616901, 0.000616783" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000569259, -0.000567203, -0.000567833, -0.000570313, -0.00056508, -0.000564693, -0.000566023" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000593861, 0.000611299, 0.000607821, 0.000606998, 0.00061488, 0.000616901, 0.000616783" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00256203; + rise_capacitance : 0.0026316; + rise_capacitance_range (0.0026316, 0.0026316); + fall_capacitance : 0.00249246; + fall_capacitance_range (0.00249246, 0.00249246); + internal_power () { + when : "(A * !B * D) + (!A * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00253126; + rise_capacitance : 0.00261387; + rise_capacitance_range (0.00261387, 0.00261387); + fall_capacitance : 0.00244866; + fall_capacitance_range (0.00244866, 0.00244866); + internal_power () { + when : "(A * !B * C) + (!A * C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00151082, 0.00149825, 0.00149465, 0.00149905, 0.00152401, 0.00149592, 0.00151461" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000240628, -0.000305153, -0.000329518, -0.000336598, -0.000344687, -0.000351596, -0.000357884" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00151082, 0.00149825, 0.00149465, 0.00149905, 0.00152401, 0.00149592, 0.00151461" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000240628, -0.000305153, -0.000329518, -0.000336598, -0.000344687, -0.000351596, -0.000357884" \ + ); + } + } + } + } + cell (sg13g2_antennanp) { + area : 5.4432; + cell_footprint : "NP_ant"; + dont_touch : true; + dont_use : true; + cell_leakage_power : 5.55028; + leakage_power () { + value : 5.54694; + when : "A"; + } + leakage_power () { + value : 5.55362; + when : "!A"; + } + pin (A) { + direction : "input"; + capacitance : 0.000934508; + rise_capacitance : 0.00088938; + rise_capacitance_range (0.00088938, 0.00088938); + fall_capacitance : 0.000979636; + fall_capacitance_range (0.000979636, 0.000979636); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000522761, -0.000521075, -0.000521023, -0.000524972, -0.000524685, -0.000523939, -0.000524966" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000522761, 0.000521075, 0.000521023, 0.000524972, 0.000524685, 0.000523939, 0.000524966" \ + ); + } + } + } + } + cell (sg13g2_buf_1) { + area : 7.2576; + cell_footprint : "BU"; + cell_leakage_power : 837.747; + leakage_power () { + value : 899.87; + when : "!A&!X"; + } + leakage_power () { + value : 775.625; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0512627, 0.120834, 0.167128, 0.243447, 0.37101, 0.583589, 0.93757", \ + "0.0794034, 0.149717, 0.196037, 0.27237, 0.400004, 0.613235, 0.966756", \ + "0.0962485, 0.167172, 0.213446, 0.289772, 0.417521, 0.630026, 0.984132", \ + "0.119726, 0.193963, 0.240206, 0.31626, 0.443974, 0.656437, 1.01062", \ + "0.149742, 0.229569, 0.275563, 0.351619, 0.479227, 0.69187, 1.04574", \ + "0.18945, 0.279328, 0.325435, 0.400726, 0.52823, 0.740647, 1.09439", \ + "0.241443, 0.349923, 0.399308, 0.475692, 0.60572, 0.818119, 1.17149" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.016066, 0.110924, 0.178548, 0.290418, 0.477628, 0.789761, 1.30969", \ + "0.0196129, 0.111345, 0.178696, 0.29046, 0.477629, 0.790841, 1.30994", \ + "0.0230924, 0.111979, 0.179137, 0.29065, 0.47763, 0.790842, 1.31009", \ + "0.0285684, 0.113423, 0.179789, 0.291318, 0.478124, 0.790843, 1.31338", \ + "0.0365637, 0.117134, 0.181759, 0.292378, 0.478886, 0.790844, 1.31339", \ + "0.048426, 0.127144, 0.188404, 0.296727, 0.481756, 0.792183, 1.3134", \ + "0.067764, 0.147104, 0.204228, 0.308827, 0.491769, 0.800439, 1.31612" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0530566, 0.116063, 0.156236, 0.222354, 0.332821, 0.516668, 0.822991", \ + "0.081733, 0.146011, 0.186218, 0.252386, 0.362765, 0.546744, 0.852857", \ + "0.099626, 0.165093, 0.205518, 0.271596, 0.381968, 0.565863, 0.87203", \ + "0.123979, 0.192545, 0.232675, 0.298583, 0.408887, 0.592892, 0.899225", \ + "0.154025, 0.229342, 0.269201, 0.335344, 0.445689, 0.629387, 0.935672", \ + "0.198214, 0.283456, 0.324882, 0.390501, 0.500503, 0.682444, 0.988419", \ + "0.245542, 0.347258, 0.393217, 0.461785, 0.574385, 0.757696, 1.0643" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0154618, 0.0893925, 0.142197, 0.230222, 0.377941, 0.62334, 1.03292", \ + "0.0184274, 0.0899712, 0.142647, 0.230289, 0.377942, 0.623405, 1.03307", \ + "0.0217595, 0.0912971, 0.143296, 0.230865, 0.378016, 0.623406, 1.03308", \ + "0.0274939, 0.0935839, 0.144712, 0.231764, 0.378602, 0.623755, 1.03309", \ + "0.0363337, 0.0991101, 0.147886, 0.233303, 0.380015, 0.624675, 1.03401", \ + "0.048995, 0.109926, 0.156089, 0.238477, 0.382536, 0.626815, 1.03504", \ + "0.069477, 0.133448, 0.176205, 0.254347, 0.394695, 0.63597, 1.04107" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00716566, 0.00766442, 0.00766885, 0.00760526, 0.00745175, 0.00721296, 0.00708585", \ + "0.00701664, 0.007381, 0.00743348, 0.00744845, 0.00724844, 0.00717891, 0.00696012", \ + "0.00728441, 0.00746549, 0.00750581, 0.00765297, 0.00739855, 0.00714825, 0.0068833", \ + "0.00814332, 0.00826074, 0.00831176, 0.0082453, 0.00837882, 0.00794181, 0.00791828", \ + "0.0100151, 0.00980406, 0.00982771, 0.00989182, 0.00979743, 0.00966441, 0.00925272", \ + "0.0141367, 0.0134728, 0.0133231, 0.0132421, 0.0132051, 0.013134, 0.0124984", \ + "0.0229567, 0.0214053, 0.0212184, 0.0210551, 0.0209023, 0.0206455, 0.0203779" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00711799, 0.0076606, 0.00764361, 0.00762389, 0.00755178, 0.00730368, 0.00688543", \ + "0.00703407, 0.00742938, 0.00759931, 0.00748334, 0.00737684, 0.00719509, 0.00675373", \ + "0.00734265, 0.0076086, 0.00761843, 0.0077344, 0.00752214, 0.00730903, 0.00694422", \ + "0.00818919, 0.00833878, 0.00838528, 0.00822915, 0.00820024, 0.00786716, 0.00752301", \ + "0.0102053, 0.0100424, 0.0100545, 0.0100883, 0.0101295, 0.00958412, 0.00976687", \ + "0.0145877, 0.0138889, 0.0137587, 0.0138697, 0.0137734, 0.013457, 0.0125422", \ + "0.0234783, 0.0219482, 0.0218339, 0.0214473, 0.0212688, 0.021055, 0.0210354" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00230313; + rise_capacitance : 0.0023371; + rise_capacitance_range (0.0023371, 0.0023371); + fall_capacitance : 0.00226917; + fall_capacitance_range (0.00226917, 0.00226917); + } + } + cell (sg13g2_buf_16) { + area : 45.36; + cell_footprint : "BU"; + cell_leakage_power : 10631.1; + leakage_power () { + value : 7855.65; + when : "A&X"; + } + leakage_power () { + value : 13406.5; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 4.8; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0576427, 0.135154, 0.181877, 0.258302, 0.386402, 0.598915, 0.953553", \ + "0.0898591, 0.168395, 0.214995, 0.291545, 0.419298, 0.63232, 0.987124", \ + "0.109888, 0.190542, 0.23697, 0.313362, 0.441283, 0.654038, 1.00859", \ + "0.138243, 0.222959, 0.269097, 0.345251, 0.47293, 0.685537, 1.04027", \ + "0.1759, 0.267872, 0.313794, 0.389215, 0.516325, 0.729058, 1.08331", \ + "0.223038, 0.329462, 0.376076, 0.451573, 0.578342, 0.790511, 1.14447", \ + "0.289709, 0.410281, 0.46116, 0.538427, 0.665525, 0.877814, 1.23201" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.015678, 0.112535, 0.180308, 0.292377, 0.480237, 0.793349, 1.31561", \ + "0.0195238, 0.112988, 0.180309, 0.292378, 0.480481, 0.793475, 1.31562", \ + "0.0234621, 0.113996, 0.180816, 0.292528, 0.480482, 0.793476, 1.31563", \ + "0.0306626, 0.116369, 0.182098, 0.293352, 0.480716, 0.793717, 1.31831", \ + "0.0412745, 0.1223, 0.185376, 0.294791, 0.481695, 0.794328, 1.31832", \ + "0.057928, 0.136588, 0.194529, 0.299988, 0.484761, 0.79625, 1.31833", \ + "0.081609, 0.162606, 0.21591, 0.315628, 0.495751, 0.803962, 1.32215" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0624841, 0.136393, 0.177077, 0.243613, 0.354495, 0.539195, 0.846714", \ + "0.0952341, 0.170074, 0.210832, 0.277356, 0.38814, 0.573808, 0.880085", \ + "0.117809, 0.194655, 0.235338, 0.301887, 0.412469, 0.597059, 0.904903", \ + "0.149597, 0.230138, 0.270693, 0.336987, 0.447217, 0.631545, 0.938481", \ + "0.191699, 0.280018, 0.321136, 0.387134, 0.497174, 0.681011, 0.987946", \ + "0.250902, 0.349429, 0.391897, 0.458651, 0.566532, 0.750227, 1.05607", \ + "0.320914, 0.436509, 0.483316, 0.552572, 0.663635, 0.846873, 1.15303" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0170388, 0.0935998, 0.14622, 0.234254, 0.382372, 0.629315, 1.0411", \ + "0.0197954, 0.0943503, 0.146466, 0.234486, 0.382652, 0.630454, 1.04111", \ + "0.0239488, 0.0960208, 0.147616, 0.234736, 0.382695, 0.630455, 1.04177", \ + "0.031173, 0.0998148, 0.149902, 0.236204, 0.383177, 0.630456, 1.04178", \ + "0.0430892, 0.107983, 0.155176, 0.239196, 0.384788, 0.630831, 1.04179", \ + "0.059543, 0.121903, 0.165426, 0.24575, 0.388551, 0.633464, 1.04314", \ + "0.08664, 0.150971, 0.190447, 0.265083, 0.402473, 0.642213, 1.04897" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0935836, 0.102478, 0.103188, 0.102517, 0.100948, 0.0960884, 0.0956353", \ + "0.0944444, 0.0991046, 0.0996514, 0.100831, 0.0982542, 0.0943195, 0.0945429", \ + "0.0984063, 0.0990912, 0.10026, 0.101338, 0.0988375, 0.0947733, 0.0946269", \ + "0.108427, 0.103959, 0.104222, 0.103807, 0.105826, 0.0977359, 0.101641", \ + "0.131144, 0.116718, 0.117837, 0.117985, 0.114601, 0.114446, 0.109779", \ + "0.176136, 0.149433, 0.146964, 0.14592, 0.145684, 0.145227, 0.134533", \ + "0.26297, 0.217946, 0.214712, 0.211127, 0.208796, 0.205446, 0.203079" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0920604, 0.100396, 0.10058, 0.10036, 0.098473, 0.0956024, 0.0901461", \ + "0.092808, 0.0975913, 0.0996343, 0.0985196, 0.0975356, 0.0971552, 0.0878277", \ + "0.0982595, 0.0985434, 0.0989818, 0.101578, 0.099858, 0.0954609, 0.0902218", \ + "0.108882, 0.102769, 0.103074, 0.101165, 0.100381, 0.0961969, 0.087941", \ + "0.133491, 0.118549, 0.118552, 0.118804, 0.116316, 0.10924, 0.110669", \ + "0.180033, 0.150278, 0.148081, 0.14928, 0.14534, 0.143522, 0.131405", \ + "0.271345, 0.220971, 0.217405, 0.212786, 0.210327, 0.205439, 0.205873" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0169048; + rise_capacitance : 0.0172196; + rise_capacitance_range (0.0172196, 0.0172196); + fall_capacitance : 0.0165901; + fall_capacitance_range (0.0165901, 0.0165901); + } + } + cell (sg13g2_buf_2) { + area : 9.072; + cell_footprint : "BU"; + cell_leakage_power : 1391.01; + leakage_power () { + value : 1691.89; + when : "!A&!X"; + } + leakage_power () { + value : 1090.12; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0575187, 0.131707, 0.178121, 0.254527, 0.382366, 0.594456, 0.948367", \ + "0.0892811, 0.164573, 0.210924, 0.287255, 0.414883, 0.627548, 0.98125", \ + "0.109106, 0.186037, 0.232136, 0.308552, 0.436062, 0.648293, 1.0027", \ + "0.13723, 0.218417, 0.264161, 0.340342, 0.467575, 0.680033, 1.03406", \ + "0.172763, 0.262057, 0.30811, 0.383725, 0.510521, 0.722432, 1.07612", \ + "0.220947, 0.322988, 0.369628, 0.44534, 0.572102, 0.783929, 1.13702", \ + "0.289081, 0.406503, 0.455939, 0.533671, 0.660003, 0.872147, 1.22674" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0161147, 0.111168, 0.178687, 0.290853, 0.478313, 0.791001, 1.31182", \ + "0.0199415, 0.111569, 0.178909, 0.290854, 0.48102, 0.791108, 1.31183", \ + "0.0237449, 0.112577, 0.179366, 0.291001, 0.481021, 0.791109, 1.31236", \ + "0.0303821, 0.114743, 0.180457, 0.291647, 0.481022, 0.79111, 1.31649", \ + "0.0405554, 0.120298, 0.183578, 0.292986, 0.481023, 0.791942, 1.3165", \ + "0.055384, 0.133267, 0.191874, 0.298144, 0.482446, 0.793612, 1.31651", \ + "0.077348, 0.158502, 0.211505, 0.312223, 0.492843, 0.801205, 1.31844" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0601475, 0.129664, 0.169974, 0.236162, 0.346644, 0.530295, 0.836038", \ + "0.0921675, 0.162647, 0.20305, 0.269148, 0.37938, 0.56308, 0.868919", \ + "0.113437, 0.185743, 0.226346, 0.292459, 0.402769, 0.586366, 0.893185", \ + "0.143367, 0.219473, 0.259612, 0.325011, 0.435187, 0.61867, 0.924674", \ + "0.181301, 0.264971, 0.305486, 0.371351, 0.48105, 0.664368, 0.969793", \ + "0.235282, 0.32959, 0.371414, 0.437675, 0.545446, 0.728057, 1.03272", \ + "0.296364, 0.40768, 0.453909, 0.522375, 0.633432, 0.816649, 1.12185" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0165751, 0.091113, 0.143591, 0.231162, 0.378635, 0.623716, 1.03294", \ + "0.0193955, 0.0918158, 0.143822, 0.231338, 0.378962, 0.624069, 1.03348", \ + "0.0232723, 0.0935451, 0.144778, 0.231832, 0.378963, 0.62407, 1.03375", \ + "0.0301182, 0.0968827, 0.146949, 0.233128, 0.379154, 0.62439, 1.03376", \ + "0.0410944, 0.103963, 0.151434, 0.235469, 0.380646, 0.625174, 1.03377", \ + "0.05639, 0.117609, 0.161384, 0.241683, 0.383967, 0.627493, 1.03551", \ + "0.081381, 0.145159, 0.184386, 0.259913, 0.396727, 0.635895, 1.04049" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120843, 0.0131844, 0.0132165, 0.0131634, 0.0129918, 0.012366, 0.0122015", \ + "0.0120769, 0.0127348, 0.0127841, 0.0130615, 0.013149, 0.0120711, 0.0113606", \ + "0.0124962, 0.0127318, 0.0128241, 0.0129659, 0.0128212, 0.0120497, 0.0123204", \ + "0.0137221, 0.0135108, 0.0135262, 0.0133411, 0.0137293, 0.0126497, 0.013035", \ + "0.016491, 0.0153013, 0.0154594, 0.015478, 0.0151336, 0.0149293, 0.0138826", \ + "0.0225915, 0.0197488, 0.0195519, 0.0194839, 0.0194611, 0.0193168, 0.018043", \ + "0.0343303, 0.0296148, 0.0290843, 0.0286653, 0.0282582, 0.027787, 0.0275597" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0119054, 0.0130596, 0.0131094, 0.0129789, 0.0129362, 0.0124416, 0.0116062", \ + "0.0119758, 0.0126752, 0.0129888, 0.0127911, 0.0126697, 0.0121518, 0.0115115", \ + "0.01249, 0.0127853, 0.0128334, 0.0131176, 0.0127552, 0.0123065, 0.0120309", \ + "0.0138657, 0.013488, 0.0135103, 0.0132268, 0.0132803, 0.0124889, 0.0117466", \ + "0.016953, 0.0154784, 0.0155146, 0.0155529, 0.0154374, 0.0146321, 0.0161074", \ + "0.0231499, 0.0201354, 0.0200324, 0.0201091, 0.0196098, 0.0191938, 0.0176543", \ + "0.0354134, 0.0301626, 0.0296595, 0.029119, 0.0288009, 0.0283975, 0.0284787" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00258883; + rise_capacitance : 0.00263488; + rise_capacitance_range (0.00263488, 0.00263488); + fall_capacitance : 0.00254278; + fall_capacitance_range (0.00254278, 0.00254278); + } + } + cell (sg13g2_buf_4) { + area : 14.5152; + cell_footprint : "BU"; + cell_leakage_power : 2605.02; + leakage_power () { + value : 1952.92; + when : "A&X"; + } + leakage_power () { + value : 3257.12; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 1.2; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0734852, 0.153306, 0.199735, 0.276085, 0.403912, 0.616356, 0.970083", \ + "0.109733, 0.190414, 0.236829, 0.313358, 0.440815, 0.653181, 1.00696", \ + "0.1346, 0.217537, 0.263674, 0.33999, 0.467402, 0.67986, 1.03347", \ + "0.171764, 0.258496, 0.304645, 0.380253, 0.507267, 0.719294, 1.07299", \ + "0.223617, 0.319337, 0.364944, 0.440272, 0.565791, 0.777629, 1.13052", \ + "0.298493, 0.407816, 0.455821, 0.530142, 0.656533, 0.866827, 1.21979", \ + "0.405523, 0.532975, 0.58506, 0.663451, 0.791012, 1.00116, 1.35377" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0206874, 0.11387, 0.180697, 0.292246, 0.479255, 0.791212, 1.31094", \ + "0.0242689, 0.114471, 0.180929, 0.292291, 0.479256, 0.791213, 1.31095", \ + "0.0286274, 0.116047, 0.181665, 0.292536, 0.479475, 0.791659, 1.31143", \ + "0.0360808, 0.119484, 0.183711, 0.29349, 0.479837, 0.79166, 1.31454", \ + "0.0485501, 0.127358, 0.188078, 0.295663, 0.481185, 0.792077, 1.31455", \ + "0.067399, 0.143992, 0.20022, 0.301975, 0.484132, 0.793958, 1.31456", \ + "0.096096, 0.175614, 0.225583, 0.32078, 0.496582, 0.801558, 1.31703" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0610233, 0.133144, 0.173733, 0.240364, 0.351452, 0.536055, 0.843599", \ + "0.0938772, 0.166833, 0.207493, 0.273991, 0.384859, 0.569457, 0.876885", \ + "0.116058, 0.190709, 0.231285, 0.298043, 0.409093, 0.593507, 0.901374", \ + "0.146094, 0.224426, 0.264896, 0.330855, 0.441418, 0.625633, 0.932853", \ + "0.182899, 0.269747, 0.311004, 0.37627, 0.486446, 0.670532, 0.977369", \ + "0.22841, 0.325796, 0.367906, 0.433352, 0.542598, 0.725935, 1.03187", \ + "0.269608, 0.384477, 0.430409, 0.498901, 0.609426, 0.792073, 1.09762" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0170282, 0.092788, 0.145314, 0.233409, 0.381629, 0.62814, 1.03995", \ + "0.019887, 0.0934517, 0.145747, 0.233472, 0.382016, 0.628141, 1.03996", \ + "0.0241267, 0.0951085, 0.14663, 0.233854, 0.382017, 0.62828, 1.03997", \ + "0.0317696, 0.0987781, 0.149086, 0.235212, 0.382186, 0.628919, 1.04083", \ + "0.0444123, 0.107363, 0.154497, 0.23837, 0.383733, 0.62957, 1.04084", \ + "0.062516, 0.12263, 0.165429, 0.245163, 0.387473, 0.631822, 1.04159", \ + "0.091449, 0.153246, 0.191134, 0.264904, 0.400517, 0.640218, 1.04681" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0227774, 0.0242884, 0.024391, 0.0242226, 0.0239461, 0.022964, 0.0228579", \ + "0.023025, 0.0236121, 0.023608, 0.0242575, 0.0231584, 0.022302, 0.0221679", \ + "0.0242317, 0.0235275, 0.0237999, 0.0244358, 0.0232712, 0.0224722, 0.0213255", \ + "0.0265529, 0.0244475, 0.0246777, 0.0241488, 0.0245187, 0.0228556, 0.0231246", \ + "0.0316816, 0.0271409, 0.0271394, 0.0271968, 0.0259258, 0.0258268, 0.024456", \ + "0.0421725, 0.0336734, 0.033852, 0.033049, 0.0329616, 0.0324449, 0.0300452", \ + "0.0621166, 0.0485717, 0.0474344, 0.0465606, 0.0465674, 0.0454315, 0.0452362" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0228102, 0.0249819, 0.0250629, 0.024929, 0.0247466, 0.0238464, 0.0225908", \ + "0.0229764, 0.0242517, 0.0250007, 0.0244787, 0.0243474, 0.0233509, 0.0218326", \ + "0.0241169, 0.0243199, 0.0244491, 0.0250402, 0.024777, 0.0235294, 0.0223197", \ + "0.0264774, 0.0250629, 0.0255073, 0.0245669, 0.0246769, 0.0235581, 0.0222527", \ + "0.0320177, 0.0282548, 0.0285063, 0.0283672, 0.0279145, 0.0262449, 0.0276477", \ + "0.0427119, 0.0346692, 0.0341245, 0.0339939, 0.0335933, 0.032991, 0.0302537", \ + "0.0621954, 0.0492004, 0.0481551, 0.0473703, 0.046417, 0.0450872, 0.0451622" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00367065; + rise_capacitance : 0.00380577; + rise_capacitance_range (0.00380577, 0.00380577); + fall_capacitance : 0.00353554; + fall_capacitance_range (0.00353554, 0.00353554); + } + } + cell (sg13g2_buf_8) { + area : 23.5872; + cell_footprint : "BU"; + cell_leakage_power : 5315.64; + leakage_power () { + value : 3927.85; + when : "A&X"; + } + leakage_power () { + value : 6703.42; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 2.4; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0572352, 0.134206, 0.180711, 0.257316, 0.385241, 0.597827, 0.952198", \ + "0.0893087, 0.167497, 0.213982, 0.290431, 0.418333, 0.631005, 0.986066", \ + "0.109394, 0.189412, 0.235688, 0.312303, 0.439943, 0.652687, 1.00723", \ + "0.137602, 0.222061, 0.268025, 0.344273, 0.471715, 0.684115, 1.03868", \ + "0.175158, 0.266513, 0.31242, 0.387863, 0.514916, 0.727676, 1.08165", \ + "0.222462, 0.327898, 0.374788, 0.451166, 0.576625, 0.78859, 1.14203", \ + "0.287892, 0.409252, 0.459445, 0.536689, 0.663821, 0.876074, 1.23046" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0154941, 0.111911, 0.179434, 0.291549, 0.479302, 0.792161, 1.31366", \ + "0.0194065, 0.112282, 0.179609, 0.29155, 0.479602, 0.792162, 1.314", \ + "0.0234097, 0.113312, 0.180104, 0.291814, 0.479603, 0.792325, 1.31401", \ + "0.0305881, 0.115606, 0.181262, 0.292581, 0.479779, 0.792501, 1.32085", \ + "0.0412059, 0.12166, 0.184639, 0.293994, 0.480824, 0.793164, 1.32086", \ + "0.057804, 0.135335, 0.193501, 0.299536, 0.483646, 0.795018, 1.32087", \ + "0.081801, 0.161871, 0.21428, 0.3146, 0.49468, 0.802794, 1.32088" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0619646, 0.135387, 0.17625, 0.243004, 0.354327, 0.539625, 0.848204", \ + "0.0947296, 0.169145, 0.209983, 0.276659, 0.38787, 0.573446, 0.881329", \ + "0.117252, 0.193823, 0.234547, 0.301257, 0.412411, 0.597784, 0.906509", \ + "0.148923, 0.229107, 0.26983, 0.336491, 0.44721, 0.632354, 0.940159", \ + "0.190877, 0.278801, 0.320186, 0.385911, 0.496838, 0.681193, 0.989005", \ + "0.249938, 0.348112, 0.390817, 0.456945, 0.566292, 0.749803, 1.05692", \ + "0.319697, 0.434747, 0.481451, 0.550801, 0.662422, 0.846179, 1.15332" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0168129, 0.0932406, 0.146003, 0.234154, 0.382858, 0.630049, 1.04261", \ + "0.019626, 0.093925, 0.146283, 0.23441, 0.383688, 0.630522, 1.04277", \ + "0.0238096, 0.0956074, 0.147218, 0.234818, 0.383689, 0.630523, 1.04323", \ + "0.0311074, 0.0993206, 0.149656, 0.236163, 0.38369, 0.630524, 1.04397", \ + "0.0430207, 0.107535, 0.154922, 0.239084, 0.384866, 0.631498, 1.04398", \ + "0.059524, 0.121497, 0.164871, 0.245439, 0.388671, 0.633998, 1.04476", \ + "0.086622, 0.15052, 0.189793, 0.264371, 0.402598, 0.642858, 1.05029" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.046143, 0.0508041, 0.0509071, 0.0507109, 0.0497998, 0.0473842, 0.0480998", \ + "0.0465019, 0.0490203, 0.0492858, 0.0507239, 0.0486771, 0.0464477, 0.0446844", \ + "0.0485506, 0.0490067, 0.0492038, 0.0502747, 0.0492232, 0.0466334, 0.0471524", \ + "0.0535742, 0.0515349, 0.0517339, 0.0510325, 0.0516429, 0.0480986, 0.0508805", \ + "0.0645361, 0.0577723, 0.0582685, 0.0582448, 0.057036, 0.0563816, 0.0524922", \ + "0.0872484, 0.0736138, 0.0727714, 0.0733002, 0.0726991, 0.0722296, 0.0671945", \ + "0.13198, 0.108217, 0.106057, 0.104675, 0.103476, 0.10184, 0.101632" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0452801, 0.049573, 0.0498245, 0.0497071, 0.0490654, 0.0476695, 0.0443743", \ + "0.0457491, 0.048208, 0.0492962, 0.0487415, 0.0493995, 0.0469988, 0.0432602", \ + "0.0483535, 0.0485761, 0.0488, 0.0502562, 0.0483398, 0.0470765, 0.0450634", \ + "0.0538454, 0.0506517, 0.0510167, 0.0501061, 0.0500316, 0.0478525, 0.0456952", \ + "0.0661373, 0.0585328, 0.0587692, 0.058449, 0.0580919, 0.0545213, 0.0557766", \ + "0.0893519, 0.0745254, 0.0733293, 0.0735823, 0.0724438, 0.0707902, 0.0654551", \ + "0.13511, 0.109715, 0.107853, 0.105335, 0.104504, 0.102853, 0.102077" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00848054; + rise_capacitance : 0.00863677; + rise_capacitance_range (0.00863677, 0.00863677); + fall_capacitance : 0.00832431; + fall_capacitance_range (0.00832431, 0.00832431); + } + } + cell (sg13g2_decap_4) { + area : 7.2576; + cell_footprint : "DECAP"; + dont_touch : true; + dont_use : true; + is_decap_cell : true; + cell_leakage_power : 425.389; + } + cell (sg13g2_decap_8) { + area : 12.7008; + cell_footprint : "DECAP"; + dont_touch : true; + dont_use : true; + is_decap_cell : true; + cell_leakage_power : 850.824; + } + cell (sg13g2_dfrbp_1) { + area : 47.1744; + cell_footprint : "dffrr"; + cell_leakage_power : 3958.96; + leakage_power () { + value : 3496.5; + when : "!CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 3550.61; + when : "!CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 3291.05; + when : "CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 3345.15; + when : "CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 4709.15; + when : "!CLK&!D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 3652.4; + when : "!CLK&!D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 4345.88; + when : "!CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 4555.02; + when : "!CLK&D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 4278.22; + when : "CLK&!D&RESET_B&Q*!Q_N"; + } + leakage_power () { + value : 3495.33; + when : "CLK&!D&RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 4512.88; + when : "CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 4275.28; + when : "CLK&D&RESET_B&!Q&Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.195882, 0.259688, 0.305387, 0.381259, 0.508327, 0.720212, 1.07349", \ + "0.228519, 0.292389, 0.338194, 0.414103, 0.541128, 0.752921, 1.10614", \ + "0.249871, 0.313682, 0.359492, 0.435274, 0.562259, 0.774132, 1.12722", \ + "0.280648, 0.344476, 0.390257, 0.466037, 0.593057, 0.804765, 1.15799", \ + "0.322508, 0.386217, 0.431939, 0.507767, 0.634792, 0.846526, 1.19954", \ + "0.376896, 0.440289, 0.48586, 0.5617, 0.688694, 0.900531, 1.25349", \ + "0.445838, 0.508095, 0.553614, 0.629333, 0.756355, 0.968108, 1.32112" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0224622, 0.111308, 0.178347, 0.289628, 0.476296, 0.787512, 1.30627", \ + "0.0224632, 0.111309, 0.178348, 0.289629, 0.476297, 0.787513, 1.30628", \ + "0.0224642, 0.11131, 0.17836, 0.289651, 0.476357, 0.787691, 1.30654", \ + "0.0224744, 0.111311, 0.178361, 0.289652, 0.476358, 0.787692, 1.308", \ + "0.022588, 0.111312, 0.178362, 0.289653, 0.476359, 0.787693, 1.30801", \ + "0.02294, 0.111344, 0.178363, 0.289654, 0.47636, 0.787694, 1.30802", \ + "0.023875, 0.111465, 0.178416, 0.289655, 0.476361, 0.787695, 1.30803" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.186929, 0.246769, 0.286713, 0.352258, 0.46215, 0.6454, 0.950793", \ + "0.219709, 0.279639, 0.319519, 0.385075, 0.495089, 0.678364, 0.983558", \ + "0.240929, 0.300828, 0.340652, 0.406293, 0.516191, 0.699469, 1.00502", \ + "0.271094, 0.330997, 0.370821, 0.436474, 0.546379, 0.729523, 1.03486", \ + "0.309349, 0.369166, 0.409004, 0.474659, 0.584571, 0.76774, 1.07294", \ + "0.35792, 0.418445, 0.458268, 0.523899, 0.633818, 0.817052, 1.12219", \ + "0.415268, 0.475137, 0.514922, 0.580615, 0.69056, 0.873731, 1.17904" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0188599, 0.0897557, 0.142165, 0.229536, 0.376336, 0.621676, 1.02952", \ + "0.0188609, 0.0897567, 0.142166, 0.229628, 0.376611, 0.621677, 1.02966", \ + "0.0188619, 0.0897577, 0.142187, 0.229644, 0.376612, 0.621678, 1.03049", \ + "0.0188629, 0.0897587, 0.142188, 0.229645, 0.376613, 0.621679, 1.0305", \ + "0.0188639, 0.0897597, 0.142189, 0.229646, 0.376614, 0.62168, 1.03051", \ + "0.0188649, 0.0897607, 0.14219, 0.229647, 0.376615, 0.621681, 1.03052", \ + "0.0188659, 0.0897617, 0.142191, 0.229648, 0.376616, 0.621682, 1.03053" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.248898, 0.308652, 0.348315, 0.41424, 0.524069, 0.707546, 1.01272", \ + "0.287209, 0.346871, 0.386656, 0.452454, 0.562438, 0.745722, 1.0513", \ + "0.319987, 0.379751, 0.419469, 0.485272, 0.595271, 0.778603, 1.0841", \ + "0.371134, 0.430717, 0.470499, 0.536286, 0.646149, 0.829394, 1.13478", \ + "0.445895, 0.505522, 0.545245, 0.611073, 0.721121, 0.90433, 1.20959", \ + "0.549389, 0.608939, 0.648737, 0.714533, 0.82444, 1.00765, 1.31294", \ + "0.691293, 0.750619, 0.790356, 0.856063, 0.966115, 1.14937, 1.45472" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0187113, 0.0896359, 0.141987, 0.229485, 0.376323, 0.621782, 1.02964", \ + "0.0187123, 0.0896369, 0.142081, 0.229603, 0.37634, 0.621783, 1.03043", \ + "0.0187133, 0.0896379, 0.142082, 0.229604, 0.376442, 0.621784, 1.03044", \ + "0.0187143, 0.0896389, 0.142095, 0.229605, 0.3765, 0.621785, 1.03045", \ + "0.0187623, 0.0896407, 0.142096, 0.229606, 0.376501, 0.621786, 1.03046", \ + "0.018931, 0.089694, 0.142097, 0.229607, 0.376502, 0.621787, 1.03047", \ + "0.019124, 0.08974, 0.142098, 0.229608, 0.376503, 0.621788, 1.03048" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0387385, 0.0597067, 0.0740198, 0.0975283, 0.136798, 0.202134, 0.31106", \ + "0.0384302, 0.0594942, 0.0738538, 0.0972848, 0.136545, 0.201885, 0.310791", \ + "0.0387053, 0.0596334, 0.0740397, 0.09748, 0.137542, 0.202191, 0.311097", \ + "0.0395587, 0.0604708, 0.0747078, 0.0982664, 0.137921, 0.203018, 0.312363", \ + "0.0417559, 0.0624954, 0.0768628, 0.100486, 0.139729, 0.205236, 0.313709", \ + "0.0469176, 0.0674763, 0.0819084, 0.105495, 0.144947, 0.210466, 0.319037", \ + "0.0581907, 0.0784668, 0.0928267, 0.116322, 0.155922, 0.221524, 0.330802" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0376286, 0.0585733, 0.0728437, 0.0961923, 0.135196, 0.200191, 0.30851", \ + "0.0375208, 0.0586377, 0.0727681, 0.0961618, 0.135175, 0.200082, 0.308422", \ + "0.0378886, 0.0587846, 0.0730854, 0.0970142, 0.135456, 0.200399, 0.308941", \ + "0.0389028, 0.0599652, 0.074225, 0.0974174, 0.136856, 0.201667, 0.31011", \ + "0.0411356, 0.0620603, 0.076452, 0.100049, 0.139066, 0.203793, 0.313981", \ + "0.0463212, 0.0672309, 0.0814445, 0.10511, 0.144557, 0.210144, 0.317875", \ + "0.0571965, 0.0780163, 0.0922837, 0.11593, 0.155031, 0.220727, 0.330019" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0255124, 0.0463932, 0.0606197, 0.0840524, 0.123077, 0.18806, 0.296278", \ + "0.0253764, 0.0462514, 0.060536, 0.0839046, 0.122921, 0.187842, 0.296288", \ + "0.0254956, 0.0463831, 0.0608408, 0.0840459, 0.123132, 0.188057, 0.296501", \ + "0.0260328, 0.0468573, 0.0610574, 0.084579, 0.124637, 0.18864, 0.296917", \ + "0.0273331, 0.048191, 0.0624592, 0.0859959, 0.124738, 0.190262, 0.299525", \ + "0.0300704, 0.0507969, 0.0650999, 0.0887695, 0.128204, 0.193301, 0.301221", \ + "0.0351176, 0.0555935, 0.0697703, 0.0933084, 0.133001, 0.198663, 0.307935" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.144489, 0.231389, 0.279158, 0.35598, 0.48326, 0.695357, 1.04853", \ + "0.177078, 0.263999, 0.311825, 0.388512, 0.515971, 0.727927, 1.08096", \ + "0.198274, 0.285163, 0.332972, 0.409711, 0.537123, 0.74929, 1.10202", \ + "0.228411, 0.315302, 0.363117, 0.439826, 0.567209, 0.779163, 1.13222", \ + "0.266634, 0.353518, 0.401308, 0.478051, 0.605388, 0.817344, 1.17031", \ + "0.315588, 0.402259, 0.450089, 0.526733, 0.654156, 0.86616, 1.21922", \ + "0.372726, 0.459155, 0.506922, 0.583517, 0.711015, 0.923072, 1.27598" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0300669, 0.122575, 0.18592, 0.293967, 0.479149, 0.789787, 1.30817", \ + "0.0300819, 0.122576, 0.185921, 0.294611, 0.479157, 0.789788, 1.30821", \ + "0.030087, 0.122599, 0.185922, 0.294612, 0.479158, 0.790222, 1.30822", \ + "0.0300922, 0.122602, 0.185923, 0.294613, 0.479159, 0.790223, 1.30844", \ + "0.0300932, 0.122657, 0.185924, 0.294614, 0.47916, 0.790224, 1.30845", \ + "0.0300942, 0.122686, 0.18597, 0.294615, 0.479195, 0.790225, 1.30846", \ + "0.030101, 0.122687, 0.185971, 0.294616, 0.479196, 0.790226, 1.30847" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.147739, 0.240399, 0.285342, 0.35401, 0.465138, 0.649231, 0.955758", \ + "0.180301, 0.272872, 0.317928, 0.386554, 0.497716, 0.681876, 0.988338", \ + "0.201713, 0.294227, 0.339295, 0.407789, 0.519029, 0.703191, 1.01004", \ + "0.23247, 0.325005, 0.370049, 0.438636, 0.549871, 0.733968, 1.04049", \ + "0.273934, 0.366733, 0.41179, 0.48055, 0.591717, 0.775858, 1.08231", \ + "0.327541, 0.421269, 0.466463, 0.535178, 0.646579, 0.83083, 1.13725", \ + "0.393652, 0.490598, 0.536127, 0.604897, 0.716338, 0.900553, 1.20706" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0331876, 0.112686, 0.159863, 0.241241, 0.383977, 0.627674, 1.03675", \ + "0.0332116, 0.112714, 0.159864, 0.241242, 0.384219, 0.627675, 1.03748", \ + "0.0333694, 0.112722, 0.159865, 0.241269, 0.38422, 0.627721, 1.03749", \ + "0.0335993, 0.112894, 0.159866, 0.24127, 0.384221, 0.627993, 1.03749", \ + "0.034335, 0.113208, 0.160115, 0.241271, 0.384222, 0.627994, 1.0375", \ + "0.036625, 0.114166, 0.160685, 0.241553, 0.384223, 0.627995, 1.03751", \ + "0.041441, 0.116818, 0.162443, 0.24265, 0.384653, 0.627996, 1.03752" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.206597, 0.291561, 0.339114, 0.415754, 0.543157, 0.755033, 1.10838", \ + "0.2449, 0.329915, 0.377364, 0.453959, 0.58145, 0.793353, 1.14646", \ + "0.277557, 0.362577, 0.410156, 0.486645, 0.614183, 0.826318, 1.17907", \ + "0.328632, 0.413762, 0.461149, 0.537764, 0.665156, 0.877157, 1.23012", \ + "0.403497, 0.488772, 0.536193, 0.612741, 0.740141, 0.952094, 1.30507", \ + "0.50657, 0.592234, 0.639668, 0.716169, 0.843617, 1.05555, 1.40846", \ + "0.645953, 0.732245, 0.77965, 0.856241, 0.983599, 1.19576, 1.54913" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0303863, 0.120886, 0.184596, 0.293456, 0.479022, 0.790023, 1.30813", \ + "0.0304173, 0.120957, 0.185011, 0.293884, 0.479023, 0.790024, 1.30814", \ + "0.0304431, 0.120958, 0.185012, 0.293885, 0.479024, 0.790101, 1.30815", \ + "0.0306633, 0.12106, 0.185013, 0.293886, 0.479025, 0.790102, 1.30816", \ + "0.0312528, 0.121131, 0.185014, 0.293887, 0.479026, 0.790103, 1.30817", \ + "0.032252, 0.121574, 0.185015, 0.293888, 0.479027, 0.790104, 1.30818", \ + "0.033699, 0.122186, 0.185239, 0.293889, 0.479134, 0.790105, 1.30819" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0376891, 0.0587708, 0.0730205, 0.096601, 0.135805, 0.201071, 0.310091", \ + "0.0375144, 0.0587383, 0.0729966, 0.0965929, 0.135728, 0.201016, 0.309951", \ + "0.0378981, 0.0589039, 0.0732823, 0.0967602, 0.136776, 0.201468, 0.310296", \ + "0.0388957, 0.0600057, 0.0742724, 0.0977912, 0.13723, 0.202744, 0.311424", \ + "0.0411379, 0.0621817, 0.0765087, 0.100153, 0.139309, 0.204872, 0.313477", \ + "0.0463062, 0.0672906, 0.0816877, 0.105223, 0.144707, 0.210238, 0.318791", \ + "0.0571934, 0.0781432, 0.0924765, 0.116007, 0.155499, 0.221062, 0.330389" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0387571, 0.0596377, 0.0738622, 0.0972598, 0.136277, 0.201234, 0.309583", \ + "0.0384263, 0.0594178, 0.0735769, 0.0969875, 0.136035, 0.200974, 0.309269", \ + "0.0387029, 0.0595127, 0.0738413, 0.0974734, 0.136224, 0.201193, 0.30969", \ + "0.0395517, 0.0604371, 0.0746721, 0.0979578, 0.137351, 0.202555, 0.310489", \ + "0.0417469, 0.0623774, 0.0768332, 0.100449, 0.139567, 0.204227, 0.31462", \ + "0.0469215, 0.0674752, 0.0817461, 0.105404, 0.144873, 0.210378, 0.318268", \ + "0.0581346, 0.0783919, 0.0926539, 0.116356, 0.155437, 0.221188, 0.33032" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0254971, 0.0465285, 0.060862, 0.0844314, 0.123693, 0.189047, 0.297837", \ + "0.0253661, 0.0463995, 0.0607866, 0.0843571, 0.123497, 0.188814, 0.297629", \ + "0.0254573, 0.0465192, 0.0610434, 0.0844193, 0.123627, 0.189016, 0.297843", \ + "0.0260093, 0.046988, 0.0613012, 0.084964, 0.124119, 0.190636, 0.298318", \ + "0.0273439, 0.0482819, 0.0627246, 0.0861032, 0.125409, 0.191425, 0.299838", \ + "0.0300502, 0.0509576, 0.0652658, 0.0889005, 0.128362, 0.193488, 0.303136", \ + "0.0350018, 0.0556945, 0.0699821, 0.0935994, 0.133098, 0.198809, 0.307855" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00271584; + rise_capacitance : 0.00287053; + rise_capacitance_range (0.00287053, 0.00287053); + fall_capacitance : 0.00248381; + fall_capacitance_range (0.00248381, 0.00248381); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.108643, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.124207, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.014498, 0.0142639, 0.0145314, 0.0153817, 0.0174184, 0.0223976, 0.0328071" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0263957, 0.0261292, 0.0264603, 0.0273705, 0.0297524, 0.0349782, 0.0463541" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0243088, 0.0240378, 0.0243664, 0.025279, 0.0276636, 0.0328868, 0.0442604" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0140349, 0.0138188, 0.0140398, 0.0149018, 0.0169631, 0.0219633, 0.0323687" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0139794, 0.0137565, 0.0140999, 0.0150077, 0.0173331, 0.0223636, 0.0333058" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0560464, 0.0396557, 0.039697, 0.0401706, 0.0423605, 0.0475183, 0.0583323" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0138464, 0.0136254, 0.0138512, 0.0147189, 0.0167853, 0.0217673, 0.0321973" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0138788, 0.0136601, 0.0140064, 0.0149139, 0.0172424, 0.0222828, 0.0332277" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.013838, 0.0135997, 0.0138288, 0.0146909, 0.016749, 0.0217257, 0.0321527" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0139045, 0.0136885, 0.014029, 0.0149376, 0.0172623, 0.0222907, 0.0332341" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0140349, 0.0138188, 0.0140398, 0.0149018, 0.0169631, 0.0219633, 0.0323687" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0243088, 0.0240378, 0.0243664, 0.025279, 0.0276636, 0.0328868, 0.0442604" \ + ); + } + } + } + pin (D) { + direction : "input"; + nextstate_type : "data"; + max_transition : 2.5074; + capacitance : 0.00174041; + rise_capacitance : 0.00160979; + rise_capacitance_range (0.00160979, 0.00160979); + fall_capacitance : 0.00187102; + fall_capacitance_range (0.00187102, 0.00187102); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0611298, -0.0227089, -0.00414452, 0.00726698", \ + "-0.176957, -0.137509, -0.122286, -0.106613", \ + "-0.237603, -0.213382, -0.194282, -0.179506", \ + "-0.287897, -0.280996, -0.269661, -0.256784" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0342327, 0.04967, 0.093583, 0.136788", \ + "-0.184445, -0.104405, -0.056726, -0.0131473", \ + "-0.286466, -0.218627, -0.18079, -0.137132", \ + "-0.393133, -0.346972, -0.314861, -0.274493" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.105143, 0.0526588, 0.0324341, 0.0143199", \ + "0.244344, 0.180799, 0.153755, 0.134103", \ + "0.332758, 0.263208, 0.234758, 0.213405", \ + "0.422815, 0.349721, 0.317686, 0.298105" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.102698, 0.00274232, -0.0498628, -0.096313", \ + "0.271798, 0.170613, 0.114419, 0.0626293", \ + "0.399625, 0.305167, 0.250948, 0.199281", \ + "0.538845, 0.451434, 0.402434, 0.351233" \ + ); + } + } + internal_power () { + when : "CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00234938, 0.00227853, 0.00238515, 0.00277529, 0.0035911, 0.00552567, 0.00952094" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00186498, 0.00180136, 0.00192533, 0.00234155, 0.00324361, 0.00525008, 0.00943943" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0128736, 0.0128772, 0.0130116, 0.0133904, 0.0142686, 0.0163404, 0.0209475" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.010966, 0.0108937, 0.0109997, 0.0114253, 0.0125244, 0.0148515, 0.0197323" \ + ); + } + } + internal_power () { + when : "(!CLK * !RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000128966, 0.000130832, 0.000133889, 0.000131746, 0.000133306, 0.000135557, 0.000132972" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "2.35304e-05, 3.41479e-05, 3.36773e-05, 3.19237e-05, 3.52619e-05, 3.63714e-05, 3.59522e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00234938, 0.00227853, 0.00238515, 0.00277529, 0.0035911, 0.00552567, 0.00952094" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00186498, 0.00180136, 0.00192533, 0.00234155, 0.00324361, 0.00525008, 0.00943943" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00635798; + rise_capacitance : 0.00642099; + rise_capacitance_range (0.00642099, 0.00642099); + fall_capacitance : 0.00631298; + fall_capacitance_range (0.00631298, 0.00631298); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.112479, 0.0601463, 0.0401494, 0.0251134", \ + "0.241849, 0.180799, 0.159, 0.139601", \ + "0.348189, 0.284188, 0.259043, 0.241655", \ + "0.482179, 0.418446, 0.393959, 0.371894" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0978076, -0.050163, -0.0324341, -0.0197167", \ + "-0.221882, -0.170613, -0.14851, -0.134103", \ + "-0.325043, -0.268453, -0.24825, -0.23318", \ + "-0.4471, -0.396454, -0.37701, -0.363039" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.108643, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00555418, 0.00544266, 0.00546476, 0.00564882, 0.00629725, 0.00797964, 0.0117374" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0346102, 0.0341585, 0.0342785, 0.0350828, 0.0376486, 0.0433199, 0.0549032" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00256138, 0.0025562, 0.00256996, 0.0025638, 0.00257325, 0.00256428, 0.00256227" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00202899, -0.00218273, -0.0022266, -0.00226019, -0.00228608, -0.00231512, -0.00234006" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0165455, 0.0163386, 0.0164208, 0.0166876, 0.0176463, 0.0201534, 0.0258586" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.010029, 0.00970534, 0.0096897, 0.00992393, 0.0110716, 0.0139675, 0.0202026" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00258305, 0.00258238, 0.00258991, 0.00258417, 0.00258496, 0.00259147, 0.00259183" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00213414, -0.00226957, -0.00230271, -0.00233715, -0.00235618, -0.00238247, -0.00240131" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00555418, 0.00544266, 0.00546476, 0.00564882, 0.00629725, 0.00797964, 0.0117374" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.010029, 0.00970534, 0.0096897, 0.00992393, 0.0110716, 0.0139675, 0.0202026" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clocked_on : "CLK"; + next_state : "D"; + } + } + cell (sg13g2_dfrbp_2) { + area : 54.432; + cell_footprint : "dffrr"; + cell_leakage_power : 5083.69; + leakage_power () { + value : 4578.33; + when : "!CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 4632.35; + when : "!CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 4377.29; + when : "CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 4431.31; + when : "CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 5903.94; + when : "!CLK&!D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 4733.07; + when : "!CLK&!D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 5535.37; + when : "!CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 5699.8; + when : "!CLK&D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 5467.31; + when : "CLK&!D&RESET_B&Q*!Q_N"; + } + leakage_power () { + value : 4581.56; + when : "CLK&!D&RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 5702.55; + when : "CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 5361.43; + when : "CLK&D&RESET_B&!Q&Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.240661, 0.299736, 0.344833, 0.42074, 0.548289, 0.760994, 1.11662", \ + "0.273232, 0.332439, 0.377516, 0.453115, 0.580918, 0.79401, 1.14951", \ + "0.294574, 0.353688, 0.398587, 0.474436, 0.602034, 0.815035, 1.17042", \ + "0.325765, 0.384851, 0.429892, 0.505781, 0.63326, 0.846174, 1.2019", \ + "0.368407, 0.427515, 0.472483, 0.548292, 0.675855, 0.88876, 1.24392", \ + "0.427085, 0.485762, 0.530605, 0.606332, 0.733798, 0.946747, 1.30193", \ + "0.507194, 0.564749, 0.609475, 0.684818, 0.812417, 1.02541, 1.38054" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0245431, 0.11283, 0.179629, 0.291356, 0.478976, 0.791555, 1.31332", \ + "0.0245441, 0.112883, 0.17963, 0.293045, 0.47914, 0.791881, 1.31333", \ + "0.0245451, 0.112884, 0.179631, 0.293046, 0.479141, 0.79201, 1.31339", \ + "0.0245545, 0.112885, 0.179632, 0.293047, 0.479142, 0.792011, 1.3134", \ + "0.0246018, 0.112894, 0.179633, 0.293048, 0.479143, 0.792012, 1.31341", \ + "0.024761, 0.112933, 0.179675, 0.293049, 0.479144, 0.792013, 1.31342", \ + "0.025202, 0.113071, 0.179676, 0.29305, 0.479145, 0.792014, 1.31343" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.209536, 0.269349, 0.309059, 0.374801, 0.485027, 0.668736, 0.975156", \ + "0.241935, 0.301872, 0.341632, 0.407367, 0.51757, 0.701427, 1.00776", \ + "0.262833, 0.322939, 0.362629, 0.428342, 0.538606, 0.722295, 1.02864", \ + "0.293201, 0.353221, 0.392933, 0.458634, 0.568811, 0.752559, 1.05898", \ + "0.33221, 0.392266, 0.431987, 0.497698, 0.607892, 0.79158, 1.09787", \ + "0.383557, 0.443503, 0.483217, 0.548925, 0.659123, 0.84298, 1.14914", \ + "0.446963, 0.506966, 0.54668, 0.612411, 0.722605, 0.906387, 1.21261" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0205191, 0.0925249, 0.144422, 0.231822, 0.378939, 0.624924, 1.03443", \ + "0.0205201, 0.0925259, 0.144423, 0.23213, 0.37921, 0.625165, 1.03457", \ + "0.0205211, 0.0925269, 0.144424, 0.232131, 0.379211, 0.625166, 1.035", \ + "0.0205221, 0.0925279, 0.144425, 0.232132, 0.379212, 0.625167, 1.03501", \ + "0.0205231, 0.0925407, 0.144426, 0.232133, 0.379213, 0.625168, 1.03502", \ + "0.0205241, 0.0925417, 0.144427, 0.232134, 0.379214, 0.625169, 1.03503", \ + "0.0205251, 0.0925427, 0.144428, 0.232135, 0.379215, 0.62517, 1.03504" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.282401, 0.342306, 0.381943, 0.447821, 0.558034, 0.742097, 1.04841", \ + "0.321071, 0.381039, 0.420689, 0.486599, 0.596792, 0.780814, 1.0872", \ + "0.354597, 0.414404, 0.454156, 0.519873, 0.630232, 0.814047, 1.12046", \ + "0.407578, 0.467277, 0.506989, 0.572758, 0.683159, 0.86706, 1.17352", \ + "0.48524, 0.545164, 0.584838, 0.650551, 0.760903, 0.944714, 1.25104", \ + "0.592357, 0.652172, 0.691814, 0.757569, 0.868, 1.05173, 1.35808", \ + "0.738386, 0.797922, 0.837674, 0.903257, 1.01351, 1.19758, 1.50385" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0202052, 0.0923379, 0.144221, 0.231657, 0.378929, 0.624737, 1.03447", \ + "0.0202062, 0.0923389, 0.144243, 0.231842, 0.379137, 0.625264, 1.03452", \ + "0.0202072, 0.0923399, 0.144277, 0.231843, 0.379162, 0.625265, 1.03453", \ + "0.0202161, 0.0923447, 0.144299, 0.231847, 0.379246, 0.625266, 1.03616", \ + "0.020254, 0.092362, 0.144365, 0.231848, 0.379247, 0.625267, 1.03617", \ + "0.020264, 0.092423, 0.144366, 0.231849, 0.379248, 0.625268, 1.03618", \ + "0.020509, 0.092486, 0.144367, 0.23185, 0.379249, 0.625269, 1.03619" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0490244, 0.0903119, 0.119035, 0.166201, 0.244756, 0.375113, 0.593273", \ + "0.048799, 0.0900852, 0.119254, 0.166779, 0.244573, 0.375225, 0.593116", \ + "0.0489374, 0.0902563, 0.119115, 0.166123, 0.244732, 0.375541, 0.593292", \ + "0.0499702, 0.0912008, 0.119813, 0.166985, 0.246269, 0.37663, 0.594155", \ + "0.0524094, 0.0935199, 0.122233, 0.169586, 0.248026, 0.379232, 0.596456", \ + "0.0581246, 0.0987927, 0.127624, 0.174872, 0.253725, 0.384452, 0.602266", \ + "0.070688, 0.110639, 0.139402, 0.186393, 0.265637, 0.396932, 0.615395" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0485809, 0.0910411, 0.119518, 0.166288, 0.244445, 0.374373, 0.590972", \ + "0.0484001, 0.0912126, 0.119531, 0.16643, 0.244402, 0.374404, 0.590934", \ + "0.0488055, 0.0912525, 0.119886, 0.16781, 0.244897, 0.374562, 0.591377", \ + "0.0498625, 0.0925681, 0.121027, 0.167591, 0.246625, 0.376233, 0.592829", \ + "0.0523767, 0.0948173, 0.123715, 0.170958, 0.249282, 0.378296, 0.600925", \ + "0.0578989, 0.100243, 0.128885, 0.176136, 0.255094, 0.386422, 0.602203", \ + "0.0694616, 0.111673, 0.140246, 0.187629, 0.265952, 0.397256, 0.61573" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0367803, 0.0790138, 0.107472, 0.154325, 0.232432, 0.362367, 0.579045", \ + "0.0366355, 0.0788974, 0.107317, 0.154215, 0.232357, 0.36239, 0.579004", \ + "0.0365923, 0.0789501, 0.10795, 0.15428, 0.232451, 0.362165, 0.578848", \ + "0.0372942, 0.0793669, 0.107897, 0.154923, 0.233803, 0.36346, 0.579914", \ + "0.0386548, 0.0807185, 0.109545, 0.156612, 0.234031, 0.365479, 0.582492", \ + "0.0414986, 0.0834354, 0.111868, 0.159405, 0.238379, 0.368696, 0.584685", \ + "0.0468073, 0.0881637, 0.116585, 0.163677, 0.242923, 0.374237, 0.592669" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.14119, 0.23657, 0.285294, 0.362463, 0.489941, 0.702147, 1.05566", \ + "0.173574, 0.268909, 0.31769, 0.394799, 0.522417, 0.734805, 1.08802", \ + "0.194546, 0.28984, 0.338613, 0.415652, 0.543352, 0.755514, 1.10893", \ + "0.224798, 0.320194, 0.368925, 0.446004, 0.573607, 0.785746, 1.1391", \ + "0.26389, 0.359136, 0.407879, 0.48505, 0.61262, 0.824754, 1.17796", \ + "0.315705, 0.410738, 0.459471, 0.536553, 0.664172, 0.876598, 1.22957", \ + "0.379011, 0.473654, 0.522393, 0.599636, 0.727013, 0.939329, 1.29254" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0268289, 0.124085, 0.187206, 0.294859, 0.479299, 0.79038, 1.30934", \ + "0.0269039, 0.124086, 0.187207, 0.295189, 0.479455, 0.790511, 1.30935", \ + "0.0269239, 0.124087, 0.187208, 0.29519, 0.479456, 0.790616, 1.30935", \ + "0.0269249, 0.124088, 0.187209, 0.295191, 0.479457, 0.790617, 1.31121", \ + "0.0269259, 0.124136, 0.187252, 0.295192, 0.479458, 0.790618, 1.31122", \ + "0.0269269, 0.124174, 0.187269, 0.295193, 0.479459, 0.790619, 1.31123", \ + "0.0269279, 0.124175, 0.18727, 0.295194, 0.47946, 0.79062, 1.31124" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.156474, 0.264994, 0.31308, 0.383953, 0.496327, 0.68065, 0.987025", \ + "0.189025, 0.297474, 0.345631, 0.416491, 0.52884, 0.713211, 1.01952", \ + "0.210343, 0.318758, 0.366875, 0.437699, 0.550187, 0.734581, 1.0415", \ + "0.241661, 0.349916, 0.398055, 0.469039, 0.581442, 0.765835, 1.0721", \ + "0.284329, 0.392495, 0.440674, 0.511531, 0.62398, 0.808364, 1.11471", \ + "0.341193, 0.451231, 0.499474, 0.570521, 0.682899, 0.867406, 1.17366", \ + "0.419369, 0.530182, 0.578624, 0.649762, 0.762324, 0.946746, 1.25312" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0361045, 0.123398, 0.170461, 0.24975, 0.390063, 0.631826, 1.03971", \ + "0.0361055, 0.123427, 0.170462, 0.251291, 0.390209, 0.631827, 1.03972", \ + "0.0361065, 0.123428, 0.170543, 0.251292, 0.390262, 0.631972, 1.04037", \ + "0.0361175, 0.123563, 0.170544, 0.251293, 0.390263, 0.631973, 1.04038", \ + "0.0365012, 0.123754, 0.170605, 0.251294, 0.390264, 0.631974, 1.04039", \ + "0.038154, 0.124442, 0.171136, 0.251295, 0.390272, 0.631975, 1.0404", \ + "0.042763, 0.126594, 0.172662, 0.251387, 0.390843, 0.632189, 1.04041" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.215194, 0.308194, 0.356474, 0.433556, 0.561182, 0.773429, 1.12664", \ + "0.253861, 0.346919, 0.395288, 0.472329, 0.600097, 0.812142, 1.16612", \ + "0.287343, 0.380527, 0.428672, 0.505805, 0.633381, 0.846397, 1.19894", \ + "0.340195, 0.433281, 0.481527, 0.558635, 0.686127, 0.898387, 1.25169", \ + "0.417807, 0.511111, 0.559466, 0.636506, 0.764106, 0.976146, 1.32943", \ + "0.524485, 0.61814, 0.666516, 0.743401, 0.871088, 1.08336, 1.43666", \ + "0.670314, 0.764804, 0.812883, 0.889799, 1.01754, 1.22991, 1.58356" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0272079, 0.122366, 0.185918, 0.29424, 0.479329, 0.79035, 1.30914", \ + "0.0272089, 0.122367, 0.186696, 0.294241, 0.47933, 0.790351, 1.30948", \ + "0.027252, 0.122371, 0.186697, 0.294242, 0.479395, 0.791311, 1.30949", \ + "0.0274637, 0.122468, 0.186698, 0.294243, 0.479396, 0.791312, 1.3095", \ + "0.0279584, 0.122545, 0.186699, 0.294244, 0.479397, 0.791313, 1.30951", \ + "0.028805, 0.122947, 0.1867, 0.294245, 0.479398, 0.791314, 1.30952", \ + "0.030255, 0.123602, 0.186701, 0.294417, 0.479399, 0.791315, 1.30953" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0486374, 0.0912449, 0.119931, 0.167021, 0.245402, 0.376187, 0.593936", \ + "0.0484364, 0.0913388, 0.119828, 0.167017, 0.24552, 0.37622, 0.594006", \ + "0.0488122, 0.0913718, 0.120208, 0.167216, 0.247017, 0.376476, 0.59418", \ + "0.049895, 0.0926334, 0.121173, 0.168261, 0.247621, 0.37742, 0.596462", \ + "0.0524159, 0.0949655, 0.123748, 0.171007, 0.249228, 0.380652, 0.597506", \ + "0.0579723, 0.100406, 0.129247, 0.176473, 0.255223, 0.386504, 0.603641", \ + "0.069492, 0.111824, 0.140577, 0.187736, 0.266722, 0.398023, 0.6166" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.049034, 0.0901646, 0.118588, 0.16551, 0.243532, 0.373673, 0.590351", \ + "0.0487847, 0.0901392, 0.118685, 0.165843, 0.243355, 0.373382, 0.590062", \ + "0.0489864, 0.0899716, 0.118653, 0.166311, 0.244043, 0.373707, 0.590865", \ + "0.0499905, 0.0912056, 0.11968, 0.166215, 0.245297, 0.375457, 0.591669", \ + "0.0524269, 0.0932797, 0.122195, 0.16949, 0.247392, 0.377042, 0.599938", \ + "0.0581075, 0.0986133, 0.127331, 0.17463, 0.253722, 0.384914, 0.600808", \ + "0.0706783, 0.110462, 0.138999, 0.186352, 0.264556, 0.395791, 0.614465" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0367862, 0.0792644, 0.107891, 0.155104, 0.233537, 0.36425, 0.581846", \ + "0.0366768, 0.0794953, 0.108063, 0.154955, 0.233499, 0.364196, 0.582065", \ + "0.0366392, 0.0791762, 0.107925, 0.155037, 0.233489, 0.364645, 0.581927", \ + "0.037332, 0.0796365, 0.108281, 0.155648, 0.234159, 0.366497, 0.582585", \ + "0.0386841, 0.0809082, 0.109849, 0.156843, 0.235321, 0.367288, 0.585039", \ + "0.0414819, 0.0836382, 0.112316, 0.159505, 0.238622, 0.36902, 0.588313", \ + "0.0467627, 0.0884567, 0.117054, 0.164506, 0.24334, 0.37467, 0.593023" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00294713; + rise_capacitance : 0.0031214; + rise_capacitance_range (0.0031214, 0.0031214); + fall_capacitance : 0.00268572; + fall_capacitance_range (0.00268572, 0.00268572); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.134583, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.129395, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0141864, 0.0139512, 0.0141926, 0.0151738, 0.0174397, 0.0228261, 0.0339793" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0278881, 0.0276307, 0.028014, 0.028921, 0.0314801, 0.0371002, 0.0493959" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0262477, 0.0259872, 0.0263696, 0.0272749, 0.0298349, 0.0354551, 0.0477535" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0148056, 0.0145522, 0.0148263, 0.0157686, 0.0180618, 0.0233657, 0.0345838" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0136106, 0.0133734, 0.0137628, 0.014668, 0.0172093, 0.0226793, 0.0345243" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0608135, 0.049169, 0.0493357, 0.0498063, 0.0522119, 0.0577657, 0.0694334" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0140116, 0.0137679, 0.0140282, 0.0149756, 0.0172697, 0.0226079, 0.0337976" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0135358, 0.01332, 0.0136717, 0.014594, 0.0171213, 0.0225874, 0.0344492" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0146425, 0.0143793, 0.0146487, 0.0155856, 0.0178617, 0.0231791, 0.0343958" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0135574, 0.0133239, 0.0137055, 0.0146049, 0.017146, 0.0226204, 0.0344623" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0141864, 0.0139512, 0.0141926, 0.0151738, 0.0174397, 0.0228261, 0.0339793" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0262477, 0.0259872, 0.0263696, 0.0272749, 0.0298349, 0.0354551, 0.0477535" \ + ); + } + } + } + pin (D) { + direction : "input"; + nextstate_type : "data"; + max_transition : 2.5074; + capacitance : 0.00161644; + rise_capacitance : 0.00148676; + rise_capacitance_range (0.00148676, 0.00148676); + fall_capacitance : 0.00174612; + fall_capacitance_range (0.00174612, 0.00174612); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0611298, -0.0202131, -0.00157274, 0.0180604", \ + "-0.176957, -0.137509, -0.117042, -0.0956173", \ + "-0.235031, -0.21076, -0.191584, -0.168206", \ + "-0.287897, -0.278247, -0.266836, -0.244978" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0366779, 0.0471742, 0.093583, 0.144884", \ + "-0.18694, -0.106951, -0.0593484, -0.00490029", \ + "-0.29161, -0.22125, -0.18079, -0.128657", \ + "-0.39853, -0.349721, -0.317686, -0.26859" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.110034, 0.0551547, 0.0324341, 0.0089232", \ + "0.249336, 0.183345, 0.153755, 0.128605", \ + "0.340474, 0.268453, 0.237456, 0.20493", \ + "0.433609, 0.355219, 0.320511, 0.295154" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.110034, 0.00773397, -0.0447192, -0.10171", \ + "0.27679, 0.175706, 0.119664, 0.0598803", \ + "0.404768, 0.310412, 0.253646, 0.196456", \ + "0.54694, 0.456932, 0.405259, 0.348282" \ + ); + } + } + internal_power () { + when : "CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00221809, 0.00216032, 0.00227602, 0.00267181, 0.00351403, 0.00545188, 0.00949769" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00168745, 0.0016311, 0.0017649, 0.00218052, 0.00309828, 0.00511533, 0.00932316" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0150467, 0.0150351, 0.0151969, 0.0155421, 0.0163858, 0.0184297, 0.0230558" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0118431, 0.0117697, 0.0118583, 0.012289, 0.013391, 0.0157334, 0.020684" \ + ); + } + } + internal_power () { + when : "(!CLK * !RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.09408e-05, -5.6994e-05, -5.78108e-05, -5.56657e-05, -5.9321e-05, -6.01593e-05, -5.93833e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.09408e-05, 5.6994e-05, 5.78108e-05, 5.56657e-05, 5.9321e-05, 6.01593e-05, 5.93833e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00221809, 0.00216032, 0.00227602, 0.00267181, 0.00351403, 0.00545188, 0.00949769" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00168745, 0.0016311, 0.0017649, 0.00218052, 0.00309828, 0.00511533, 0.00932316" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00587889; + rise_capacitance : 0.00593807; + rise_capacitance_range (0.00593807, 0.00593807); + fall_capacitance : 0.00583662; + fall_capacitance_range (0.00583662, 0.00583662); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.117369, 0.0626421, 0.0401494, 0.0170183", \ + "0.24684, 0.183345, 0.159, 0.134103", \ + "0.358476, 0.289432, 0.259043, 0.236005", \ + "0.490274, 0.421195, 0.391134, 0.365991" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.105143, -0.0551547, -0.0350059, -0.0143199", \ + "-0.231865, -0.175706, -0.151133, -0.128605", \ + "-0.33533, -0.27632, -0.250948, -0.22753", \ + "-0.460592, -0.401952, -0.379834, -0.354185" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.116425, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0050744, 0.00496292, 0.00499415, 0.0051806, 0.00584794, 0.00755867, 0.0113575" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0474862, 0.0470477, 0.0470869, 0.0480037, 0.0505879, 0.0563115, 0.0681912" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00209528, 0.00209324, 0.00210474, 0.00209487, 0.00209749, 0.00209658, 0.00209821" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00157924, -0.0017344, -0.00178093, -0.00180935, -0.00183566, -0.00186099, -0.00188773" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0183151, 0.0181124, 0.0182132, 0.0184673, 0.0194059, 0.0219275, 0.0275798" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0112733, 0.0109493, 0.0110216, 0.0111898, 0.0123357, 0.0152106, 0.0214183" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00206822, 0.00206767, 0.00207491, 0.00206535, 0.00207589, 0.00207637, 0.00207616" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00190149, -0.00201617, -0.00204807, -0.00206535, -0.00207589, -0.00207637, -0.00207616" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0050744, 0.00496292, 0.00499415, 0.0051806, 0.00584794, 0.00755867, 0.0113575" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0112733, 0.0109493, 0.0110216, 0.0111898, 0.0123357, 0.0152106, 0.0214183" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clocked_on : "CLK"; + next_state : "D"; + } + } + cell (sg13g2_dlhq_1) { + area : 30.8448; + cell_footprint : "DLHQ"; + cell_leakage_power : 2672.94; + leakage_power () { + value : 2578.6; + when : "!D&!GATE&!Q"; + } + leakage_power () { + value : 2192.03; + when : "!D&!GATE&Q"; + } + leakage_power () { + value : 2932.31; + when : "!D&GATE&!Q"; + } + leakage_power () { + value : 3355.58; + when : "D&!GATE&!Q"; + } + leakage_power () { + value : 2430.09; + when : "D&!GATE&Q"; + } + leakage_power () { + value : 2549; + when : "D&GATE&Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.178568, 0.248737, 0.295058, 0.371144, 0.498294, 0.710182, 1.06324", \ + "0.206361, 0.276682, 0.322976, 0.399009, 0.52621, 0.738316, 1.09108", \ + "0.223454, 0.293786, 0.340008, 0.416087, 0.543359, 0.755135, 1.10845", \ + "0.248275, 0.318601, 0.364763, 0.440854, 0.56802, 0.779815, 1.13283", \ + "0.279936, 0.350272, 0.396549, 0.47262, 0.599757, 0.811576, 1.16442", \ + "0.324856, 0.395181, 0.44151, 0.517508, 0.644682, 0.856491, 1.2094", \ + "0.386359, 0.456871, 0.503041, 0.579181, 0.706405, 0.918346, 1.27119" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0188635, 0.110302, 0.177535, 0.288882, 0.475497, 0.786701, 1.30495", \ + "0.0188645, 0.110303, 0.177536, 0.289268, 0.475498, 0.786702, 1.3052", \ + "0.0188655, 0.110318, 0.177537, 0.289269, 0.475499, 0.786917, 1.30546", \ + "0.0188665, 0.110338, 0.177538, 0.28927, 0.4755, 0.786918, 1.30547", \ + "0.0189289, 0.110339, 0.177539, 0.289271, 0.475501, 0.786919, 1.30548", \ + "0.019085, 0.11034, 0.177542, 0.289272, 0.475502, 0.78692, 1.30549", \ + "0.019433, 0.110397, 0.177543, 0.289273, 0.475511, 0.786921, 1.3055" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.156046, 0.220375, 0.26024, 0.325772, 0.435386, 0.617737, 0.921651", \ + "0.18493, 0.24925, 0.289069, 0.354639, 0.464185, 0.646852, 0.950524", \ + "0.20331, 0.267619, 0.307463, 0.373011, 0.482577, 0.664957, 0.968928", \ + "0.229117, 0.293377, 0.333231, 0.398763, 0.508264, 0.690646, 0.994592", \ + "0.262148, 0.32651, 0.366336, 0.431877, 0.541418, 0.723796, 1.02768", \ + "0.311707, 0.376125, 0.416005, 0.481565, 0.59108, 0.77353, 1.07742", \ + "0.367655, 0.432262, 0.472173, 0.537711, 0.647316, 0.829653, 1.13359" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0172697, 0.0884386, 0.140524, 0.227622, 0.374146, 0.618027, 1.02366", \ + "0.0172707, 0.0884396, 0.140525, 0.227623, 0.374147, 0.618375, 1.02421", \ + "0.0172717, 0.0884406, 0.140602, 0.22763, 0.374148, 0.61839, 1.02422", \ + "0.0172731, 0.0884416, 0.140603, 0.227646, 0.374149, 0.618391, 1.02963", \ + "0.0173638, 0.088458, 0.140604, 0.227647, 0.37415, 0.618392, 1.02964", \ + "0.017535, 0.088484, 0.140605, 0.227713, 0.374151, 0.618393, 1.02965", \ + "0.017868, 0.088485, 0.140662, 0.227814, 0.374152, 0.618394, 1.02966" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.152303, 0.222752, 0.269007, 0.345029, 0.472143, 0.684124, 1.03729", \ + "0.180401, 0.250948, 0.297121, 0.373203, 0.500396, 0.71228, 1.06526", \ + "0.197655, 0.26825, 0.314406, 0.390456, 0.517689, 0.729626, 1.08296", \ + "0.222629, 0.293213, 0.339401, 0.41548, 0.54264, 0.754404, 1.10731", \ + "0.25347, 0.32403, 0.370195, 0.446309, 0.573476, 0.78521, 1.13807", \ + "0.2917, 0.362459, 0.408673, 0.4848, 0.611976, 0.823725, 1.17667", \ + "0.331025, 0.402266, 0.448496, 0.524603, 0.652504, 0.8644, 1.21727" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0188512, 0.110352, 0.177478, 0.288881, 0.475486, 0.786701, 1.30517", \ + "0.0188522, 0.110353, 0.177486, 0.289798, 0.475572, 0.786923, 1.30523", \ + "0.0189264, 0.110354, 0.177487, 0.289799, 0.475573, 0.786924, 1.30565", \ + "0.0189737, 0.110359, 0.177488, 0.2898, 0.475574, 0.786925, 1.30648", \ + "0.019217, 0.110396, 0.177489, 0.289801, 0.475609, 0.786926, 1.30649", \ + "0.019692, 0.110441, 0.17749, 0.289802, 0.47561, 0.786927, 1.3065", \ + "0.020742, 0.110588, 0.177629, 0.289803, 0.475611, 0.786928, 1.30651" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.162245, 0.226544, 0.26632, 0.331892, 0.441399, 0.623801, 0.927744", \ + "0.19114, 0.255267, 0.295134, 0.360669, 0.470215, 0.652644, 0.957009", \ + "0.208468, 0.272645, 0.312498, 0.378003, 0.48754, 0.669975, 0.973869", \ + "0.232206, 0.296387, 0.336245, 0.401769, 0.511276, 0.693662, 0.997615", \ + "0.259981, 0.324217, 0.364042, 0.429578, 0.539101, 0.721542, 1.02535", \ + "0.293059, 0.357285, 0.39714, 0.462683, 0.572243, 0.754558, 1.05847", \ + "0.324104, 0.388289, 0.428138, 0.493691, 0.603239, 0.785603, 1.08972" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170793, 0.0883705, 0.140587, 0.227577, 0.374145, 0.617766, 1.02419", \ + "0.0170803, 0.0883755, 0.140588, 0.227578, 0.374146, 0.618024, 1.02455", \ + "0.0170813, 0.0883765, 0.140609, 0.227766, 0.374164, 0.620595, 1.02456", \ + "0.0170823, 0.0883775, 0.140614, 0.227767, 0.374165, 0.620596, 1.02457", \ + "0.0171001, 0.0883785, 0.140615, 0.227768, 0.374166, 0.620597, 1.02458", \ + "0.0171011, 0.088386, 0.140616, 0.227769, 0.374167, 0.620598, 1.02459", \ + "0.017105, 0.088387, 0.140617, 0.22777, 0.374168, 0.620599, 1.0246" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0184167, 0.0188129, 0.0188418, 0.0188138, 0.0186897, 0.0184453, 0.0180977", \ + "0.018288, 0.0187342, 0.0188146, 0.0187303, 0.0185629, 0.0183337, 0.0180031", \ + "0.018309, 0.0186851, 0.0187853, 0.0187165, 0.0188424, 0.0183976, 0.0181241", \ + "0.0182736, 0.0187497, 0.0187161, 0.0187083, 0.0187272, 0.0184659, 0.0180322", \ + "0.018187, 0.0186174, 0.0187244, 0.0187575, 0.0185259, 0.0185047, 0.0179372", \ + "0.0181545, 0.0185286, 0.0186512, 0.018653, 0.0186842, 0.0186587, 0.0180083", \ + "0.0183366, 0.0186904, 0.018786, 0.0187986, 0.01888, 0.018846, 0.0187108" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0188709, 0.0194159, 0.0194299, 0.019393, 0.0193113, 0.0190789, 0.0185868", \ + "0.0188339, 0.0194167, 0.0194064, 0.0193621, 0.0192459, 0.0191243, 0.0185929", \ + "0.0188452, 0.019351, 0.0193896, 0.0195502, 0.0192156, 0.01909, 0.0185469", \ + "0.018827, 0.0193853, 0.0194243, 0.0192831, 0.0193853, 0.0192767, 0.0192859", \ + "0.0187797, 0.0193352, 0.019384, 0.019424, 0.019324, 0.0188993, 0.0198447", \ + "0.0188231, 0.0193141, 0.0193601, 0.0194677, 0.0194773, 0.0194298, 0.0188226", \ + "0.0187873, 0.0193034, 0.0193948, 0.0192772, 0.0193243, 0.0193431, 0.0194344" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0148603, 0.0152784, 0.0153222, 0.0152758, 0.0151265, 0.0149082, 0.0145555", \ + "0.0147046, 0.0152137, 0.0151815, 0.015209, 0.0150352, 0.0147957, 0.014419", \ + "0.0147284, 0.0151249, 0.0152023, 0.015197, 0.0151998, 0.014776, 0.0145317", \ + "0.0147248, 0.0152005, 0.0151952, 0.0151716, 0.0153998, 0.014944, 0.0145948", \ + "0.014879, 0.0152693, 0.0153861, 0.0154326, 0.0151674, 0.0151625, 0.014613", \ + "0.015035, 0.0154334, 0.0155157, 0.0154813, 0.015567, 0.0154929, 0.0147576", \ + "0.0158232, 0.0161005, 0.0161756, 0.0161796, 0.016294, 0.0162801, 0.0161396" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0162297, 0.016788, 0.0168018, 0.0167493, 0.0166695, 0.0164208, 0.0159729", \ + "0.0162487, 0.0168082, 0.0168621, 0.0169855, 0.0166708, 0.0164517, 0.0160903", \ + "0.0163973, 0.0169131, 0.0169261, 0.0170128, 0.0169588, 0.0169427, 0.0161009", \ + "0.0164599, 0.0170259, 0.0170975, 0.0170066, 0.016902, 0.0172318, 0.0164693", \ + "0.0165096, 0.0170924, 0.0170999, 0.017156, 0.0171085, 0.0167029, 0.0169163", \ + "0.0165266, 0.0170892, 0.0170676, 0.0171781, 0.0171188, 0.0171431, 0.0169252", \ + "0.0166511, 0.017182, 0.0171759, 0.0172223, 0.0170855, 0.017232, 0.0171618" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00225903; + rise_capacitance : 0.00229211; + rise_capacitance_range (0.00229211, 0.00229211); + fall_capacitance : 0.00222596; + fall_capacitance_range (0.00222596, 0.00222596); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0978076, -0.0626421, -0.0350059, -0.000828112", \ + "-0.189436, -0.150241, -0.124909, -0.0873703", \ + "-0.242746, -0.20027, -0.172695, -0.137132", \ + "-0.304087, -0.256255, -0.224462, -0.19185" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.039123, 0.0696366, 0.142447, 0.220438", \ + "-0.134528, -0.0203717, 0.0534153, 0.135299", \ + "-0.193882, -0.077017, 0, 0.0860396", \ + "-0.25012, -0.129801, -0.0493152, 0.03837" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.105143, 0.0801129, 0.0864414, 0.106064", \ + "0.194428, 0.16552, 0.159, 0.161593", \ + "0.24789, 0.213382, 0.199679, 0.199281", \ + "0.306785, 0.27, 0.249887, 0.239075" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0464586, -0.0621491, -0.134731, -0.209644", \ + "0.142016, 0.0280111, -0.0481705, -0.127052", \ + "0.201598, 0.0822618, 0.00539673, -0.0775647", \ + "0.258215, 0.135299, 0.0549651, -0.0324669" \ + ); + } + } + internal_power () { + when : "(!GATE * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00505973, 0.00490533, 0.00509875, 0.00581606, 0.00738281, 0.0110017, 0.018669" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00442009, 0.00439386, 0.00465628, 0.00535734, 0.00710836, 0.0108514, 0.0188316" \ + ); + } + } + internal_power () { + when : "(!GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0042301, 0.00412788, 0.00432518, 0.00506613, 0.0066588, 0.0102959, 0.0179559" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00483982, 0.00477384, 0.00500568, 0.00570235, 0.0074255, 0.0111646, 0.0191034" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0042301, 0.00412788, 0.00432518, 0.00506613, 0.0066588, 0.0102959, 0.0179559" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00483982, 0.00477384, 0.00500568, 0.00570235, 0.0074255, 0.0111646, 0.0191034" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00227868; + rise_capacitance : 0.00258204; + rise_capacitance_range (0.00258204, 0.00258204); + fall_capacitance : 0.00167198; + fall_capacitance_range (0.00167198, 0.00167198); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0801086, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0110956, 0.0109544, 0.0111221, 0.012063, 0.0140236, 0.0186749, 0.0282545" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189416, 0.0192993, 0.0196141, 0.020476, 0.0226277, 0.027564, 0.0376407" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0110956, 0.0109544, 0.0111221, 0.012063, 0.0140236, 0.0186749, 0.0282545" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189416, 0.0192993, 0.0196141, 0.020476, 0.0226277, 0.027564, 0.0376407" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dlhr_1) { + area : 32.6592; + cell_footprint : "DLHR"; + cell_leakage_power : 3729.76; + leakage_power () { + value : 3241.38; + when : "!RESET_B*!Q*Q_N*!D*!GATE"; + } + leakage_power () { + value : 3515.95; + when : "!RESET_B*!Q*Q_N*!D*GATE"; + } + leakage_power () { + value : 4004.9; + when : "!RESET_B*!Q*Q_N*D*!GATE"; + } + leakage_power () { + value : 3354.2; + when : "!RESET_B*!Q*Q_N*D*GATE"; + } + leakage_power () { + value : 3392.81; + when : "RESET_B*!GATE*!D*!Q*!Q_N"; + } + leakage_power () { + value : 3392.81; + when : "RESET_B*!GATE*!D*!Q*Q_N"; + } + leakage_power () { + value : 3392.81; + when : "RESET_B*!GATE*!D*Q*!Q_N"; + } + leakage_power () { + value : 3392.81; + when : "RESET_B*!GATE*!D*Q*Q_N"; + } + leakage_power () { + value : 4156.28; + when : "RESET_B*!GATE*D*!Q*!Q_N"; + } + leakage_power () { + value : 4156.28; + when : "RESET_B*!GATE*D*!Q*Q_N"; + } + leakage_power () { + value : 4156.28; + when : "RESET_B*!GATE*D*Q*!Q_N"; + } + leakage_power () { + value : 4156.28; + when : "RESET_B*!GATE*D*Q*Q_N"; + } + leakage_power () { + value : 4179.22; + when : "D*GATE*RESET_B*Q*!Q_N"; + } + leakage_power () { + value : 3724.69; + when : "!D*GATE*RESET_B*!Q*Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.202918, 0.285105, 0.332008, 0.408338, 0.535725, 0.747688, 1.10117", \ + "0.230268, 0.312555, 0.359474, 0.435778, 0.563428, 0.775213, 1.12842", \ + "0.24714, 0.329319, 0.376251, 0.452496, 0.580013, 0.791769, 1.14503", \ + "0.2712, 0.353358, 0.400301, 0.476622, 0.60387, 0.815765, 1.16883", \ + "0.302998, 0.385244, 0.432101, 0.508423, 0.635825, 0.847732, 1.20059", \ + "0.346409, 0.428636, 0.475531, 0.551962, 0.679222, 0.891324, 1.24418", \ + "0.405821, 0.488159, 0.535106, 0.611478, 0.738835, 0.950831, 1.30383" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0262746, 0.116713, 0.181205, 0.290986, 0.477161, 0.788123, 1.307", \ + "0.0262756, 0.116714, 0.181206, 0.291043, 0.477486, 0.788231, 1.30701", \ + "0.0262766, 0.116715, 0.181207, 0.291044, 0.477487, 0.788342, 1.30704", \ + "0.0262776, 0.116716, 0.181208, 0.291045, 0.477488, 0.788343, 1.30705", \ + "0.026295, 0.116717, 0.181209, 0.291046, 0.477489, 0.788344, 1.30706", \ + "0.026415, 0.11674, 0.181256, 0.291047, 0.47749, 0.788345, 1.30707", \ + "0.026636, 0.116754, 0.1813, 0.291048, 0.477491, 0.788346, 1.30718" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.17096, 0.241507, 0.281925, 0.347807, 0.457708, 0.640906, 0.946139", \ + "0.19952, 0.270097, 0.310477, 0.376292, 0.486296, 0.669488, 0.974592", \ + "0.21767, 0.288143, 0.328505, 0.394343, 0.504315, 0.687495, 0.992617", \ + "0.242419, 0.312926, 0.353304, 0.419114, 0.529096, 0.712181, 1.01739", \ + "0.276232, 0.346719, 0.38711, 0.45296, 0.562932, 0.745991, 1.05109", \ + "0.325145, 0.395713, 0.436115, 0.501931, 0.611932, 0.795097, 1.10016", \ + "0.380809, 0.451568, 0.491964, 0.55782, 0.667859, 0.851, 1.15628" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0197911, 0.0918377, 0.142592, 0.228902, 0.375737, 0.620528, 1.02814", \ + "0.0198614, 0.0918387, 0.142593, 0.229026, 0.375738, 0.620529, 1.02831", \ + "0.0198624, 0.0918397, 0.142594, 0.229119, 0.375739, 0.620678, 1.02872", \ + "0.0198634, 0.0918407, 0.142595, 0.22912, 0.37574, 0.620679, 1.03149", \ + "0.0199497, 0.0918438, 0.142615, 0.229121, 0.375741, 0.62068, 1.0315", \ + "0.020095, 0.091884, 0.14264, 0.229122, 0.375742, 0.620681, 1.03151", \ + "0.020254, 0.091921, 0.142662, 0.229123, 0.375743, 0.620682, 1.03152" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.185133, 0.267665, 0.314631, 0.390975, 0.518324, 0.730238, 1.08353", \ + "0.213578, 0.296123, 0.343022, 0.419409, 0.546693, 0.758635, 1.11178", \ + "0.231117, 0.313677, 0.360631, 0.436873, 0.564184, 0.77612, 1.12914", \ + "0.256433, 0.338929, 0.385882, 0.46215, 0.589408, 0.801284, 1.15439", \ + "0.287739, 0.370334, 0.417293, 0.493616, 0.620868, 0.832737, 1.18574", \ + "0.326869, 0.409621, 0.456578, 0.532916, 0.660211, 0.872313, 1.22513", \ + "0.365583, 0.448693, 0.495646, 0.572012, 0.69935, 0.911331, 1.26431" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0265334, 0.116689, 0.181232, 0.290984, 0.477157, 0.788122, 1.307", \ + "0.0265344, 0.116713, 0.181233, 0.29099, 0.477254, 0.788198, 1.30701", \ + "0.0265354, 0.116714, 0.181234, 0.291045, 0.477255, 0.788271, 1.30702", \ + "0.0265733, 0.11674, 0.18126, 0.291046, 0.477256, 0.788272, 1.30873", \ + "0.0266127, 0.116789, 0.181261, 0.291047, 0.477257, 0.788273, 1.30874", \ + "0.026963, 0.116862, 0.181295, 0.291048, 0.477258, 0.788361, 1.30875", \ + "0.027519, 0.117036, 0.181368, 0.291062, 0.477303, 0.788362, 1.30876" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.179748, 0.250504, 0.290836, 0.356687, 0.466681, 0.649819, 0.955094", \ + "0.209001, 0.279755, 0.320118, 0.385925, 0.495923, 0.679135, 0.984251", \ + "0.227029, 0.297712, 0.338058, 0.403929, 0.513868, 0.697087, 1.00247", \ + "0.251389, 0.322074, 0.362456, 0.428291, 0.538222, 0.721308, 1.02644", \ + "0.280048, 0.350749, 0.391091, 0.456926, 0.566892, 0.750052, 1.05509", \ + "0.314295, 0.384995, 0.425351, 0.491347, 0.601308, 0.784404, 1.08952", \ + "0.348308, 0.419407, 0.459784, 0.525589, 0.635591, 0.818734, 1.12392" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0201711, 0.0917896, 0.142602, 0.228919, 0.375654, 0.620527, 1.02816", \ + "0.0201721, 0.0918535, 0.142608, 0.22892, 0.375668, 0.620528, 1.02879", \ + "0.0201731, 0.0918571, 0.142612, 0.228951, 0.375669, 0.620529, 1.0291", \ + "0.0201741, 0.0918581, 0.142613, 0.229051, 0.37567, 0.62053, 1.02911", \ + "0.0201751, 0.0918633, 0.142614, 0.229052, 0.375671, 0.620531, 1.02912", \ + "0.0201761, 0.0918643, 0.142615, 0.229053, 0.375672, 0.620532, 1.02913", \ + "0.0201771, 0.0918653, 0.142616, 0.229054, 0.375735, 0.620533, 1.02914" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0714025, 0.141933, 0.182403, 0.248284, 0.358344, 0.541551, 0.846792", \ + "0.105185, 0.176776, 0.217357, 0.283294, 0.393351, 0.576605, 0.881908", \ + "0.12885, 0.202925, 0.243628, 0.309721, 0.419851, 0.603245, 0.908483", \ + "0.162358, 0.241039, 0.282188, 0.348248, 0.458467, 0.641725, 0.947125", \ + "0.204936, 0.293529, 0.335192, 0.401556, 0.511737, 0.695177, 1.00045", \ + "0.26355, 0.365811, 0.409426, 0.476385, 0.586621, 0.770032, 1.07549", \ + "0.32821, 0.454524, 0.503258, 0.573024, 0.684783, 0.868994, 1.175" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0195068, 0.0919568, 0.142844, 0.229212, 0.375601, 0.620908, 1.02862", \ + "0.0214896, 0.0925606, 0.143068, 0.229417, 0.376577, 0.620909, 1.02863", \ + "0.0245895, 0.0939638, 0.143883, 0.229662, 0.376578, 0.62091, 1.02864", \ + "0.0299047, 0.0972037, 0.145771, 0.230731, 0.376579, 0.620911, 1.02889", \ + "0.0389075, 0.104233, 0.149969, 0.232759, 0.377326, 0.621651, 1.0289", \ + "0.050478, 0.116888, 0.158592, 0.237556, 0.379885, 0.623394, 1.03011", \ + "0.069205, 0.141116, 0.178656, 0.250938, 0.388094, 0.627998, 1.0338" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0058097, 0.0061929, 0.0062368, 0.0062472, 0.0061803, 0.0060107, 0.005791", \ + "0.00564915, 0.00606505, 0.00609925, 0.00608835, 0.00605725, 0.00586675, 0.00569795", \ + "0.0056344, 0.0060012, 0.0060942, 0.0060675, 0.006397, 0.0058414, 0.0056771", \ + "0.0056253, 0.0060002, 0.0060507, 0.0060562, 0.0061843, 0.0061667, 0.0055111", \ + "0.0055537, 0.0059453, 0.0060152, 0.0060665, 0.0059795, 0.0059456, 0.0055554", \ + "0.00553695, 0.00589455, 0.00597575, 0.00600215, 0.00604435, 0.00605145, 0.00555295", \ + "0.005474, 0.0058122, 0.0058905, 0.0058971, 0.0059421, 0.0059419, 0.0059422" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00260975, 0.00299015, 0.00301355, 0.00293535, 0.00274365, 0.00242195, 0.00185115", \ + "0.000662301, 0.00117, 0.0010851, 0.0010032, 0.0008059, 0.0004503, -5.58002e-05", \ + "0.00055145, 0.00094745, 0.00100555, 0.00124725, 0.000834751, 0.000370449, -0.00015065", \ + "0.000529349, 0.00100225, 0.000993948, 0.00085355, 0.00101095, 0.00036435, 8.57487e-05", \ + "0.00048605, 0.00093865, 0.00100905, 0.00103605, 0.00077725, 0.00052445, 0.00180195", \ + "0.000451101, 0.0008092, 0.0008945, 0.000996601, 0.0010057, 0.0007539, -2.85991e-05", \ + "0.000277, 0.000638001, 0.000724601, 0.0005823, 0.0006618, 0.0006967, 0.0007437" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126701, 0.013044, 0.013105, 0.0131183, 0.0130469, 0.0128922, 0.0126634", \ + "0.0125971, 0.0130383, 0.0130567, 0.0130486, 0.0129853, 0.0128337, 0.0126271", \ + "0.0125856, 0.0129647, 0.0130563, 0.0130271, 0.0132739, 0.0128122, 0.0126355", \ + "0.0125916, 0.0129919, 0.0130311, 0.0130255, 0.0131701, 0.0130357, 0.012852", \ + "0.0126546, 0.013049, 0.0131151, 0.0131655, 0.0130178, 0.0130041, 0.0126823", \ + "0.0126772, 0.0130266, 0.0131287, 0.0131658, 0.0131699, 0.0131842, 0.0127376", \ + "0.013082, 0.0133976, 0.0134835, 0.0134991, 0.0135724, 0.0135442, 0.0134659" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126638, 0.0130527, 0.0130639, 0.0129991, 0.0128057, 0.0124796, 0.0119513", \ + "0.0126843, 0.0131469, 0.0131448, 0.0130139, 0.0128133, 0.0124789, 0.0119342", \ + "0.0127619, 0.0131386, 0.013175, 0.0134101, 0.0128885, 0.0125202, 0.0121344", \ + "0.0127876, 0.0132415, 0.0132351, 0.0130954, 0.0131293, 0.013274, 0.012568", \ + "0.0128264, 0.0132624, 0.0133364, 0.0133881, 0.0132165, 0.012706, 0.0136259", \ + "0.0127769, 0.0132254, 0.0132049, 0.0133124, 0.013322, 0.0133081, 0.0127034", \ + "0.0128892, 0.0132695, 0.0132901, 0.0133423, 0.0131478, 0.0132685, 0.0131213" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00948251, 0.00987111, 0.00989571, 0.00980505, 0.00960538, 0.00926038, 0.0087258", \ + "0.00943846, 0.00979549, 0.00999351, 0.00972565, 0.00963414, 0.00919325, 0.0086686", \ + "0.00963183, 0.00984193, 0.00990317, 0.0101923, 0.00979483, 0.00933901, 0.00880222", \ + "0.0101711, 0.0102917, 0.010328, 0.0102068, 0.0101972, 0.00964816, 0.00922721", \ + "0.0115471, 0.0114301, 0.0114702, 0.0115159, 0.0113072, 0.0110045, 0.0122034", \ + "0.0144643, 0.0139172, 0.0138933, 0.0139893, 0.0140206, 0.0137647, 0.012946", \ + "0.0203231, 0.0192671, 0.019228, 0.0189284, 0.0189725, 0.019028, 0.0188939" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.210163, 0.276354, 0.322395, 0.398576, 0.525609, 0.73727, 1.09048", \ + "0.238673, 0.304912, 0.350887, 0.426974, 0.554105, 0.76605, 1.11901", \ + "0.256759, 0.32302, 0.369016, 0.444979, 0.572046, 0.783819, 1.13722", \ + "0.281597, 0.347714, 0.393653, 0.469607, 0.596713, 0.808462, 1.16154", \ + "0.315056, 0.38116, 0.427226, 0.503331, 0.630436, 0.842185, 1.19498", \ + "0.36431, 0.430439, 0.476515, 0.552458, 0.679558, 0.891313, 1.24434", \ + "0.420844, 0.486926, 0.532945, 0.608999, 0.736217, 0.94798, 1.30099" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179924, 0.110629, 0.177954, 0.28937, 0.476001, 0.787245, 1.3056", \ + "0.0179934, 0.11063, 0.177955, 0.289371, 0.476002, 0.787246, 1.30561", \ + "0.0179944, 0.110648, 0.177956, 0.289389, 0.479471, 0.787247, 1.30603", \ + "0.0179954, 0.110649, 0.177957, 0.28939, 0.479472, 0.787286, 1.30613", \ + "0.0179959, 0.110653, 0.177986, 0.289391, 0.479473, 0.787287, 1.30614", \ + "0.018024, 0.110654, 0.177987, 0.289392, 0.479474, 0.787288, 1.30615", \ + "0.018026, 0.110655, 0.177988, 0.289405, 0.479475, 0.787289, 1.30616" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.245628, 0.305097, 0.344864, 0.410614, 0.520776, 0.703871, 1.00905", \ + "0.272988, 0.332546, 0.372324, 0.438127, 0.54838, 0.731248, 1.03645", \ + "0.289827, 0.349354, 0.389189, 0.454944, 0.564897, 0.748076, 1.05342", \ + "0.313871, 0.37341, 0.413253, 0.479023, 0.588917, 0.772097, 1.07735", \ + "0.345691, 0.40527, 0.445054, 0.510791, 0.620848, 0.803921, 1.10912", \ + "0.390005, 0.44951, 0.489339, 0.555023, 0.66501, 0.848177, 1.15331", \ + "0.448732, 0.508184, 0.547951, 0.613709, 0.723652, 0.906841, 1.21198" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179446, 0.0893906, 0.141908, 0.229357, 0.376485, 0.621007, 1.02923", \ + "0.0179545, 0.0893916, 0.141909, 0.229412, 0.376654, 0.621008, 1.02991", \ + "0.0179555, 0.0894345, 0.14191, 0.229413, 0.376655, 0.621681, 1.02992", \ + "0.0179565, 0.0894355, 0.141911, 0.229414, 0.376656, 0.621682, 1.02993", \ + "0.0179575, 0.0894365, 0.141912, 0.22943, 0.376657, 0.621683, 1.02994", \ + "0.01796, 0.0894375, 0.141922, 0.229431, 0.376658, 0.621684, 1.02995", \ + "0.018, 0.0894385, 0.141923, 0.229432, 0.376659, 0.621685, 1.02996" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.219078, 0.285251, 0.331269, 0.407408, 0.534544, 0.746369, 1.09926", \ + "0.248274, 0.314518, 0.360608, 0.436619, 0.563938, 0.775652, 1.12869", \ + "0.266326, 0.332464, 0.378498, 0.45464, 0.581797, 0.793626, 1.14667", \ + "0.290642, 0.356794, 0.402747, 0.47877, 0.605836, 0.817598, 1.17069", \ + "0.319303, 0.385513, 0.431612, 0.507667, 0.634646, 0.8466, 1.19938", \ + "0.35406, 0.420251, 0.466381, 0.542396, 0.669512, 0.881512, 1.23434", \ + "0.38757, 0.454175, 0.500273, 0.576289, 0.703343, 0.915158, 1.26807" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180003, 0.11063, 0.177955, 0.289369, 0.476018, 0.787246, 1.30556", \ + "0.0180013, 0.110631, 0.177956, 0.289383, 0.476418, 0.787247, 1.30593", \ + "0.0180023, 0.110642, 0.177957, 0.289384, 0.476419, 0.787248, 1.30594", \ + "0.0180033, 0.110643, 0.177958, 0.289385, 0.47642, 0.787249, 1.31049", \ + "0.0180043, 0.110644, 0.177959, 0.289386, 0.476421, 0.78725, 1.3105", \ + "0.0180053, 0.110645, 0.17796, 0.289387, 0.476422, 0.787251, 1.31051", \ + "0.0180063, 0.110678, 0.177961, 0.289388, 0.476423, 0.787252, 1.31052" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.228121, 0.287589, 0.327406, 0.39325, 0.503284, 0.686347, 0.991698", \ + "0.256563, 0.316008, 0.355858, 0.421599, 0.531615, 0.715047, 1.01992", \ + "0.274066, 0.333571, 0.37339, 0.43916, 0.549199, 0.732327, 1.03776", \ + "0.299389, 0.358863, 0.398684, 0.464407, 0.57429, 0.75745, 1.06271", \ + "0.330757, 0.39022, 0.430017, 0.495774, 0.605649, 0.788763, 1.09395", \ + "0.369956, 0.429404, 0.469174, 0.534931, 0.644855, 0.828107, 1.1332", \ + "0.409119, 0.468458, 0.508229, 0.573974, 0.683925, 0.867108, 1.17225" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179751, 0.089345, 0.1419, 0.229528, 0.376509, 0.621059, 1.02907", \ + "0.0179826, 0.0893459, 0.141903, 0.229562, 0.37651, 0.621667, 1.02929", \ + "0.0179836, 0.0893535, 0.141904, 0.229563, 0.376511, 0.621668, 1.02966", \ + "0.0179846, 0.0893545, 0.141905, 0.229564, 0.376512, 0.621669, 1.02967", \ + "0.0179856, 0.0893567, 0.141906, 0.229565, 0.376513, 0.62167, 1.02968", \ + "0.018026, 0.089367, 0.141907, 0.229566, 0.376514, 0.621671, 1.02969", \ + "0.018122, 0.089462, 0.141932, 0.229567, 0.376515, 0.621672, 1.0297" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.110426, 0.17675, 0.222759, 0.298813, 0.425976, 0.63775, 0.990779", \ + "0.145246, 0.211222, 0.257348, 0.333337, 0.460576, 0.672896, 1.02581", \ + "0.171102, 0.236342, 0.282244, 0.358304, 0.485401, 0.697426, 1.05026", \ + "0.208356, 0.272, 0.317867, 0.393807, 0.52081, 0.732623, 1.08569", \ + "0.258337, 0.319265, 0.364625, 0.44034, 0.567366, 0.779066, 1.1319", \ + "0.325356, 0.383077, 0.428148, 0.503484, 0.630191, 0.842046, 1.19478", \ + "0.405988, 0.459634, 0.503669, 0.578126, 0.70439, 0.915743, 1.2686" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.017915, 0.110667, 0.177955, 0.289303, 0.475985, 0.787319, 1.30566", \ + "0.0181866, 0.110672, 0.177956, 0.289382, 0.476237, 0.787786, 1.30567", \ + "0.0187094, 0.110725, 0.177957, 0.289383, 0.476238, 0.787787, 1.3058", \ + "0.0200093, 0.110793, 0.177975, 0.289421, 0.476239, 0.787788, 1.30861", \ + "0.0224806, 0.111106, 0.178148, 0.289422, 0.47624, 0.787789, 1.30862", \ + "0.026009, 0.111553, 0.178319, 0.28955, 0.476241, 0.78779, 1.30863", \ + "0.031376, 0.112912, 0.178966, 0.2899, 0.476286, 0.787791, 1.30864" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00263475, 0.00309555, 0.00314255, 0.00314715, 0.00306345, 0.00292035, 0.00264895", \ + "0.0006835, 0.0011662, 0.0012409, 0.001208, 0.0011276, 0.0009814, 0.000743501", \ + "0.00056875, 0.00104705, 0.00111365, 0.00111755, 0.00146325, 0.00082315, 0.00067545", \ + "0.000554249, 0.00102585, 0.00104475, 0.00106695, 0.00100605, 0.00135125, 0.00063695", \ + "0.000496751, 0.00097375, 0.00105605, 0.00106715, 0.00094625, 0.00101025, 0.000581751", \ + "0.0004701, 0.000909099, 0.001003, 0.0010436, 0.001069, 0.0009357, 0.0008923", \ + "0.000340201, 0.000781, 0.0008037, 0.0008481, 0.0009016, 0.0008523, 0.0009312" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0058105, 0.006135, 0.0061463, 0.0060815, 0.0059512, 0.0055985, 0.0050886", \ + "0.00565475, 0.00607045, 0.00599055, 0.00593735, 0.00583565, 0.00544475, 0.00500555", \ + "0.0056408, 0.0059479, 0.0059875, 0.0062318, 0.0059179, 0.0054341, 0.0049741", \ + "0.0056136, 0.0060026, 0.0060077, 0.0058881, 0.0058684, 0.005488, 0.0049173", \ + "0.0055487, 0.0058752, 0.0059946, 0.0060229, 0.005845, 0.0054377, 0.0060325", \ + "0.00553325, 0.00584325, 0.00589475, 0.00594475, 0.00601785, 0.00595685, 0.00514535", \ + "0.0054784, 0.0057574, 0.0057803, 0.0058605, 0.0057243, 0.005718, 0.0056237" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.018128, 0.0186084, 0.0186595, 0.0186527, 0.0185795, 0.0184435, 0.0181647", \ + "0.0180583, 0.0185435, 0.018578, 0.0185889, 0.0185706, 0.0183476, 0.0181739", \ + "0.0182272, 0.0186919, 0.0187841, 0.0187557, 0.0190437, 0.0185485, 0.0183301", \ + "0.0187181, 0.0192178, 0.0192506, 0.0192367, 0.0193481, 0.0190297, 0.019468", \ + "0.019725, 0.0202181, 0.0202964, 0.0203508, 0.0202185, 0.0201741, 0.0198387", \ + "0.0220202, 0.0224905, 0.0225846, 0.0225935, 0.0226054, 0.0225849, 0.0221602", \ + "0.0268874, 0.0273581, 0.0274295, 0.0274503, 0.0274596, 0.0274533, 0.0273343" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126673, 0.0129996, 0.0130149, 0.012968, 0.012834, 0.0124627, 0.0119674", \ + "0.0125975, 0.0129875, 0.012971, 0.0129183, 0.0127508, 0.0124984, 0.0119374", \ + "0.0125776, 0.0129032, 0.0129419, 0.0130834, 0.0128486, 0.0124005, 0.0119674", \ + "0.0125835, 0.012963, 0.0129906, 0.0128444, 0.0128946, 0.0125512, 0.0119379", \ + "0.0126491, 0.0129782, 0.0130827, 0.0131332, 0.0130289, 0.0125385, 0.0126469", \ + "0.0126694, 0.0130013, 0.0130296, 0.013104, 0.0131452, 0.0131539, 0.0125786", \ + "0.0130772, 0.0133495, 0.0133843, 0.0134539, 0.013312, 0.0133991, 0.0132749" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0094936, 0.00996911, 0.0100115, 0.0100008, 0.00994961, 0.00979807, 0.00951513", \ + "0.00944274, 0.00988391, 0.00991991, 0.00990321, 0.00990461, 0.00978175, 0.0095614", \ + "0.00964542, 0.00994747, 0.0100513, 0.0100455, 0.0102844, 0.00983684, 0.0096189", \ + "0.0101628, 0.0103313, 0.010357, 0.0104055, 0.0105185, 0.010182, 0.0104176", \ + "0.0115484, 0.0114276, 0.0114791, 0.0115165, 0.0114495, 0.0114694, 0.0110778", \ + "0.0144714, 0.0139481, 0.0139605, 0.0139893, 0.0140045, 0.0138383, 0.0139119", \ + "0.0203571, 0.0193165, 0.0191521, 0.0190777, 0.0190758, 0.01906, 0.0190469" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0020585; + rise_capacitance : 0.00223899; + rise_capacitance_range (0.00223899, 0.00223899); + fall_capacitance : 0.00187801; + fall_capacitance_range (0.00187801, 0.00187801); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0953624, -0.0551547, -0.0272905, 0.0126637", \ + "-0.18694, -0.142602, -0.111797, -0.0736253", \ + "-0.240174, -0.189781, -0.159203, -0.120182", \ + "-0.29869, -0.245259, -0.210337, -0.174141" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0440134, 0.0671408, 0.142447, 0.223136", \ + "-0.13952, -0.0229181, 0.0560377, 0.138048", \ + "-0.199026, -0.0796394, 0, 0.0860396", \ + "-0.255516, -0.13255, -0.0493152, 0.03837" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.107588, 0.0751213, 0.0710107, 0.0736839", \ + "0.196924, 0.157881, 0.145888, 0.139601", \ + "0.24789, 0.205515, 0.188886, 0.176681", \ + "0.306785, 0.259004, 0.235762, 0.221365" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0537942, -0.0596533, -0.134731, -0.212343", \ + "0.147007, 0.0305575, -0.0481705, -0.129801", \ + "0.206741, 0.0875066, 0.00539673, -0.0803897", \ + "0.263612, 0.140797, 0.05779, -0.0295154" \ + ); + } + } + internal_power () { + when : "(!GATE * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00412731, 0.00399898, 0.00420664, 0.00493788, 0.00653808, 0.0101898, 0.017909" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00831765, 0.0083075, 0.00860507, 0.00928416, 0.0110478, 0.014827, 0.0228063" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0208618, 0.0209689, 0.0212276, 0.0219746, 0.02369, 0.0273477, 0.0353172" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0267229, 0.0305066, 0.0309709, 0.0317149, 0.0335073, 0.0373744, 0.0456164" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0208618, 0.0209689, 0.0212276, 0.0219746, 0.02369, 0.0273477, 0.0353172" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0267229, 0.0305066, 0.0309709, 0.0317149, 0.0335073, 0.0373744, 0.0456164" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00223845; + rise_capacitance : 0.00256298; + rise_capacitance_range (0.00256298, 0.00256298); + fall_capacitance : 0.00167053; + fall_capacitance_range (0.00167053, 0.00167053); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0904846, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0145738, 0.0142716, 0.0144544, 0.0153882, 0.0174852, 0.022333, 0.0325181" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0152782, 0.0150596, 0.015485, 0.0164007, 0.0187885, 0.0239548, 0.0346863" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0187616, 0.0191342, 0.0194488, 0.0203061, 0.0224674, 0.0273742, 0.0373908" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0109095, 0.0107268, 0.0109216, 0.0118434, 0.0137822, 0.0184254, 0.027976" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0188274, 0.0191971, 0.0195152, 0.0203979, 0.0225195, 0.0274859, 0.0374565" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0109095, 0.0107268, 0.0109216, 0.0118434, 0.0137822, 0.0184254, 0.027976" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0187616, 0.0191342, 0.0194488, 0.0203061, 0.0224674, 0.0273742, 0.0373908" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0030417; + rise_capacitance : 0.00313441; + rise_capacitance_range (0.00313441, 0.00313441); + fall_capacitance : 0.00298608; + fall_capacitance_range (0.00298608, 0.00298608); + timing () { + related_pin : "GATE"; + timing_type : recovery_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.00733557, -0.107074, -0.17588, -0.252818", \ + "0.0596533, -0.0509292, -0.132088, -0.220518", \ + "0.101298, -0.00952261, -0.0917444, -0.187738", \ + "0.15028, 0.0445817, -0.0382583, -0.132819" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : removal_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0220067, 0.129536, 0.209313, 0.301389", \ + "-0.0446784, 0.0687544, 0.153067, 0.250757", \ + "-0.0884395, 0.0252571, 0.110633, 0.207512", \ + "-0.139487, -0.0308367, 0.055208, 0.150528" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.20462, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.06038e-05, -7.1787e-05, -7.10356e-05, -7.66257e-05, -6.25527e-05, -7.14492e-05, -6.83468e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000333015, 0.000257096, 0.000231186, 0.000211595, 0.000193862, 0.000181495, 0.000165679" \ + ); + } + } + internal_power () { + when : "(!D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.89883e-05, -7.65053e-05, -7.0595e-05, -7.66279e-05, -6.33913e-05, -7.0307e-05, -6.75767e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00033658, 0.000255384, 0.000231854, 0.000210824, 0.000194487, 0.000183666, 0.000166662" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-5.89883e-05, -7.65053e-05, -7.0595e-05, -7.66279e-05, -6.33913e-05, -7.0307e-05, -6.75767e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00033658, 0.000255384, 0.000231854, 0.000210824, 0.000194487, 0.000183666, 0.000166662" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dlhrq_1) { + area : 27.216; + cell_footprint : "DLHRQ"; + cell_leakage_power : 2905.83; + leakage_power () { + value : 2461.77; + when : "!RESET_B*!Q*!D*!GATE"; + } + leakage_power () { + value : 2736.44; + when : "!RESET_B*!Q*!D*GATE"; + } + leakage_power () { + value : 3225.03; + when : "!RESET_B*!Q*D*!GATE"; + } + leakage_power () { + value : 2576.94; + when : "!RESET_B*!Q*D*GATE"; + } + leakage_power () { + value : 2615.17; + when : "RESET_B*!GATE*!D*!Q"; + } + leakage_power () { + value : 2615.17; + when : "RESET_B*!GATE*!D*Q"; + } + leakage_power () { + value : 3378.49; + when : "RESET_B*!GATE*D*!Q"; + } + leakage_power () { + value : 3378.49; + when : "RESET_B*!GATE*D*Q"; + } + leakage_power () { + value : 3123.69; + when : "D*GATE*RESET_B*Q"; + } + leakage_power () { + value : 2947.14; + when : "!D*GATE*RESET_B*!Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.187727, 0.262615, 0.309089, 0.384923, 0.512239, 0.724072, 1.07673", \ + "0.215459, 0.290398, 0.33683, 0.412861, 0.540041, 0.751566, 1.10423", \ + "0.232792, 0.307619, 0.354052, 0.430086, 0.557152, 0.768745, 1.12113", \ + "0.257373, 0.332276, 0.378635, 0.454782, 0.581764, 0.79335, 1.1459", \ + "0.28994, 0.364857, 0.411219, 0.487291, 0.614362, 0.825927, 1.17841", \ + "0.33433, 0.409295, 0.455707, 0.531882, 0.658914, 0.87045, 1.22302", \ + "0.393772, 0.468836, 0.515379, 0.591422, 0.718558, 0.930241, 1.2828" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0232107, 0.113214, 0.17947, 0.290418, 0.476778, 0.787384, 1.30524", \ + "0.0232117, 0.113215, 0.179471, 0.290419, 0.476779, 0.787385, 1.30525", \ + "0.0232127, 0.113216, 0.179472, 0.29042, 0.47678, 0.787386, 1.30526", \ + "0.0232137, 0.113217, 0.179473, 0.290421, 0.476781, 0.787387, 1.30527", \ + "0.0232147, 0.113232, 0.179489, 0.290422, 0.476782, 0.787388, 1.30528", \ + "0.023336, 0.113244, 0.17949, 0.290423, 0.476783, 0.787389, 1.30529", \ + "0.023567, 0.113337, 0.179544, 0.290424, 0.476784, 0.78739, 1.3053" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.164978, 0.230736, 0.270972, 0.337102, 0.447545, 0.631568, 0.938105", \ + "0.193905, 0.259704, 0.299961, 0.366053, 0.476474, 0.660474, 0.967051", \ + "0.212379, 0.278107, 0.318336, 0.384449, 0.494963, 0.678924, 0.98544", \ + "0.237933, 0.303684, 0.343893, 0.410007, 0.520451, 0.704383, 1.01095", \ + "0.271854, 0.337585, 0.37782, 0.443953, 0.55442, 0.738313, 1.04479", \ + "0.321895, 0.387754, 0.42798, 0.494114, 0.604589, 0.788545, 1.09503", \ + "0.379237, 0.44521, 0.485462, 0.551583, 0.662096, 0.84606, 1.15256" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0182404, 0.0901103, 0.142529, 0.230268, 0.378114, 0.623862, 1.0346", \ + "0.0182414, 0.0901128, 0.14253, 0.230877, 0.378115, 0.623863, 1.03461", \ + "0.0182424, 0.0901138, 0.142531, 0.230878, 0.378116, 0.623864, 1.03462", \ + "0.0182434, 0.0901148, 0.1426, 0.230879, 0.378117, 0.623865, 1.03722", \ + "0.0183292, 0.0901158, 0.142601, 0.23088, 0.378118, 0.623929, 1.03723", \ + "0.018449, 0.090197, 0.142602, 0.230881, 0.378119, 0.62393, 1.03724", \ + "0.018757, 0.090251, 0.142625, 0.230882, 0.37812, 0.624094, 1.03725" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.169239, 0.244414, 0.290761, 0.36688, 0.493959, 0.705592, 1.05835", \ + "0.197865, 0.273186, 0.319554, 0.395663, 0.52271, 0.734499, 1.08685", \ + "0.215688, 0.290915, 0.337251, 0.413284, 0.540382, 0.752085, 1.10442", \ + "0.24103, 0.316214, 0.362557, 0.438685, 0.565731, 0.777228, 1.12969", \ + "0.272527, 0.347861, 0.394241, 0.470298, 0.597333, 0.808886, 1.16131", \ + "0.310936, 0.386384, 0.432789, 0.508854, 0.63597, 0.847514, 1.20005", \ + "0.350935, 0.426648, 0.473111, 0.54921, 0.676312, 0.887962, 1.24037" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0233229, 0.113241, 0.179512, 0.290417, 0.476756, 0.787386, 1.30524", \ + "0.0233239, 0.113249, 0.179513, 0.291294, 0.476757, 0.787475, 1.3056", \ + "0.0233523, 0.11325, 0.179514, 0.291295, 0.476758, 0.787553, 1.30561", \ + "0.0234904, 0.113251, 0.179528, 0.291296, 0.476759, 0.787554, 1.30999", \ + "0.023604, 0.113302, 0.179529, 0.291297, 0.47676, 0.787555, 1.31", \ + "0.024001, 0.113391, 0.179576, 0.291298, 0.476761, 0.787556, 1.31001", \ + "0.024738, 0.113597, 0.17971, 0.291299, 0.476762, 0.787557, 1.31002" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.173583, 0.239501, 0.279793, 0.345874, 0.456299, 0.640362, 0.946813", \ + "0.203001, 0.268993, 0.309151, 0.375266, 0.485744, 0.669781, 0.976313", \ + "0.221175, 0.287091, 0.327332, 0.393447, 0.503892, 0.687933, 0.994984", \ + "0.245821, 0.311729, 0.35195, 0.418068, 0.528507, 0.712441, 1.01891", \ + "0.274735, 0.34071, 0.380929, 0.44702, 0.557464, 0.741499, 1.04783", \ + "0.309967, 0.376109, 0.416318, 0.48245, 0.592889, 0.776859, 1.08328", \ + "0.344005, 0.409962, 0.450181, 0.51627, 0.626753, 0.810802, 1.11726" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0185896, 0.0901441, 0.142582, 0.230257, 0.378115, 0.623862, 1.03464", \ + "0.0185985, 0.0901451, 0.142583, 0.230462, 0.378116, 0.623863, 1.03465", \ + "0.0185995, 0.0901566, 0.142584, 0.230463, 0.378117, 0.623999, 1.03515", \ + "0.0186005, 0.0901576, 0.142609, 0.230464, 0.378118, 0.62426, 1.03516", \ + "0.0186015, 0.0901614, 0.14261, 0.230465, 0.378119, 0.624261, 1.03517", \ + "0.018603, 0.0901624, 0.142611, 0.230466, 0.37812, 0.624262, 1.03518", \ + "0.018606, 0.0901634, 0.142612, 0.230467, 0.378121, 0.624263, 1.03519" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0656277, 0.131568, 0.171905, 0.238038, 0.348555, 0.532726, 0.839332", \ + "0.0981835, 0.165083, 0.205551, 0.271751, 0.382372, 0.566395, 0.873046", \ + "0.120322, 0.189109, 0.229719, 0.296021, 0.40664, 0.590703, 0.898151", \ + "0.151892, 0.223916, 0.264775, 0.331176, 0.441929, 0.626081, 0.932679", \ + "0.192309, 0.27196, 0.313283, 0.379245, 0.489896, 0.674251, 0.981055", \ + "0.250515, 0.340223, 0.382352, 0.449238, 0.560326, 0.744088, 1.05086", \ + "0.319543, 0.425348, 0.471508, 0.540626, 0.654119, 0.838284, 1.14614" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179033, 0.0903322, 0.142816, 0.230482, 0.377985, 0.62404, 1.03413", \ + "0.0200257, 0.0908498, 0.143071, 0.230744, 0.37812, 0.624045, 1.03479", \ + "0.0230036, 0.0920556, 0.143827, 0.231016, 0.378423, 0.624308, 1.03569", \ + "0.0280648, 0.094355, 0.145318, 0.23189, 0.378726, 0.62469, 1.0357", \ + "0.0361198, 0.100184, 0.148768, 0.233628, 0.37978, 0.6253, 1.03571", \ + "0.046541, 0.109873, 0.155228, 0.237679, 0.381951, 0.627027, 1.03579", \ + "0.062927, 0.128983, 0.171831, 0.249875, 0.391064, 0.632979, 1.04035" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.001263, 0.0015938, 0.0016305, 0.0015621, 0.0014822, 0.0012577, 0.000934901", \ + "0.000889199, 0.001293, 0.0012804, 0.0012471, 0.0011373, 0.0008651, 0.000538699", \ + "0.000914099, 0.0012055, 0.0013054, 0.0012037, 0.0013946, 0.000855599, 0.0005497", \ + "0.000854202, 0.0012444, 0.0012459, 0.001199, 0.0014631, 0.000902301, 0.0005686", \ + "0.0007593, 0.0010994, 0.0011872, 0.0012225, 0.0010248, 0.0009837, 0.000427201", \ + "0.000667201, 0.0009891, 0.0011034, 0.0011119, 0.0011646, 0.0010487, 0.000523001", \ + "0.000569101, 0.000848502, 0.000944901, 0.000939701, 0.0010161, 0.000999503, 0.0010035" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.001263, -0.0015938, -0.0016305, -0.0015621, -0.0014822, -0.0012577, -0.000934901", \ + "-0.000889199, -0.001293, -0.0012804, -0.0012471, -0.0011373, -0.0008651, -0.000538699", \ + "-0.000914099, -0.0012055, -0.0013054, -0.0012037, -0.0013946, -0.000855599, -0.0005497", \ + "-0.000854202, -0.0012444, -0.0012459, -0.001199, -0.0014631, -0.000902301, -0.0005686", \ + "-0.0007593, -0.0010994, -0.0011872, -0.0012225, -0.0010248, -0.0009837, -0.000427201", \ + "-0.000667201, -0.0009891, -0.0011034, -0.0011119, -0.0011646, -0.0010487, -0.000523001", \ + "-0.000569101, -0.000848502, -0.000944901, -0.000939701, -0.0010161, -0.000999503, -0.0010035" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153803, 0.0157291, 0.015765, 0.0157309, 0.0156115, 0.0153597, 0.0150559", \ + "0.0152173, 0.0156837, 0.0156948, 0.015692, 0.0154935, 0.0152718, 0.0149327", \ + "0.0152275, 0.0155273, 0.0156217, 0.0155499, 0.0156829, 0.0151949, 0.014846", \ + "0.015191, 0.0155837, 0.015584, 0.0155609, 0.0155626, 0.0153891, 0.015317", \ + "0.015328, 0.0156837, 0.0157815, 0.0158201, 0.0156046, 0.0155567, 0.0149966", \ + "0.0154778, 0.0157883, 0.0158822, 0.0159131, 0.0159448, 0.0158437, 0.0152654", \ + "0.0163191, 0.0165473, 0.0166259, 0.0166628, 0.0166936, 0.0167005, 0.0166328" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153912, 0.0159149, 0.0159551, 0.0159008, 0.0158122, 0.0155737, 0.0151149", \ + "0.0154205, 0.0159836, 0.0160039, 0.0160142, 0.0158449, 0.0156068, 0.0151324", \ + "0.0155506, 0.0160519, 0.0160532, 0.0161676, 0.0159468, 0.0157141, 0.0154325", \ + "0.0155963, 0.0161471, 0.0162133, 0.0161079, 0.0160641, 0.0161965, 0.0159881", \ + "0.0156756, 0.0162447, 0.0162789, 0.0163193, 0.0162891, 0.0157859, 0.0161693", \ + "0.0155962, 0.0162022, 0.0161724, 0.0162771, 0.0162752, 0.0163344, 0.0159071", \ + "0.0158724, 0.0163864, 0.0163859, 0.016475, 0.0163041, 0.016464, 0.0165059" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00939012, 0.00990242, 0.00992312, 0.00987852, 0.00975476, 0.00955197, 0.00913433", \ + "0.00937038, 0.00974427, 0.00989478, 0.00983832, 0.00971554, 0.00947751, 0.00908263", \ + "0.00970875, 0.0099786, 0.0099924, 0.0101407, 0.0100124, 0.00971041, 0.00946341", \ + "0.0106481, 0.0107246, 0.010855, 0.010719, 0.0107857, 0.0105226, 0.0102541", \ + "0.0130273, 0.012844, 0.0129125, 0.0128864, 0.0128845, 0.0124972, 0.013025", \ + "0.0180836, 0.0174121, 0.0173081, 0.0174029, 0.0174895, 0.0173451, 0.0168072", \ + "0.0283728, 0.0268851, 0.0267908, 0.0264738, 0.0265761, 0.0263667, 0.0266448" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00211008; + rise_capacitance : 0.00232847; + rise_capacitance_range (0.00232847, 0.00232847); + fall_capacitance : 0.00189169; + fall_capacitance_range (0.00189169, 0.00189169); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0880269, -0.050163, -0.0195752, 0.0207588", \ + "-0.181949, -0.137509, -0.106552, -0.0681273", \ + "-0.235031, -0.187158, -0.153807, -0.114532", \ + "-0.293294, -0.24251, -0.207512, -0.168238" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0440134, 0.0696366, 0.145019, 0.225834", \ + "-0.13952, -0.0229181, 0.0560377, 0.140797", \ + "-0.201598, -0.0796394, 0, 0.0888645", \ + "-0.258215, -0.135299, -0.0521401, 0.03837" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0978076, 0.0701296, 0.0658672, 0.0736839", \ + "0.189436, 0.155334, 0.140643, 0.136852", \ + "0.242746, 0.202893, 0.183489, 0.173856", \ + "0.301389, 0.256255, 0.232937, 0.215462" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.051349, -0.0621491, -0.137303, -0.217739", \ + "0.147007, 0.0280111, -0.0481705, -0.13255", \ + "0.206741, 0.0875066, 0.00539673, -0.0803897", \ + "0.26631, 0.140797, 0.05779, -0.0324669" \ + ); + } + } + internal_power () { + when : "(!GATE * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00143914, 0.00131038, 0.00151721, 0.00224363, 0.00381046, 0.00745722, 0.0151675" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00576441, 0.00574238, 0.00598955, 0.00671276, 0.00844072, 0.0121954, 0.020152" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0214238, 0.0215671, 0.0217927, 0.0225336, 0.0241961, 0.0279659, 0.0357942" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0272189, 0.0308988, 0.031352, 0.0320859, 0.0338178, 0.0377942, 0.0459017" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0214238, 0.0215671, 0.0217927, 0.0225336, 0.0241961, 0.0279659, 0.0357942" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0272189, 0.0308988, 0.031352, 0.0320859, 0.0338178, 0.0377942, 0.0459017" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00218632; + rise_capacitance : 0.00258504; + rise_capacitance_range (0.00258504, 0.00258504); + fall_capacitance : 0.00168791; + fall_capacitance_range (0.00168791, 0.00168791); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0827026, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0150338, 0.0147295, 0.0149132, 0.0158235, 0.0179003, 0.0227352, 0.0328858" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0149045, 0.0146884, 0.0150925, 0.0160097, 0.0184277, 0.0235755, 0.0342874" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0190182, 0.0194509, 0.019768, 0.020623, 0.0227779, 0.0275945, 0.0375845" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0113081, 0.0111275, 0.0113336, 0.0122447, 0.0141768, 0.018782, 0.0283141" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0190853, 0.0195197, 0.0198244, 0.0206996, 0.0228273, 0.0277096, 0.0376515" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0113081, 0.0111275, 0.0113336, 0.0122447, 0.0141768, 0.018782, 0.0283141" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0190182, 0.0194509, 0.019768, 0.020623, 0.0227779, 0.0275945, 0.0375845" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00287607; + rise_capacitance : 0.00293674; + rise_capacitance_range (0.00293674, 0.00293674); + fall_capacitance : 0.00283057; + fall_capacitance_range (0.00283057, 0.00283057); + timing () { + related_pin : "GATE"; + timing_type : recovery_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0171163, -0.119553, -0.191311, -0.269008", \ + "0.0346951, -0.0789403, -0.158312, -0.250757", \ + "0.0627217, -0.0488588, -0.134918, -0.227287", \ + "0.096313, -0.0131473, -0.0975823, -0.19185" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : removal_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0293423, 0.13952, 0.222172, 0.317579", \ + "-0.0222159, 0.094219, 0.179291, 0.275498", \ + "-0.0524346, 0.0619709, 0.14841, 0.247062", \ + "-0.0855195, 0.0268923, 0.111707, 0.209559" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.199432, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.11386e-05, 7.17967e-05, 7.30559e-05, 7.52886e-05, 8.36287e-05, 7.49362e-05, 7.7799e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000215092, 0.000132189, 0.000107008, 8.52606e-05, 6.97168e-05, 5.56498e-05, 4.0111e-05" \ + ); + } + } + internal_power () { + when : "(!D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.41445e-05, 7.17166e-05, 7.34405e-05, 7.47174e-05, 8.26852e-05, 7.54013e-05, 7.71637e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000215381, 0.000130917, 0.000102402, 8.38091e-05, 6.85377e-05, 5.45303e-05, 3.88748e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.41445e-05, 7.17166e-05, 7.34405e-05, 7.47174e-05, 8.26852e-05, 7.54013e-05, 7.71637e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000215381, 0.000130917, 0.000102402, 8.38091e-05, 6.85377e-05, 5.45303e-05, 3.88748e-05" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dllr_1) { + area : 34.4736; + cell_footprint : "DLLR"; + cell_leakage_power : 3805.05; + leakage_power () { + value : 3573.21; + when : "!RESET_B*!Q*Q_N*!D*!GATE_N"; + } + leakage_power () { + value : 3098.98; + when : "!RESET_B*!Q*Q_N*!D*GATE_N"; + } + leakage_power () { + value : 3354.2; + when : "!RESET_B*!Q*Q_N*D*!GATE_N"; + } + leakage_power () { + value : 4004.66; + when : "!RESET_B*!Q*Q_N*D*GATE_N"; + } + leakage_power () { + value : 3724.67; + when : "RESET_B*!GATE_N*!D*!Q*!Q_N"; + } + leakage_power () { + value : 3724.67; + when : "RESET_B*!GATE_N*!D*!Q*Q_N"; + } + leakage_power () { + value : 3724.67; + when : "RESET_B*!GATE_N*!D*Q*!Q_N"; + } + leakage_power () { + value : 3724.67; + when : "RESET_B*!GATE_N*!D*Q*Q_N"; + } + leakage_power () { + value : 4197.96; + when : "RESET_B*!GATE_N*D*!Q*!Q_N"; + } + leakage_power () { + value : 4197.96; + when : "RESET_B*!GATE_N*D*!Q*Q_N"; + } + leakage_power () { + value : 4197.96; + when : "RESET_B*!GATE_N*D*Q*!Q_N"; + } + leakage_power () { + value : 4197.96; + when : "RESET_B*!GATE_N*D*Q*Q_N"; + } + leakage_power () { + value : 4156.36; + when : "D*GATE_N*RESET_B*Q*!Q_N"; + } + leakage_power () { + value : 3392.84; + when : "!D*GATE_N*RESET_B*!Q*Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.204626, 0.286637, 0.333515, 0.410034, 0.537184, 0.749207, 1.1025", \ + "0.231901, 0.313949, 0.360827, 0.437199, 0.564839, 0.776617, 1.12957", \ + "0.248717, 0.330735, 0.377626, 0.45386, 0.58136, 0.793087, 1.14618", \ + "0.272696, 0.354594, 0.401493, 0.477795, 0.605027, 0.816896, 1.17005", \ + "0.304478, 0.386416, 0.433315, 0.509646, 0.636946, 0.848872, 1.20173", \ + "0.347469, 0.429443, 0.476337, 0.552769, 0.679946, 0.892088, 1.24491", \ + "0.406503, 0.48868, 0.535519, 0.611886, 0.739236, 0.951204, 1.30419" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.02668, 0.116898, 0.18148, 0.291231, 0.477453, 0.788196, 1.30691", \ + "0.026681, 0.116906, 0.181481, 0.291232, 0.477691, 0.788306, 1.30701", \ + "0.026682, 0.11696, 0.181482, 0.291233, 0.477692, 0.788471, 1.30702", \ + "0.026683, 0.116961, 0.181483, 0.291234, 0.477693, 0.788472, 1.31159", \ + "0.026684, 0.116962, 0.181484, 0.291235, 0.477694, 0.788473, 1.3116", \ + "0.026776, 0.116963, 0.181501, 0.291236, 0.477695, 0.788474, 1.31161", \ + "0.026994, 0.11712, 0.181544, 0.291262, 0.477696, 0.788475, 1.31162" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.172965, 0.243292, 0.283632, 0.349562, 0.459527, 0.642695, 0.947838", \ + "0.201343, 0.271636, 0.312024, 0.377836, 0.487857, 0.671028, 0.976227", \ + "0.219405, 0.289704, 0.330046, 0.39594, 0.505937, 0.689105, 0.994289", \ + "0.244835, 0.315108, 0.355429, 0.421307, 0.531306, 0.714416, 1.01968", \ + "0.277398, 0.347726, 0.388136, 0.453971, 0.563985, 0.747069, 1.05222", \ + "0.326651, 0.397043, 0.437406, 0.503267, 0.613288, 0.796475, 1.10157", \ + "0.382886, 0.453431, 0.493854, 0.559723, 0.669736, 0.852902, 1.1582" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.020089, 0.092057, 0.142869, 0.229385, 0.376024, 0.620996, 1.02866", \ + "0.020108, 0.0920665, 0.14287, 0.229386, 0.376025, 0.620997, 1.02875", \ + "0.020109, 0.0920824, 0.142871, 0.229387, 0.376026, 0.620998, 1.02909", \ + "0.02011, 0.0921028, 0.142873, 0.229388, 0.376027, 0.620999, 1.03198", \ + "0.0201682, 0.0921038, 0.142878, 0.229389, 0.376028, 0.621, 1.03199", \ + "0.020258, 0.0921048, 0.142884, 0.22939, 0.376029, 0.621001, 1.032", \ + "0.020535, 0.092132, 0.142934, 0.229401, 0.37603, 0.621002, 1.03201" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.226192, 0.308592, 0.355503, 0.431698, 0.559184, 0.77108, 1.12423", \ + "0.258431, 0.34071, 0.387597, 0.463931, 0.591727, 0.803298, 1.15688", \ + "0.280131, 0.362446, 0.409352, 0.485695, 0.613014, 0.825308, 1.17791", \ + "0.312011, 0.394353, 0.441286, 0.517596, 0.644872, 0.856745, 1.21013", \ + "0.355847, 0.43806, 0.484963, 0.561254, 0.688561, 0.900385, 1.25329", \ + "0.422216, 0.504494, 0.551382, 0.627708, 0.754999, 0.966868, 1.31976", \ + "0.510122, 0.592396, 0.639293, 0.715623, 0.842952, 1.05496, 1.40797" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0268537, 0.117021, 0.181503, 0.291227, 0.477268, 0.788356, 1.30691", \ + "0.0268547, 0.117022, 0.181504, 0.291254, 0.477809, 0.788357, 1.30709", \ + "0.0268586, 0.117023, 0.181505, 0.291255, 0.47781, 0.788633, 1.3071", \ + "0.0268596, 0.117024, 0.181506, 0.291256, 0.477811, 0.788634, 1.30711", \ + "0.0268759, 0.117025, 0.181507, 0.291257, 0.477812, 0.788635, 1.30712", \ + "0.0268769, 0.117026, 0.181508, 0.291258, 0.477813, 0.788636, 1.30713", \ + "0.0268779, 0.117027, 0.181509, 0.291259, 0.477814, 0.788637, 1.30714" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.166967, 0.237458, 0.277814, 0.343673, 0.453691, 0.636835, 0.941968", \ + "0.19968, 0.270229, 0.310586, 0.376451, 0.486439, 0.669659, 0.974801", \ + "0.223474, 0.294025, 0.334429, 0.40023, 0.510242, 0.6934, 0.998574", \ + "0.258175, 0.32875, 0.369106, 0.434952, 0.544942, 0.728092, 1.03345", \ + "0.307733, 0.378377, 0.418739, 0.484591, 0.594592, 0.777717, 1.08286", \ + "0.381425, 0.452195, 0.492572, 0.55844, 0.668486, 0.851735, 1.15675", \ + "0.481586, 0.552771, 0.593184, 0.659093, 0.769161, 0.952294, 1.2575" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204693, 0.0921132, 0.142885, 0.229249, 0.37572, 0.620997, 1.02903", \ + "0.0205232, 0.0921142, 0.142886, 0.229504, 0.37591, 0.620998, 1.02904", \ + "0.0205242, 0.0921152, 0.142893, 0.229505, 0.375911, 0.620999, 1.02909", \ + "0.020633, 0.0921364, 0.142925, 0.229506, 0.375912, 0.621, 1.0291", \ + "0.0207753, 0.0921374, 0.142926, 0.229507, 0.375913, 0.621001, 1.02911", \ + "0.021118, 0.092246, 0.142927, 0.229508, 0.375953, 0.621002, 1.02912", \ + "0.02195, 0.09247, 0.143077, 0.229509, 0.375954, 0.621003, 1.02913" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0712878, 0.144511, 0.18609, 0.252267, 0.362076, 0.545089, 0.850267", \ + "0.1051, 0.179418, 0.220973, 0.287163, 0.396968, 0.580021, 0.885205", \ + "0.12886, 0.206053, 0.247471, 0.313559, 0.423452, 0.606601, 0.912089", \ + "0.162481, 0.244942, 0.286486, 0.352473, 0.462447, 0.645369, 0.950517", \ + "0.206129, 0.299029, 0.340523, 0.406186, 0.515938, 0.69893, 1.00397", \ + "0.266423, 0.372444, 0.413835, 0.479013, 0.588277, 0.771056, 1.07601", \ + "0.336342, 0.459247, 0.500086, 0.56462, 0.673088, 0.855571, 1.16026" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0202695, 0.0952254, 0.145479, 0.230438, 0.375148, 0.619352, 1.02751", \ + "0.0223644, 0.0956937, 0.14548, 0.230439, 0.375515, 0.619673, 1.02755", \ + "0.025844, 0.0970199, 0.145855, 0.23044, 0.375516, 0.619849, 1.02799", \ + "0.0315606, 0.100241, 0.147282, 0.230441, 0.375517, 0.61985, 1.03063", \ + "0.0413785, 0.106734, 0.150307, 0.230973, 0.375518, 0.619851, 1.03064", \ + "0.053876, 0.115975, 0.154256, 0.232002, 0.375519, 0.619978, 1.03065", \ + "0.073098, 0.129069, 0.159547, 0.232902, 0.375765, 0.619979, 1.03066" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122498, 0.0333895, 0.0477018, 0.0712368, 0.110497, 0.175774, 0.284695", \ + "0.0116803, 0.0328801, 0.0472413, 0.0706896, 0.110049, 0.175242, 0.284216", \ + "0.0116054, 0.0327727, 0.0471618, 0.0706356, 0.110673, 0.175211, 0.284092", \ + "0.0115924, 0.0327578, 0.047107, 0.0705554, 0.110255, 0.17564, 0.285193", \ + "0.0114692, 0.0326502, 0.04702, 0.0705791, 0.109884, 0.175371, 0.283981", \ + "0.0113838, 0.0325114, 0.0469088, 0.0704486, 0.10988, 0.175533, 0.28392", \ + "0.0113233, 0.0324151, 0.0468024, 0.0702791, 0.109764, 0.175414, 0.2847" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0088879, 0.0300706, 0.044325, 0.0676953, 0.106682, 0.17166, 0.279797", \ + "0.0012261, 0.0226427, 0.0367832, 0.060031, 0.0990102, 0.16394, 0.272213", \ + "0.0003516, 0.0215461, 0.0358805, 0.0594944, 0.0984697, 0.163048, 0.271411", \ + "0.000266902, 0.0215756, 0.0357132, 0.0590153, 0.098875, 0.163131, 0.27191", \ + "0.0001426, 0.0214779, 0.03585, 0.0594014, 0.0980224, 0.163359, 0.274967", \ + "1.83992e-05, 0.0211353, 0.0355392, 0.0592431, 0.0986278, 0.163807, 0.271481", \ + "-0.0001982, 0.0209566, 0.0353133, 0.0585741, 0.0980801, 0.163696, 0.273149" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0281608, 0.0493604, 0.0636422, 0.0871803, 0.126417, 0.191709, 0.300626", \ + "0.0281612, 0.0493844, 0.0636577, 0.0871951, 0.126529, 0.191715, 0.300802", \ + "0.0281111, 0.0493248, 0.0637491, 0.0873244, 0.126403, 0.191752, 0.300574", \ + "0.0280983, 0.0492725, 0.0635774, 0.0872067, 0.12632, 0.192678, 0.300583", \ + "0.0280772, 0.0492848, 0.0636671, 0.0871848, 0.126229, 0.192141, 0.300888", \ + "0.0280249, 0.0492508, 0.063627, 0.0872209, 0.126521, 0.1919, 0.301285", \ + "0.027864, 0.0490194, 0.063344, 0.0869928, 0.126291, 0.192088, 0.301299" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0261252, 0.0472959, 0.06154, 0.0848901, 0.123875, 0.18889, 0.297113", \ + "0.0260197, 0.0473478, 0.0614882, 0.0848848, 0.123855, 0.188726, 0.296946", \ + "0.0259978, 0.0471754, 0.0615535, 0.0853966, 0.12416, 0.188731, 0.297029", \ + "0.0260112, 0.0473114, 0.0614618, 0.0848288, 0.124671, 0.188949, 0.29698", \ + "0.0260025, 0.0472916, 0.0616893, 0.0852448, 0.123747, 0.189234, 0.299434", \ + "0.0263235, 0.0474528, 0.0618504, 0.0854199, 0.124891, 0.189989, 0.298075", \ + "0.0267637, 0.0479061, 0.0620828, 0.0854828, 0.125173, 0.190748, 0.300054" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0280877, 0.0493768, 0.0636134, 0.08697, 0.125881, 0.190818, 0.298999", \ + "0.0277534, 0.0489454, 0.0635727, 0.0865262, 0.125556, 0.190468, 0.298653", \ + "0.0279689, 0.0488729, 0.0631867, 0.0870376, 0.125619, 0.190643, 0.298946", \ + "0.0288186, 0.0495144, 0.0638136, 0.0870715, 0.12662, 0.191233, 0.299918", \ + "0.0313653, 0.0515132, 0.0658765, 0.08938, 0.128325, 0.193473, 0.303777", \ + "0.0368137, 0.0560136, 0.07028, 0.0937767, 0.133252, 0.198525, 0.306485", \ + "0.0469438, 0.0649379, 0.0789095, 0.102024, 0.141327, 0.206854, 0.316166" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.211966, 0.278039, 0.324089, 0.400105, 0.527051, 0.738535, 1.09118", \ + "0.240267, 0.306422, 0.352371, 0.428385, 0.555374, 0.767058, 1.12034", \ + "0.25838, 0.324471, 0.370445, 0.446369, 0.573472, 0.78495, 1.1377", \ + "0.283483, 0.349576, 0.395767, 0.471604, 0.598513, 0.810071, 1.16277", \ + "0.316376, 0.382539, 0.428548, 0.504491, 0.631313, 0.842919, 1.19537", \ + "0.365635, 0.431688, 0.477751, 0.553592, 0.680573, 0.892183, 1.24462", \ + "0.422313, 0.48833, 0.534361, 0.610353, 0.737212, 0.948774, 1.30136" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180558, 0.110551, 0.177756, 0.289109, 0.475544, 0.786469, 1.30442", \ + "0.0180568, 0.110554, 0.177768, 0.28911, 0.475566, 0.78647, 1.30455", \ + "0.0180578, 0.110564, 0.177769, 0.289111, 0.47639, 0.786471, 1.30464", \ + "0.0180588, 0.110565, 0.1778, 0.289112, 0.476391, 0.786472, 1.30513", \ + "0.0180598, 0.110566, 0.177801, 0.289168, 0.476392, 0.786473, 1.30514", \ + "0.01808, 0.11062, 0.177802, 0.289169, 0.476393, 0.786474, 1.30515", \ + "0.018118, 0.110621, 0.177803, 0.28917, 0.476394, 0.786475, 1.30516" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.247095, 0.306732, 0.34652, 0.41221, 0.522372, 0.70551, 1.01073", \ + "0.274352, 0.333972, 0.373813, 0.439597, 0.549493, 0.732758, 1.03797", \ + "0.291181, 0.350819, 0.390704, 0.456353, 0.56643, 0.749592, 1.05493", \ + "0.315105, 0.374699, 0.414563, 0.480341, 0.59025, 0.773452, 1.07872", \ + "0.346889, 0.406616, 0.446368, 0.512134, 0.622103, 0.805221, 1.11042", \ + "0.390485, 0.450048, 0.489921, 0.555704, 0.665602, 0.848884, 1.15399", \ + "0.449106, 0.508706, 0.548495, 0.614229, 0.72433, 0.907463, 1.21263" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180145, 0.0894005, 0.141957, 0.229411, 0.376556, 0.62115, 1.02936", \ + "0.0180155, 0.0894048, 0.141958, 0.229412, 0.376557, 0.621151, 1.03006", \ + "0.0180165, 0.0894745, 0.141986, 0.229413, 0.376558, 0.621832, 1.03007", \ + "0.0180175, 0.0894755, 0.141987, 0.229428, 0.376559, 0.621833, 1.03008", \ + "0.0180185, 0.0894765, 0.141988, 0.229458, 0.37656, 0.621834, 1.03009", \ + "0.018025, 0.0894775, 0.141989, 0.229459, 0.376561, 0.621835, 1.0301", \ + "0.018026, 0.0894785, 0.14199, 0.22946, 0.376569, 0.621836, 1.03011" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.206063, 0.272203, 0.318304, 0.394327, 0.521295, 0.732842, 1.0855", \ + "0.238812, 0.304933, 0.350937, 0.427006, 0.553957, 0.76583, 1.11824", \ + "0.26262, 0.328755, 0.374804, 0.450771, 0.577784, 0.789186, 1.14231", \ + "0.297347, 0.363558, 0.409433, 0.485443, 0.612342, 0.823933, 1.17681", \ + "0.346923, 0.413056, 0.459047, 0.534999, 0.662055, 0.873545, 1.22607", \ + "0.420824, 0.48687, 0.532876, 0.608913, 0.735897, 0.947391, 1.29992", \ + "0.520478, 0.586366, 0.632419, 0.708301, 0.835273, 1.04687, 1.39945" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180809, 0.110556, 0.177757, 0.289111, 0.475546, 0.786468, 1.30456", \ + "0.0180819, 0.110557, 0.177758, 0.289112, 0.475547, 0.786679, 1.30457", \ + "0.0180829, 0.110559, 0.177781, 0.289113, 0.476885, 0.78668, 1.30459", \ + "0.0180839, 0.110602, 0.177782, 0.289114, 0.476886, 0.786681, 1.3046", \ + "0.0180849, 0.110603, 0.177803, 0.289115, 0.476887, 0.786682, 1.30461", \ + "0.018106, 0.110604, 0.177804, 0.289117, 0.476888, 0.786683, 1.30462", \ + "0.018207, 0.110605, 0.177806, 0.289118, 0.476889, 0.786684, 1.30463" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.268992, 0.328621, 0.368469, 0.434044, 0.544365, 0.727275, 1.03253", \ + "0.301091, 0.360713, 0.400551, 0.466355, 0.576447, 0.759457, 1.06518", \ + "0.322827, 0.38244, 0.422277, 0.488078, 0.597981, 0.781195, 1.08643", \ + "0.354723, 0.414392, 0.454234, 0.519879, 0.629775, 0.81295, 1.11817", \ + "0.398463, 0.45813, 0.497997, 0.563673, 0.673756, 0.856875, 1.16205", \ + "0.464805, 0.524428, 0.564324, 0.630008, 0.740086, 0.92323, 1.22842", \ + "0.554318, 0.613906, 0.653754, 0.719554, 0.829473, 1.01273, 1.31796" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180519, 0.0893977, 0.141948, 0.229596, 0.376556, 0.621128, 1.02935", \ + "0.0180529, 0.0893987, 0.141957, 0.229597, 0.37672, 0.621148, 1.02936", \ + "0.0180539, 0.0893997, 0.141958, 0.229598, 0.376772, 0.62156, 1.02937", \ + "0.0180549, 0.0894007, 0.141959, 0.229599, 0.376773, 0.621561, 1.02968", \ + "0.0180559, 0.0894039, 0.14196, 0.2296, 0.376774, 0.621562, 1.02969", \ + "0.0180569, 0.089404, 0.141961, 0.229601, 0.376775, 0.621563, 1.0297", \ + "0.0180579, 0.089462, 0.141962, 0.229602, 0.376776, 0.621564, 1.02971" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.11101, 0.177391, 0.223396, 0.299185, 0.426315, 0.637729, 0.99044", \ + "0.145896, 0.211861, 0.257817, 0.333775, 0.460664, 0.67293, 1.02497", \ + "0.172001, 0.237322, 0.283057, 0.358976, 0.485972, 0.6977, 1.05035", \ + "0.209896, 0.273584, 0.31932, 0.395134, 0.522099, 0.733699, 1.08638", \ + "0.261155, 0.322318, 0.367693, 0.443273, 0.570142, 0.781707, 1.13415", \ + "0.330249, 0.388234, 0.433268, 0.508219, 0.63481, 0.846419, 1.19872", \ + "0.413791, 0.46791, 0.512044, 0.586139, 0.711986, 0.923282, 1.27559" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0183444, 0.110749, 0.17788, 0.28907, 0.475546, 0.786256, 1.30431", \ + "0.0185742, 0.11075, 0.177881, 0.289627, 0.475982, 0.786976, 1.30453", \ + "0.0192756, 0.110761, 0.177883, 0.289628, 0.475983, 0.786977, 1.30454", \ + "0.0206303, 0.110813, 0.177884, 0.289629, 0.475984, 0.786978, 1.3075", \ + "0.023344, 0.111153, 0.178021, 0.28963, 0.475985, 0.786979, 1.30751", \ + "0.027049, 0.111701, 0.178247, 0.289631, 0.475986, 0.78698, 1.30752", \ + "0.03245, 0.11298, 0.178693, 0.289632, 0.475987, 0.786981, 1.30753" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0089567, 0.0302678, 0.044569, 0.0681002, 0.107319, 0.172622, 0.281572", \ + "0.0012726, 0.0226156, 0.0370653, 0.0604192, 0.0996522, 0.164956, 0.274104", \ + "0.000413299, 0.0217488, 0.0361557, 0.0595352, 0.0989647, 0.163959, 0.273042", \ + "0.0002863, 0.0216234, 0.0359107, 0.0594887, 0.098645, 0.164943, 0.273074", \ + "0.000188999, 0.0215529, 0.0359366, 0.0594901, 0.0985704, 0.164464, 0.272749", \ + "7.51987e-05, 0.0213645, 0.0357623, 0.0593326, 0.0987678, 0.163924, 0.273325", \ + "-0.0001029, 0.0211989, 0.0354526, 0.0590451, 0.0984961, 0.164033, 0.273446" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122555, 0.0332959, 0.0475292, 0.0709083, 0.110009, 0.174948, 0.283307", \ + "0.0116858, 0.032941, 0.0470107, 0.0703815, 0.109417, 0.174416, 0.282882", \ + "0.0116156, 0.0326717, 0.0469885, 0.0709562, 0.10959, 0.174416, 0.282755", \ + "0.0115824, 0.0327485, 0.0469892, 0.0702722, 0.109731, 0.174792, 0.282752", \ + "0.0114587, 0.0325516, 0.046974, 0.0705771, 0.109646, 0.174301, 0.283979", \ + "0.0114, 0.0324188, 0.0467455, 0.0703565, 0.109828, 0.175361, 0.283501", \ + "0.0113178, 0.0323035, 0.0465922, 0.0702411, 0.109359, 0.175152, 0.284206" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0366977, 0.058061, 0.0723728, 0.0958951, 0.135125, 0.200427, 0.30933", \ + "0.0364901, 0.0578666, 0.0724158, 0.0956881, 0.134897, 0.200274, 0.309055", \ + "0.0368263, 0.0581985, 0.0725685, 0.0961266, 0.135596, 0.200513, 0.309398", \ + "0.0376912, 0.0590668, 0.0733048, 0.0969588, 0.136086, 0.201819, 0.31029", \ + "0.0399345, 0.0613132, 0.0756947, 0.0992054, 0.138406, 0.204346, 0.312667", \ + "0.0451032, 0.0664315, 0.0808147, 0.104444, 0.143802, 0.209152, 0.318464", \ + "0.0556073, 0.076812, 0.0911543, 0.114773, 0.154149, 0.219856, 0.3291" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0281669, 0.0492375, 0.0634801, 0.0868762, 0.125967, 0.190879, 0.299048", \ + "0.0281632, 0.0492481, 0.0634742, 0.0868591, 0.126006, 0.190811, 0.299379", \ + "0.0281039, 0.0492177, 0.0636561, 0.0868692, 0.125999, 0.19091, 0.299221", \ + "0.0280971, 0.0492593, 0.063409, 0.0868867, 0.126327, 0.191031, 0.29916", \ + "0.0280637, 0.0492133, 0.0634821, 0.0870998, 0.126003, 0.191255, 0.299787", \ + "0.0280004, 0.0491063, 0.0635448, 0.0870729, 0.126518, 0.191711, 0.299583", \ + "0.0278774, 0.0489684, 0.0631596, 0.0866368, 0.126293, 0.191892, 0.301168" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0295218, 0.0509873, 0.0652856, 0.0887401, 0.128, 0.193255, 0.302031", \ + "0.0291796, 0.0505668, 0.0648039, 0.0884619, 0.127667, 0.193009, 0.301812", \ + "0.0293861, 0.0504529, 0.0648559, 0.0884628, 0.127669, 0.192949, 0.301819", \ + "0.0302339, 0.0510256, 0.0652979, 0.088896, 0.128405, 0.193487, 0.303271", \ + "0.0327786, 0.0529731, 0.0672664, 0.0908474, 0.130094, 0.195946, 0.304298", \ + "0.0382441, 0.0575249, 0.0717643, 0.0953005, 0.134679, 0.199855, 0.309338", \ + "0.0484417, 0.0664738, 0.0803694, 0.103719, 0.143013, 0.208517, 0.317839" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00212972; + rise_capacitance : 0.0023105; + rise_capacitance_range (0.0023105, 0.0023105); + fall_capacitance : 0.00194894; + fall_capacitance_range (0.00194894, 0.00194894); + timing () { + related_pin : "GATE_N"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0758009, 0.0122326, 0.0447192, 0.066631", \ + "-0.16947, -0.0789403, -0.0409916, -0.0186453", \ + "-0.222172, -0.129465, -0.0917444, -0.0665078", \ + "-0.279802, -0.190279, -0.148188, -0.118062" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0880269, -0.0751213, -0.0838696, -0.108763", \ + "-0.181949, -0.16552, -0.174735, -0.19733", \ + "-0.240174, -0.22125, -0.229361, -0.25013", \ + "-0.295992, -0.272749, -0.278136, -0.301057" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0880269, -0.00474515, -0.0395757, -0.0585359", \ + "0.176957, 0.0865796, 0.0488588, 0.0268923", \ + "0.227315, 0.137332, 0.0998395, 0.0749827", \ + "0.287897, 0.195777, 0.156663, 0.126916" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0953624, 0.0975837, 0.130162, 0.197809", \ + "0.189436, 0.183345, 0.206204, 0.260557", \ + "0.24789, 0.234362, 0.256345, 0.303804", \ + "0.304087, 0.286494, 0.303561, 0.34533" \ + ); + } + } + internal_power () { + when : "(GATE_N * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00420519, 0.00407422, 0.00427351, 0.00500938, 0.00661277, 0.0102592, 0.0179554" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00406162, 0.00404563, 0.0042965, 0.00503001, 0.00680204, 0.0105707, 0.0185527" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0217379, 0.0220622, 0.022359, 0.0231122, 0.0248183, 0.0285159, 0.0364349" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0238364, 0.0313938, 0.0325113, 0.03332, 0.0350996, 0.0390462, 0.0471749" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0217379, 0.0220622, 0.022359, 0.0231122, 0.0248183, 0.0285159, 0.0364349" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0238364, 0.0313938, 0.0325113, 0.03332, 0.0350996, 0.0390462, 0.0471749" \ + ); + } + } + } + pin (GATE_N) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00228817; + rise_capacitance : 0.00177419; + rise_capacitance_range (0.00177419, 0.00177419); + fall_capacitance : 0.00258187; + fall_capacitance_range (0.00258187, 0.00258187); + timing () { + related_pin : "GATE_N"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.113831, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.016473, 0.01623, 0.0164816, 0.0173962, 0.0192348, 0.0237271, 0.0332739" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161185, 0.0159834, 0.0163257, 0.017165, 0.0193689, 0.0241019, 0.034227" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0175163, 0.0194862, 0.0198431, 0.0207371, 0.0227693, 0.0272179, 0.0369526" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0190087, 0.0209512, 0.0213266, 0.0222354, 0.0242577, 0.0286589, 0.0383639" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0105683, 0.0104515, 0.0108075, 0.0116683, 0.0139142, 0.0187491, 0.0288312" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0175163, 0.0194862, 0.0198431, 0.0207371, 0.0227693, 0.0272179, 0.0369526" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0105683, 0.0104515, 0.0108075, 0.0116683, 0.0139142, 0.0187491, 0.0288312" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00300432; + rise_capacitance : 0.00309944; + rise_capacitance_range (0.00309944, 0.00309944); + fall_capacitance : 0.00294726; + fall_capacitance_range (0.00294726, 0.00294726); + timing () { + related_pin : "GATE_N"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0293423, -0.114561, -0.152734, -0.179962", \ + "0.0297034, -0.0560221, -0.0927515, -0.121554", \ + "0.0678652, -0.0147674, -0.0539673, -0.0832146", \ + "0.115202, 0.0335857, -0.00153393, -0.0295154" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0440134, 0.129536, 0.168165, 0.196152", \ + "-0.0172243, 0.0687544, 0.108486, 0.138048", \ + "-0.0575781, 0.0278795, 0.0647607, 0.0945144", \ + "-0.107106, -0.0225897, 0.0128337, 0.0413215" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.20462, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00342256, 0.00340601, 0.0034096, 0.00340882, 0.00341749, 0.00340906, 0.0034113" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000377494, 0.000299985, 0.000275167, 0.000250496, 0.000236254, 0.000223057, 0.000207271" \ + ); + } + } + internal_power () { + when : "(!D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-9.1212e-05, -0.000110089, -0.000102353, -0.000103864, -9.48715e-05, -0.000103141, -0.000100637" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00179756, 0.0017128, 0.00169722, 0.00167193, 0.00165861, 0.00164568, 0.00162968" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-9.1212e-05, -0.000110089, -0.000102353, -0.000103864, -9.48715e-05, -0.000103141, -0.000100637" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00179756, 0.0017128, 0.00169722, 0.00167193, 0.00165861, 0.00164568, 0.00162968" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE_N'"; + } + } + cell (sg13g2_dllrq_1) { + area : 29.0304; + cell_footprint : "DLLRQ"; + cell_leakage_power : 2868.84; + leakage_power () { + value : 2793.54; + when : "!RESET_B*!Q*!D*!GATE_N"; + } + leakage_power () { + value : 2319.7; + when : "!RESET_B*!Q*!D*GATE_N"; + } + leakage_power () { + value : 2576.7; + when : "!RESET_B*!Q*D*!GATE_N"; + } + leakage_power () { + value : 3224.61; + when : "!RESET_B*!Q*D*GATE_N"; + } + leakage_power () { + value : 2473.1; + when : "RESET_B*GATE_N*!D*!Q"; + } + leakage_power () { + value : 2473.1; + when : "RESET_B*GATE_N*!D*Q"; + } + leakage_power () { + value : 3378.58; + when : "RESET_B*GATE_N*D*!Q"; + } + leakage_power () { + value : 3378.58; + when : "RESET_B*GATE_N*D*Q"; + } + leakage_power () { + value : 3123.51; + when : "D*!GATE_N*RESET_B*Q"; + } + leakage_power () { + value : 2947.01; + when : "!D*!GATE_N*RESET_B*!Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.186607, 0.261327, 0.307812, 0.384079, 0.511164, 0.723219, 1.07633", \ + "0.214285, 0.288947, 0.335514, 0.411684, 0.539095, 0.751221, 1.10371", \ + "0.231237, 0.305912, 0.352288, 0.428432, 0.555608, 0.767591, 1.12412", \ + "0.25561, 0.330219, 0.376651, 0.452807, 0.57998, 0.791798, 1.14488", \ + "0.2877, 0.362301, 0.40874, 0.484858, 0.612053, 0.823898, 1.17679", \ + "0.331127, 0.405794, 0.452302, 0.528438, 0.655715, 0.867539, 1.22051", \ + "0.390819, 0.465594, 0.512119, 0.588263, 0.715541, 0.927472, 1.2803" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0237299, 0.113926, 0.180254, 0.29135, 0.477982, 0.788855, 1.30734", \ + "0.0237309, 0.113927, 0.180285, 0.291363, 0.477995, 0.789224, 1.30735", \ + "0.0237319, 0.113928, 0.180286, 0.291364, 0.477996, 0.789543, 1.31134", \ + "0.023782, 0.113934, 0.180289, 0.291365, 0.477997, 0.789544, 1.31135", \ + "0.0238243, 0.113935, 0.18032, 0.291366, 0.477998, 0.789545, 1.31136", \ + "0.023922, 0.11394, 0.180321, 0.291367, 0.477999, 0.789546, 1.31137", \ + "0.0242, 0.113996, 0.180363, 0.291368, 0.478, 0.789547, 1.31138" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.164089, 0.229271, 0.269303, 0.335208, 0.445173, 0.628392, 0.933561", \ + "0.192841, 0.258072, 0.298084, 0.363911, 0.473961, 0.657133, 0.962455", \ + "0.211128, 0.276255, 0.316312, 0.382132, 0.492142, 0.675333, 0.980527", \ + "0.236413, 0.301576, 0.341666, 0.407448, 0.517439, 0.700561, 1.00581", \ + "0.270216, 0.33536, 0.375396, 0.441278, 0.551201, 0.734356, 1.03951", \ + "0.319428, 0.384711, 0.424757, 0.490608, 0.600668, 0.783791, 1.08895", \ + "0.375691, 0.441094, 0.481168, 0.546996, 0.657032, 0.840198, 1.14537" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0186368, 0.0901714, 0.142334, 0.229631, 0.376758, 0.621273, 1.02993", \ + "0.0186378, 0.0901724, 0.142335, 0.22968, 0.376759, 0.621341, 1.02994", \ + "0.0186388, 0.0901734, 0.142336, 0.229681, 0.37676, 0.621423, 1.02995", \ + "0.0186398, 0.0901744, 0.142391, 0.229682, 0.376761, 0.621604, 1.02996", \ + "0.0187088, 0.0902023, 0.142392, 0.229683, 0.376762, 0.621605, 1.02997", \ + "0.01883, 0.090243, 0.142393, 0.229684, 0.376763, 0.621606, 1.02998", \ + "0.019165, 0.090275, 0.142394, 0.229685, 0.376764, 0.621607, 1.02999" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.208388, 0.283334, 0.329684, 0.405824, 0.533072, 0.745198, 1.09819", \ + "0.240552, 0.315599, 0.362039, 0.438194, 0.565416, 0.778086, 1.13025", \ + "0.262472, 0.337423, 0.383841, 0.459975, 0.587226, 0.799113, 1.15212", \ + "0.294031, 0.368964, 0.4154, 0.491526, 0.618687, 0.830592, 1.18383", \ + "0.337891, 0.412824, 0.45927, 0.535445, 0.662664, 0.874435, 1.22723", \ + "0.404241, 0.47916, 0.525547, 0.601666, 0.728968, 0.940803, 1.2936", \ + "0.492209, 0.56709, 0.61351, 0.689653, 0.816868, 1.02872, 1.38153" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.023996, 0.113989, 0.180321, 0.291356, 0.477952, 0.788908, 1.30734", \ + "0.0239984, 0.11399, 0.180322, 0.291357, 0.477953, 0.789476, 1.30762", \ + "0.0239994, 0.113991, 0.180328, 0.291358, 0.477954, 0.789477, 1.30763", \ + "0.0240004, 0.113992, 0.180329, 0.291359, 0.477955, 0.789478, 1.30791", \ + "0.0240014, 0.113993, 0.18033, 0.29136, 0.477956, 0.789479, 1.30792", \ + "0.0240024, 0.113994, 0.180331, 0.291361, 0.477957, 0.78948, 1.30793", \ + "0.0240034, 0.113995, 0.180332, 0.291375, 0.477958, 0.789481, 1.30794" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.157115, 0.222495, 0.262533, 0.328339, 0.438347, 0.621564, 0.926883", \ + "0.189826, 0.255226, 0.295301, 0.361081, 0.471332, 0.654352, 0.959458", \ + "0.213456, 0.278822, 0.318892, 0.384715, 0.494707, 0.677815, 0.983077", \ + "0.248147, 0.313538, 0.353603, 0.419457, 0.52939, 0.712515, 1.01778", \ + "0.297082, 0.362597, 0.402665, 0.468505, 0.578481, 0.761603, 1.06675", \ + "0.370041, 0.435758, 0.475805, 0.541653, 0.65168, 0.834929, 1.14002", \ + "0.469237, 0.535389, 0.575441, 0.641313, 0.751363, 0.934513, 1.23974" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0189674, 0.0901992, 0.142432, 0.229664, 0.376757, 0.621274, 1.02932", \ + "0.0189896, 0.0902002, 0.142433, 0.229865, 0.376889, 0.621733, 1.02942", \ + "0.0190031, 0.0902012, 0.142434, 0.229866, 0.37689, 0.621734, 1.02943", \ + "0.0191384, 0.0902254, 0.142435, 0.229867, 0.376891, 0.621735, 1.02944", \ + "0.0193725, 0.0902264, 0.142436, 0.229868, 0.376892, 0.621736, 1.02951", \ + "0.019863, 0.09035, 0.142488, 0.229869, 0.376893, 0.621737, 1.02952", \ + "0.020823, 0.09063, 0.142489, 0.22987, 0.376894, 0.621738, 1.02953" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0662303, 0.131653, 0.171819, 0.237664, 0.347759, 0.531068, 0.836201", \ + "0.0987985, 0.165207, 0.205474, 0.271464, 0.381552, 0.564843, 0.87012", \ + "0.121108, 0.189231, 0.229768, 0.295757, 0.405923, 0.589227, 0.894985", \ + "0.152569, 0.223874, 0.264535, 0.330585, 0.440912, 0.624402, 0.929642", \ + "0.193208, 0.271983, 0.313128, 0.378971, 0.488965, 0.672568, 0.978062", \ + "0.251621, 0.340354, 0.382296, 0.448917, 0.559539, 0.742515, 1.04798", \ + "0.320938, 0.425634, 0.471663, 0.540555, 0.653639, 0.837472, 1.14367" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0182858, 0.0904306, 0.142634, 0.229887, 0.376969, 0.621466, 1.02955", \ + "0.0204066, 0.0909519, 0.142942, 0.23018, 0.37697, 0.621923, 1.03011", \ + "0.0233564, 0.0921656, 0.143646, 0.230428, 0.377105, 0.622103, 1.03015", \ + "0.028532, 0.0944933, 0.145166, 0.231291, 0.377487, 0.622104, 1.03016", \ + "0.0366066, 0.100219, 0.148782, 0.233052, 0.378523, 0.622741, 1.03017", \ + "0.047115, 0.109969, 0.155116, 0.237266, 0.380648, 0.624484, 1.03138", \ + "0.063571, 0.129128, 0.171818, 0.249495, 0.389955, 0.630068, 1.03585" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0823467, 0.156885, 0.203359, 0.27961, 0.4067, 0.61868, 0.971336", \ + "0.10555, 0.18078, 0.227226, 0.303528, 0.430681, 0.644949, 0.995745", \ + "0.121963, 0.198477, 0.245063, 0.321312, 0.448641, 0.660511, 1.0145", \ + "0.146032, 0.225674, 0.272248, 0.348637, 0.47606, 0.687919, 1.04091", \ + "0.177703, 0.264003, 0.311115, 0.387477, 0.514275, 0.726454, 1.07942", \ + "0.220079, 0.317848, 0.36604, 0.443645, 0.57151, 0.783414, 1.13647", \ + "0.276725, 0.387626, 0.439899, 0.521273, 0.652598, 0.867364, 1.22184" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0228264, 0.113734, 0.180216, 0.29124, 0.477781, 0.788849, 1.30745", \ + "0.0241561, 0.114158, 0.180383, 0.291328, 0.477782, 0.791002, 1.30746", \ + "0.0261353, 0.114992, 0.180979, 0.291598, 0.477814, 0.791003, 1.30831", \ + "0.0301876, 0.116733, 0.181944, 0.292172, 0.478206, 0.791004, 1.30926", \ + "0.0370694, 0.121073, 0.184529, 0.293398, 0.478927, 0.791005, 1.30927", \ + "0.047281, 0.13112, 0.19161, 0.298295, 0.481471, 0.791134, 1.30928", \ + "0.061922, 0.150349, 0.208106, 0.310769, 0.492242, 0.799658, 1.314" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0078744, 0.0082233, 0.008264, 0.0082484, 0.0081162, 0.0078668, 0.0075641", \ + "0.0079461, 0.0083746, 0.0083538, 0.008345, 0.0082407, 0.0080396, 0.0076345", \ + "0.0079984, 0.0083136, 0.0084153, 0.0083194, 0.0083315, 0.0080446, 0.0082254", \ + "0.0080003, 0.0083894, 0.0083863, 0.0083379, 0.0085609, 0.0080144, 0.0077376", \ + "0.0079934, 0.0083341, 0.0084356, 0.0084711, 0.0081823, 0.0082363, 0.0076578", \ + "0.0079269, 0.0082444, 0.0083716, 0.0083819, 0.008416, 0.0083735, 0.0077374", \ + "0.0080988, 0.0083862, 0.0084834, 0.0084551, 0.0085556, 0.0085493, 0.0084799" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0050526, 0.0055731, 0.005592, 0.0055461, 0.0054644, 0.0052283, 0.0048369", \ + "0.000330299, 0.0009136, 0.000902699, 0.0008437, 0.0007495, 0.0005347, 8.89003e-05", \ + "2.72002e-05, 0.0005148, 0.000548501, 0.000775801, 0.0004932, 0.000179799, -0.0002914", \ + "-2.43001e-05, 0.000540301, 0.000562301, 0.000433801, 0.000525601, 0.000186501, -0.000265099", \ + "-3.37996e-05, 0.0005091, 0.000566099, 0.000599299, 0.000527, 0.000149099, 0.000402799", \ + "-0.000203799, 0.000271501, 0.000325501, 0.0004206, 0.0004423, 0.000347899, -0.0003668", \ + "-0.000370998, 0.0001036, 0.000197902, 6.3803e-05, 0.000115402, 5.57005e-05, 0.0002697" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0085032, 0.0088466, 0.0088803, 0.0088487, 0.0087456, 0.008509, 0.0081621", \ + "0.0079671, 0.0083344, 0.0083831, 0.0083388, 0.0082258, 0.0080737, 0.0077197", \ + "0.0079932, 0.008348, 0.0085143, 0.0084982, 0.0082343, 0.0079747, 0.0076853", \ + "0.0079866, 0.0083315, 0.0083402, 0.008421, 0.0082272, 0.0084381, 0.0077031", \ + "0.0079907, 0.0084025, 0.0084754, 0.008436, 0.0082357, 0.0082839, 0.0078324", \ + "0.0078357, 0.0082179, 0.0083072, 0.0083647, 0.0083699, 0.0080933, 0.0082052", \ + "0.0077399, 0.008073, 0.0080904, 0.0081711, 0.0081924, 0.0082117, 0.008115" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0071006, 0.007639, 0.0076672, 0.0076108, 0.0075291, 0.0072831, 0.0068604", \ + "0.006487, 0.0070763, 0.0070758, 0.0070494, 0.006962, 0.0066985, 0.0062169", \ + "0.0065182, 0.0070156, 0.0070666, 0.0072332, 0.0070104, 0.0066834, 0.0062015", \ + "0.0065064, 0.0070692, 0.0070683, 0.0069775, 0.0070601, 0.0067328, 0.0062153", \ + "0.0066604, 0.0072091, 0.0072874, 0.007338, 0.0071726, 0.0068771, 0.0073011", \ + "0.0068913, 0.0073551, 0.0074295, 0.0074952, 0.0075197, 0.0075125, 0.0068415", \ + "0.0074276, 0.0079079, 0.0078827, 0.0078446, 0.0079365, 0.0079335, 0.0080689" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0102888, 0.0107237, 0.0107511, 0.0107381, 0.010597, 0.0103478, 0.00995502", \ + "0.0100598, 0.0104089, 0.0104108, 0.0105332, 0.0102939, 0.0103982, 0.00976642", \ + "0.0101318, 0.0103475, 0.010425, 0.0104725, 0.0103178, 0.0100597, 0.00987498", \ + "0.0106431, 0.0107959, 0.0108228, 0.0107884, 0.0109935, 0.010438, 0.0103577", \ + "0.0123603, 0.0122643, 0.0123316, 0.0123815, 0.0121619, 0.0121365, 0.0116659", \ + "0.0166668, 0.0162084, 0.0161355, 0.0161619, 0.0161904, 0.0160837, 0.0155157", \ + "0.026278, 0.0251631, 0.0249856, 0.0247778, 0.0248398, 0.0247622, 0.0247035" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00815355, 0.00864233, 0.00865823, 0.00859613, 0.00852963, 0.00826955, 0.00780476", \ + "0.00810144, 0.00847955, 0.00866665, 0.00857565, 0.00843925, 0.00825865, 0.00780614", \ + "0.00846499, 0.00871919, 0.00873189, 0.00889859, 0.00875969, 0.00849389, 0.00817849", \ + "0.00937953, 0.00946053, 0.00957623, 0.00943183, 0.00947953, 0.00922823, 0.00880963", \ + "0.0117542, 0.0115809, 0.0116699, 0.0116625, 0.0116045, 0.0113438, 0.0118139", \ + "0.0168094, 0.0161794, 0.0160695, 0.0161905, 0.0162587, 0.0160947, 0.0153746", \ + "0.0270878, 0.0256763, 0.0255786, 0.0252803, 0.025387, 0.0252005, 0.025477" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00202197; + rise_capacitance : 0.00223823; + rise_capacitance_range (0.00223823, 0.00223823); + fall_capacitance : 0.00180571; + fall_capacitance_range (0.00180571, 0.00180571); + timing () { + related_pin : "GATE_N"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0684653, 0.0197201, 0.0524346, 0.0720277", \ + "-0.161982, -0.0713009, -0.0357467, -0.0131473", \ + "-0.214457, -0.12422, -0.0863477, -0.0608579", \ + "-0.274405, -0.182032, -0.142539, -0.112158" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0831365, -0.0726254, -0.0812978, -0.103366", \ + "-0.179453, -0.162973, -0.172112, -0.191832", \ + "-0.237603, -0.218627, -0.226663, -0.247305", \ + "-0.293294, -0.27, -0.275311, -0.298105" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0758009, -0.0122326, -0.0447192, -0.0612343", \ + "0.166974, 0.0789403, 0.043614, 0.0213943", \ + "0.2196, 0.129465, 0.0944428, 0.0693328", \ + "0.277103, 0.18753, 0.148188, 0.121013" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0904721, 0.092592, 0.125018, 0.19511", \ + "0.184445, 0.178252, 0.200959, 0.255059", \ + "0.245318, 0.231739, 0.250948, 0.298154", \ + "0.301389, 0.283745, 0.297911, 0.336475" \ + ); + } + } + internal_power () { + when : "(GATE_N * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00136073, 0.00122288, 0.00142786, 0.0021677, 0.0037472, 0.00741136, 0.0150954" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00710992, 0.00709516, 0.00734313, 0.00807134, 0.00982921, 0.0135896, 0.0215707" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0146637, 0.0143715, 0.0145665, 0.0152564, 0.0168425, 0.0205229, 0.0282436" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0173054, 0.0219166, 0.0224637, 0.0231856, 0.0249214, 0.0288643, 0.0369703" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0146637, 0.0143715, 0.0145665, 0.0152564, 0.0168425, 0.0205229, 0.0282436" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0173054, 0.0219166, 0.0224637, 0.0231856, 0.0249214, 0.0288643, 0.0369703" \ + ); + } + } + } + pin (GATE_N) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0021586; + rise_capacitance : 0.00256894; + rise_capacitance_range (0.00256894, 0.00256894); + fall_capacitance : 0.00195343; + fall_capacitance_range (0.00195343, 0.00195343); + timing () { + related_pin : "GATE_N"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.103455, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.016417, 0.0161814, 0.0164159, 0.0173174, 0.0191686, 0.0236678, 0.0332116" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0158151, 0.0156831, 0.0160208, 0.0169151, 0.0190519, 0.0237951, 0.0339135" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189202, 0.0193191, 0.0196427, 0.0205383, 0.0226521, 0.0276211, 0.0376413" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.010999, 0.0108451, 0.0110258, 0.0119689, 0.0139186, 0.0185328, 0.0280909" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189871, 0.0193968, 0.0197311, 0.0205594, 0.0227191, 0.0276713, 0.0376851" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.010999, 0.0108451, 0.0110258, 0.0119689, 0.0139186, 0.0185328, 0.0280909" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189202, 0.0193191, 0.0196427, 0.0205383, 0.0226521, 0.0276211, 0.0376413" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00288033; + rise_capacitance : 0.00294344; + rise_capacitance_range (0.00294344, 0.00294344); + fall_capacitance : 0.00281723; + fall_capacitance_range (0.00281723, 0.00281723); + timing () { + related_pin : "GATE_N"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.039123, -0.124545, -0.160449, -0.188057", \ + "0.00724098, -0.0789403, -0.116353, -0.146295", \ + "0.0318604, -0.0514812, -0.089046, -0.119939", \ + "0.0612343, -0.0186453, -0.055208, -0.0855946" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.051349, 0.137024, 0.17588, 0.204248", \ + "0.00523815, 0.0891261, 0.129465, 0.157291", \ + "-0.0215733, 0.0619709, 0.0998395, 0.131239", \ + "-0.0531392, 0.0296413, 0.0665078, 0.0944492" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.199432, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "7.08481e-05, 5.5785e-05, 6.48693e-05, 6.1089e-05, 7.07279e-05, 6.18067e-05, 6.39094e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000233483, 0.000146184, 0.000123412, 0.000101833, 8.8129e-05, 7.26742e-05, 5.71012e-05" \ + ); + } + } + internal_power () { + when : "(!D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00149108, 0.00147928, 0.00148592, 0.00148084, 0.00149092, 0.0014828, 0.00148483" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00164957, 0.00156365, 0.00153621, 0.00151677, 0.00150273, 0.00148729, 0.00147166" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00149108, 0.00147928, 0.00148592, 0.00148084, 0.00149092, 0.0014828, 0.00148483" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00164957, 0.00156365, 0.00153621, 0.00151677, 0.00150273, 0.00148729, 0.00147166" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE_N'"; + } + } + cell (sg13g2_dlygate4sd1_1) { + area : 14.5152; + cell_footprint : "DLY1"; + cell_leakage_power : 1439.16; + leakage_power () { + value : 1627.55; + when : "!A&!X"; + } + leakage_power () { + value : 1250.77; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.118949, 0.189849, 0.235936, 0.312118, 0.4394, 0.651084, 1.00397", \ + "0.147524, 0.21835, 0.264615, 0.340715, 0.467855, 0.679779, 1.0329", \ + "0.16445, 0.235204, 0.281451, 0.35752, 0.484626, 0.696524, 1.04974", \ + "0.187842, 0.25863, 0.304707, 0.380779, 0.507929, 0.719639, 1.07256", \ + "0.214356, 0.285105, 0.331289, 0.407505, 0.53463, 0.746502, 1.09921", \ + "0.244836, 0.315973, 0.362241, 0.438478, 0.565683, 0.777496, 1.13034", \ + "0.269999, 0.340855, 0.386969, 0.463156, 0.590483, 0.802438, 1.15523" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0189918, 0.111284, 0.178505, 0.289913, 0.476539, 0.787374, 1.30609", \ + "0.0189928, 0.111296, 0.178506, 0.289914, 0.476626, 0.787623, 1.3061", \ + "0.0190586, 0.111297, 0.178507, 0.289915, 0.476627, 0.787823, 1.30627", \ + "0.0191513, 0.111299, 0.178508, 0.289916, 0.476628, 0.787824, 1.3152", \ + "0.0194212, 0.111335, 0.178548, 0.289917, 0.476629, 0.787825, 1.31521", \ + "0.019773, 0.111351, 0.178549, 0.289918, 0.47663, 0.787826, 1.31522", \ + "0.020445, 0.111446, 0.17855, 0.289919, 0.476666, 0.787827, 1.31523" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.136324, 0.198879, 0.238888, 0.3051, 0.415333, 0.599101, 0.905761", \ + "0.170985, 0.233685, 0.273753, 0.339896, 0.45025, 0.634499, 0.940383", \ + "0.196711, 0.259368, 0.299485, 0.365487, 0.475885, 0.659764, 0.966123", \ + "0.234203, 0.296948, 0.337034, 0.403131, 0.513494, 0.697336, 1.00373", \ + "0.287738, 0.350559, 0.390686, 0.456773, 0.567175, 0.750976, 1.05724", \ + "0.368561, 0.431592, 0.471758, 0.537898, 0.648271, 0.832164, 1.13847", \ + "0.480151, 0.543612, 0.583762, 0.649863, 0.760454, 0.944259, 1.25073" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153093, 0.0887747, 0.141703, 0.229858, 0.377423, 0.622856, 1.03246", \ + "0.0153103, 0.088809, 0.141704, 0.229873, 0.377715, 0.623451, 1.03264", \ + "0.0154033, 0.08881, 0.141738, 0.229874, 0.377716, 0.623452, 1.03265", \ + "0.0155332, 0.0888281, 0.141739, 0.229875, 0.377717, 0.623453, 1.03324", \ + "0.0158295, 0.0888291, 0.141818, 0.229876, 0.377718, 0.623454, 1.03325", \ + "0.016211, 0.0889, 0.141819, 0.229877, 0.377719, 0.623455, 1.03326", \ + "0.017103, 0.089093, 0.14182, 0.229878, 0.37772, 0.623456, 1.03327" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157438, 0.0161864, 0.0162074, 0.016172, 0.0160679, 0.0157687, 0.01573", \ + "0.0155232, 0.016038, 0.0160441, 0.015998, 0.015847, 0.0155666, 0.0152633", \ + "0.0155638, 0.0160057, 0.0160615, 0.0160982, 0.0158585, 0.015588, 0.0153225", \ + "0.0159822, 0.0164539, 0.0164662, 0.0163783, 0.016525, 0.0159952, 0.016551", \ + "0.0168543, 0.0172831, 0.0173596, 0.017411, 0.0172638, 0.017143, 0.016938", \ + "0.0190671, 0.0194616, 0.0194902, 0.0195431, 0.0195806, 0.0195469, 0.0189751", \ + "0.0239508, 0.0242147, 0.0242584, 0.0243544, 0.0244081, 0.0244208, 0.0243472" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149926, 0.0154708, 0.0154528, 0.0154727, 0.0153477, 0.0150889, 0.0147277", \ + "0.0148416, 0.0153866, 0.0153609, 0.0153416, 0.0152803, 0.0151238, 0.0145689", \ + "0.015013, 0.0155022, 0.0155188, 0.0155886, 0.0153932, 0.0151664, 0.0147902", \ + "0.0153448, 0.0158907, 0.0159184, 0.0157893, 0.0158864, 0.0156952, 0.0151807", \ + "0.0163821, 0.016909, 0.0169634, 0.0169895, 0.0168595, 0.016609, 0.0169347", \ + "0.0186435, 0.0190973, 0.0191658, 0.019235, 0.01928, 0.0192014, 0.0186207", \ + "0.023543, 0.0240093, 0.0240011, 0.0239635, 0.0241102, 0.0240913, 0.0241711" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00146542; + rise_capacitance : 0.0014651; + rise_capacitance_range (0.0014651, 0.0014651); + fall_capacitance : 0.00146574; + fall_capacitance_range (0.00146574, 0.00146574); + } + } + cell (sg13g2_dlygate4sd2_1) { + area : 14.5152; + cell_footprint : "DLY2"; + cell_leakage_power : 1459.32; + leakage_power () { + value : 1647.7; + when : "!A&!X"; + } + leakage_power () { + value : 1270.93; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.176068, 0.248768, 0.295178, 0.371379, 0.498346, 0.710798, 1.06299", \ + "0.20742, 0.280079, 0.3263, 0.402508, 0.529605, 0.741455, 1.0942", \ + "0.227389, 0.299913, 0.346194, 0.42241, 0.549559, 0.761345, 1.11422", \ + "0.255818, 0.328398, 0.374712, 0.450814, 0.578028, 0.78983, 1.14275", \ + "0.291708, 0.364337, 0.410635, 0.486776, 0.61403, 0.825763, 1.17862", \ + "0.334463, 0.407112, 0.453429, 0.529718, 0.656839, 0.868683, 1.22156", \ + "0.378177, 0.45112, 0.497407, 0.573528, 0.700907, 0.912814, 1.26564" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0212222, 0.112424, 0.179274, 0.290603, 0.477143, 0.788188, 1.30671", \ + "0.0212698, 0.112425, 0.179351, 0.290709, 0.477148, 0.788189, 1.30672", \ + "0.0212708, 0.11245, 0.179352, 0.29071, 0.477149, 0.788491, 1.30673", \ + "0.0213343, 0.112451, 0.179353, 0.290711, 0.47715, 0.788492, 1.31029", \ + "0.021461, 0.11249, 0.179354, 0.290712, 0.477151, 0.788493, 1.3103", \ + "0.021951, 0.11254, 0.179355, 0.290713, 0.47723, 0.788494, 1.31031", \ + "0.0226, 0.112718, 0.179459, 0.290728, 0.477231, 0.788495, 1.31032" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.197014, 0.266935, 0.307701, 0.373919, 0.484471, 0.668563, 0.97507", \ + "0.233733, 0.303829, 0.34455, 0.410783, 0.521479, 0.705242, 1.01167", \ + "0.263138, 0.333145, 0.373876, 0.440151, 0.550719, 0.734814, 1.04122", \ + "0.307859, 0.377815, 0.418627, 0.484847, 0.595469, 0.779565, 1.08607", \ + "0.371313, 0.441392, 0.482156, 0.548495, 0.659099, 0.843025, 1.1494", \ + "0.464055, 0.534146, 0.574934, 0.641213, 0.751816, 0.935707, 1.24209", \ + "0.590909, 0.662522, 0.702214, 0.7685, 0.879227, 1.06309, 1.36957" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0214225, 0.0939111, 0.145547, 0.232538, 0.379474, 0.625507, 1.03476", \ + "0.0214241, 0.0939137, 0.145548, 0.232539, 0.379827, 0.625508, 1.03485", \ + "0.0214251, 0.0939349, 0.145561, 0.23254, 0.379828, 0.625509, 1.03486", \ + "0.0215147, 0.0939359, 0.145562, 0.232541, 0.379829, 0.62551, 1.03487", \ + "0.021616, 0.0939843, 0.145563, 0.232566, 0.37983, 0.625511, 1.035", \ + "0.021987, 0.094175, 0.145564, 0.232567, 0.379831, 0.625512, 1.03501", \ + "0.022598, 0.094439, 0.145779, 0.232619, 0.379832, 0.625513, 1.03502" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0186591, 0.0190744, 0.0190957, 0.0190779, 0.0189314, 0.0187163, 0.0187268", \ + "0.0183963, 0.0189189, 0.0189327, 0.0188343, 0.0186665, 0.0183957, 0.0180497", \ + "0.0184759, 0.0188424, 0.0189388, 0.0188536, 0.0187279, 0.0184681, 0.0183947", \ + "0.0187686, 0.019216, 0.0191892, 0.0191516, 0.0193733, 0.0187251, 0.0190536", \ + "0.0196014, 0.0199928, 0.0200655, 0.0200943, 0.0199153, 0.0199072, 0.0195457", \ + "0.0216719, 0.0219832, 0.0220869, 0.0221261, 0.0221357, 0.0220625, 0.021366", \ + "0.0261726, 0.0264655, 0.0265374, 0.0265639, 0.0265991, 0.0265897, 0.0264847" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180919, 0.0185691, 0.0185909, 0.0185571, 0.0184775, 0.0183183, 0.0178908", \ + "0.0178454, 0.0184079, 0.0183694, 0.018342, 0.0182942, 0.0179926, 0.017581", \ + "0.0178878, 0.0183841, 0.0184748, 0.0184354, 0.0183092, 0.018132, 0.0177548", \ + "0.0182916, 0.0187794, 0.0188212, 0.0187494, 0.0188667, 0.0185091, 0.0180823", \ + "0.0191745, 0.0196438, 0.0196798, 0.019801, 0.0196326, 0.0194361, 0.019291", \ + "0.0213903, 0.0217907, 0.0219013, 0.0218885, 0.021965, 0.0219458, 0.0211645", \ + "0.026122, 0.0264585, 0.0264816, 0.0264478, 0.0266623, 0.0266242, 0.0266806" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00146855; + rise_capacitance : 0.0014657; + rise_capacitance_range (0.0014657, 0.0014657); + fall_capacitance : 0.00147139; + fall_capacitance_range (0.00147139, 0.00147139); + } + } + cell (sg13g2_dlygate4sd3_1) { + area : 16.3296; + cell_footprint : "DLY4"; + cell_leakage_power : 2742.91; + leakage_power () { + value : 2931.3; + when : "!A&!X"; + } + leakage_power () { + value : 2554.53; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.385909, 0.472447, 0.520755, 0.598177, 0.72586, 0.938193, 1.29124", \ + "0.421426, 0.507788, 0.55612, 0.633475, 0.76127, 0.97344, 1.32643", \ + "0.446877, 0.533209, 0.581579, 0.658816, 0.786825, 0.999125, 1.35247", \ + "0.483801, 0.570385, 0.618819, 0.696136, 0.823905, 1.03636, 1.3894", \ + "0.535804, 0.622231, 0.670653, 0.747997, 0.875835, 1.08796, 1.44081", \ + "0.603986, 0.690483, 0.738753, 0.816069, 0.943832, 1.15584, 1.50898", \ + "0.687116, 0.773903, 0.822273, 0.899575, 1.02758, 1.23966, 1.59261" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0326365, 0.124428, 0.188747, 0.297664, 0.482481, 0.792623, 1.31093", \ + "0.0326375, 0.124429, 0.188748, 0.297665, 0.48271, 0.792673, 1.31094", \ + "0.0326385, 0.12443, 0.188749, 0.297666, 0.483581, 0.792855, 1.31109", \ + "0.0326395, 0.124431, 0.18875, 0.297667, 0.483582, 0.792856, 1.31735", \ + "0.0326868, 0.124432, 0.188761, 0.297668, 0.483583, 0.792857, 1.31736", \ + "0.0328, 0.124462, 0.188915, 0.297669, 0.483584, 0.792858, 1.31737", \ + "0.033081, 0.124724, 0.189059, 0.297728, 0.483585, 0.792859, 1.31738" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.404368, 0.490906, 0.535579, 0.605016, 0.71697, 0.901507, 1.20808", \ + "0.441935, 0.528241, 0.573328, 0.642717, 0.754613, 0.938997, 1.24582", \ + "0.47594, 0.56253, 0.607364, 0.677088, 0.788831, 0.973571, 1.27972", \ + "0.530864, 0.617676, 0.662742, 0.732125, 0.844084, 1.02839, 1.33534", \ + "0.614202, 0.70081, 0.745643, 0.815342, 0.927096, 1.11164, 1.41817", \ + "0.731731, 0.818632, 0.863464, 0.932778, 1.0449, 1.22914, 1.53583", \ + "0.896537, 0.983708, 1.02862, 1.09802, 1.2102, 1.3946, 1.70142" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0343444, 0.111614, 0.161259, 0.24511, 0.388147, 0.631095, 1.03946", \ + "0.0343454, 0.111615, 0.161828, 0.245111, 0.388363, 0.631589, 1.03947", \ + "0.0343776, 0.111634, 0.161829, 0.245314, 0.388364, 0.631776, 1.03981", \ + "0.0343786, 0.111635, 0.16183, 0.245315, 0.388365, 0.631777, 1.04005", \ + "0.03453, 0.111636, 0.161831, 0.245316, 0.388366, 0.631778, 1.04034", \ + "0.034634, 0.111895, 0.161832, 0.245317, 0.388382, 0.631779, 1.04035", \ + "0.035055, 0.112327, 0.161833, 0.245454, 0.388383, 0.63178, 1.04036" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0269007, 0.0270064, 0.0270567, 0.0270325, 0.0269518, 0.0267144, 0.0266615", \ + "0.026715, 0.0267501, 0.0268114, 0.0268148, 0.0267213, 0.0263971, 0.026453", \ + "0.0265196, 0.0266752, 0.0267178, 0.02672, 0.02672, 0.0263461, 0.0264979", \ + "0.0266009, 0.0267274, 0.0267875, 0.0269085, 0.0267421, 0.0269691, 0.0272499", \ + "0.0273267, 0.0274458, 0.0275628, 0.0275798, 0.0274207, 0.0276173, 0.0270818", \ + "0.0289659, 0.0290943, 0.0291216, 0.0292446, 0.0292847, 0.0289303, 0.0286139", \ + "0.0330752, 0.0331724, 0.0331794, 0.0332504, 0.0332717, 0.0332809, 0.0332371" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0266488, 0.0267468, 0.026773, 0.0267616, 0.0266833, 0.0264702, 0.0260081", \ + "0.0262961, 0.0263518, 0.0263859, 0.026472, 0.0263322, 0.0260623, 0.0256945", \ + "0.0262504, 0.0263969, 0.0263832, 0.0264303, 0.0262863, 0.0262142, 0.0256732", \ + "0.0263192, 0.0264493, 0.0265338, 0.0265893, 0.026535, 0.0261998, 0.025956", \ + "0.0270981, 0.0271804, 0.0272238, 0.0272501, 0.0270305, 0.0270681, 0.0265536", \ + "0.0289036, 0.0289853, 0.0290359, 0.0290807, 0.0290909, 0.0289177, 0.0283226", \ + "0.0329912, 0.0330414, 0.0330683, 0.0331829, 0.0330772, 0.0331248, 0.0332764" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00148315; + rise_capacitance : 0.00147328; + rise_capacitance_range (0.00147328, 0.00147328); + fall_capacitance : 0.00149302; + fall_capacitance_range (0.00149302, 0.00149302); + } + } + cell (sg13g2_ebufn_2) { + area : 18.144; + cell_footprint : "BTL"; + cell_leakage_power : 1486.28; + leakage_power () { + value : 1479.5; + when : "A&TE_B"; + } + leakage_power () { + value : 1171.82; + when : "!A&TE_B"; + } + leakage_power () { + value : 1346.04; + when : "A&!TE_B"; + } + leakage_power () { + value : 1947.78; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 0.6; + capacitance : 0.00616679; + rise_capacitance : 0.00486946; + rise_capacitance_range (0.00486946, 0.00486946); + fall_capacitance : 0.00746413; + fall_capacitance_range (0.00746413, 0.00746413); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00586946, 0.0516695, 0.0828695, 0.134469, 0.220869, 0.364869, 0.604869"); + values ( \ + "0.0645702, 0.207484, 0.299861, 0.453233, 0.71054, 1.14037, 1.85759", \ + "0.0965677, 0.240073, 0.333135, 0.486756, 0.743922, 1.17276, 1.89092", \ + "0.116434, 0.260409, 0.353676, 0.507254, 0.764758, 1.19315, 1.90984", \ + "0.144204, 0.289059, 0.382932, 0.537578, 0.794888, 1.22347, 1.94268", \ + "0.180224, 0.329398, 0.422577, 0.577814, 0.835976, 1.2643, 1.98029", \ + "0.229722, 0.385637, 0.477296, 0.631698, 0.891192, 1.31965, 2.03643", \ + "0.294798, 0.465759, 0.558266, 0.712658, 0.970309, 1.39988, 2.11638" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00586946, 0.0516695, 0.0828695, 0.134469, 0.220869, 0.364869, 0.604869"); + values ( \ + "0.0229099, 0.21631, 0.349158, 0.568841, 0.936674, 1.54973, 2.57143", \ + "0.0260039, 0.216328, 0.34946, 0.569128, 0.936687, 1.54974, 2.57144", \ + "0.02938, 0.216648, 0.349461, 0.569129, 0.938247, 1.54975, 2.57145", \ + "0.0353399, 0.217312, 0.349703, 0.56913, 0.938248, 1.54976, 2.57369", \ + "0.0444016, 0.218859, 0.350591, 0.569819, 0.938249, 1.54977, 2.57381", \ + "0.058508, 0.22457, 0.353711, 0.571661, 0.938541, 1.5502, 2.57382", \ + "0.080728, 0.239613, 0.36402, 0.579301, 0.944008, 1.55298, 2.57383" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00846413, 0.0542641, 0.0854641, 0.137064, 0.223464, 0.367464, 0.607464"); + values ( \ + "0.0654804, 0.175807, 0.244433, 0.35766, 0.546692, 0.861402, 1.38603", \ + "0.0971568, 0.207521, 0.276294, 0.389297, 0.578583, 0.893327, 1.41785", \ + "0.118374, 0.22911, 0.298166, 0.41147, 0.600566, 0.915623, 1.4404", \ + "0.14829, 0.260406, 0.328848, 0.441884, 0.630593, 0.946074, 1.47032", \ + "0.186888, 0.304749, 0.372264, 0.484942, 0.674027, 0.988962, 1.51356", \ + "0.240613, 0.366017, 0.434281, 0.545332, 0.733371, 1.04787, 1.57234", \ + "0.302238, 0.444169, 0.513448, 0.625795, 0.812102, 1.12577, 1.64973" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00846413, 0.0542641, 0.0854641, 0.137064, 0.223464, 0.367464, 0.607464"); + values ( \ + "0.019674, 0.150482, 0.241679, 0.392709, 0.645055, 1.06679, 1.76813", \ + "0.0220884, 0.150593, 0.241784, 0.39358, 0.645056, 1.0668, 1.76899", \ + "0.0256209, 0.151258, 0.241884, 0.393581, 0.645702, 1.06681, 1.769", \ + "0.0322078, 0.153023, 0.242826, 0.393582, 0.645703, 1.06948, 1.76901", \ + "0.0428078, 0.156847, 0.244597, 0.394018, 0.64611, 1.06949, 1.76902", \ + "0.057996, 0.165342, 0.250023, 0.396808, 0.647844, 1.0695, 1.76903", \ + "0.082898, 0.187506, 0.266078, 0.407465, 0.655338, 1.07303, 1.77011" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00586946, 0.0516695, 0.0828695, 0.134469, 0.220869, 0.364869, 0.604869"); + values ( \ + "0.0492536, 0.0492546, 0.0492556, 0.0492566, 0.0492576, 0.0492586, 0.0492596", \ + "0.0734943, 0.0734953, 0.0734963, 0.0734973, 0.0734983, 0.0734993, 0.0735003", \ + "0.0872529, 0.0872539, 0.0872549, 0.0872559, 0.0872569, 0.0872579, 0.0872589", \ + "0.10433, 0.104331, 0.104332, 0.104333, 0.104334, 0.104335, 0.104336", \ + "0.126888, 0.126889, 0.12689, 0.126891, 0.126892, 0.126893, 0.126894", \ + "0.160974, 0.160975, 0.160976, 0.160977, 0.160978, 0.160979, 0.16098", \ + "0.201774, 0.201775, 0.201776, 0.201777, 0.201778, 0.201779, 0.20178" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00586946, 0.0516695, 0.0828695, 0.134469, 0.220869, 0.364869, 0.604869"); + values ( \ + "0.0492536, 0.0492546, 0.0492556, 0.0492566, 0.0492576, 0.0492586, 0.0492596", \ + "0.0734943, 0.0734953, 0.0734963, 0.0734973, 0.0734983, 0.0734993, 0.0735003", \ + "0.0872529, 0.0872539, 0.0872549, 0.0872559, 0.0872569, 0.0872579, 0.0872589", \ + "0.10433, 0.104331, 0.104332, 0.104333, 0.104334, 0.104335, 0.104336", \ + "0.126888, 0.126889, 0.12689, 0.126891, 0.126892, 0.126893, 0.126894", \ + "0.160974, 0.160975, 0.160976, 0.160977, 0.160978, 0.160979, 0.16098", \ + "0.201774, 0.201775, 0.201776, 0.201777, 0.201778, 0.201779, 0.20178" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00846413, 0.0542641, 0.0854641, 0.137064, 0.223464, 0.367464, 0.607464"); + values ( \ + "0.029497, 0.0295042, 0.0295052, 0.0295062, 0.0295072, 0.0295082, 0.0295092", \ + "0.0328322, 0.0328332, 0.0328342, 0.0328352, 0.0328362, 0.0328372, 0.0328382", \ + "0.0391841, 0.0391851, 0.0391861, 0.0391871, 0.0391881, 0.0391891, 0.0391901", \ + "0.0539964, 0.0539974, 0.0539984, 0.0539994, 0.0540004, 0.0540014, 0.0540024", \ + "0.0808868, 0.0808878, 0.0808888, 0.0808898, 0.0808908, 0.0808918, 0.0808928", \ + "0.134258, 0.134259, 0.13426, 0.134261, 0.134262, 0.134263, 0.134264", \ + "0.241609, 0.24161, 0.241611, 0.241612, 0.241613, 0.241614, 0.241615" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00846413, 0.0542641, 0.0854641, 0.137064, 0.223464, 0.367464, 0.607464"); + values ( \ + "0.029497, 0.0295042, 0.0295052, 0.0295062, 0.0295072, 0.0295082, 0.0295092", \ + "0.0328322, 0.0328332, 0.0328342, 0.0328352, 0.0328362, 0.0328372, 0.0328382", \ + "0.0391841, 0.0391851, 0.0391861, 0.0391871, 0.0391881, 0.0391891, 0.0391901", \ + "0.0539964, 0.0539974, 0.0539984, 0.0539994, 0.0540004, 0.0540014, 0.0540024", \ + "0.0808868, 0.0808878, 0.0808888, 0.0808898, 0.0808908, 0.0808918, 0.0808928", \ + "0.134258, 0.134259, 0.13426, 0.134261, 0.134262, 0.134263, 0.134264", \ + "0.241609, 0.24161, 0.241611, 0.241612, 0.241613, 0.241614, 0.241615" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00586946, 0.0516695, 0.0828695, 0.134469, 0.220869, 0.364869, 0.604869"); + values ( \ + "0.0352042, 0.172592, 0.266017, 0.420487, 0.679138, 1.11006, 1.82827", \ + "0.0535045, 0.199994, 0.293486, 0.44801, 0.70726, 1.13716, 1.85678", \ + "0.0603649, 0.224542, 0.319212, 0.473913, 0.732787, 1.16466, 1.88138", \ + "0.0614949, 0.264347, 0.36549, 0.523677, 0.782776, 1.21398, 1.93187", \ + "0.0614959, 0.319354, 0.437855, 0.611352, 0.880788, 1.31404, 2.03252", \ + "0.0614969, 0.372869, 0.525446, 0.735999, 1.03933, 1.49773, 2.22507", \ + "0.0614979, 0.374534, 0.596852, 0.876582, 1.25743, 1.79242, 2.57792" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00586946, 0.0516695, 0.0828695, 0.134469, 0.220869, 0.364869, 0.604869"); + values ( \ + "0.0223159, 0.216297, 0.349342, 0.568693, 0.936684, 1.54992, 2.57146", \ + "0.029315, 0.217451, 0.350315, 0.568986, 0.937395, 1.54993, 2.57169", \ + "0.0381118, 0.224097, 0.352549, 0.569719, 0.937396, 1.55151, 2.57232", \ + "0.0550375, 0.245402, 0.368652, 0.578118, 0.939065, 1.55152, 2.57233", \ + "0.0862324, 0.296546, 0.416511, 0.616726, 0.962054, 1.55817, 2.57431", \ + "0.146946, 0.3935, 0.517742, 0.715604, 1.04861, 1.61535, 2.59679", \ + "0.258618, 0.581086, 0.713909, 0.921766, 1.25473, 1.8093, 2.73797" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00846413, 0.0542641, 0.0854641, 0.137064, 0.223464, 0.367464, 0.607464"); + values ( \ + "0.0625077, 0.211044, 0.308326, 0.469081, 0.737948, 1.1862, 1.93295", \ + "0.0896638, 0.239088, 0.336345, 0.497031, 0.766269, 1.21415, 1.96131", \ + "0.105993, 0.256936, 0.354326, 0.515098, 0.783981, 1.23246, 1.97898", \ + "0.128574, 0.282089, 0.37946, 0.540091, 0.809221, 1.25738, 2.00417", \ + "0.156755, 0.315487, 0.413344, 0.574437, 0.843533, 1.29168, 2.03862", \ + "0.19528, 0.364516, 0.463348, 0.62498, 0.894252, 1.34256, 2.08946", \ + "0.233181, 0.424195, 0.524587, 0.688339, 0.958921, 1.40766, 2.15478" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00846413, 0.0542641, 0.0854641, 0.137064, 0.223464, 0.367464, 0.607464"); + values ( \ + "0.0167522, 0.150415, 0.241692, 0.392422, 0.644805, 1.06692, 1.76898", \ + "0.0172876, 0.150416, 0.241693, 0.392532, 0.645529, 1.06693, 1.76899", \ + "0.0180496, 0.150501, 0.2418, 0.392712, 0.64553, 1.06694, 1.769", \ + "0.0198422, 0.150698, 0.241801, 0.392713, 0.645531, 1.06695, 1.76901", \ + "0.0234106, 0.15085, 0.241932, 0.392714, 0.645532, 1.06696, 1.77287", \ + "0.029991, 0.152341, 0.242721, 0.393331, 0.645533, 1.06697, 1.77288", \ + "0.041613, 0.15763, 0.246255, 0.395632, 0.647223, 1.06714, 1.77289" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00586946, 0.0516695, 0.0828695, 0.134469, 0.220869, 0.364869, 0.604869"); + values ( \ + "0.00619683, 0.00699473, 0.00654233, 0.00599533, 0.00531843, 0.00488763, 0.00449153", \ + "0.00632147, 0.00730417, 0.00707707, 0.00661767, 0.00585187, 0.00484377, 0.00489597", \ + "0.00640105, 0.00732795, 0.00716035, 0.00697605, 0.00629755, 0.00504485, 0.00475055", \ + "0.0064474, 0.0068868, 0.0070104, 0.0072375, 0.0062734, 0.0052442, 0.0054372", \ + "0.0068859, 0.0067143, 0.0069084, 0.0068112, 0.0070507, 0.0057288, 0.0055102", \ + "0.0081014, 0.0065284, 0.0064937, 0.0067188, 0.0066382, 0.0060256, 0.0061892", \ + "0.0100356, 0.0066159, 0.0062413, 0.0063358, 0.0062087, 0.0062227, 0.0054413" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00846413, 0.0542641, 0.0854641, 0.137064, 0.223464, 0.367464, 0.607464"); + values ( \ + "0.00983298, 0.0114853, 0.0115124, 0.011456, 0.0111925, 0.0107816, 0.0100561", \ + "0.00973305, 0.0112476, 0.0112103, 0.0113033, 0.0109099, 0.0104058, 0.00992345", \ + "0.00979777, 0.0107675, 0.0111561, 0.0112646, 0.0108089, 0.0103347, 0.00957847", \ + "0.0100289, 0.0104657, 0.0104022, 0.0106705, 0.0102769, 0.0103547, 0.00902887", \ + "0.010647, 0.010371, 0.0104577, 0.0103568, 0.0102541, 0.0103006, 0.0105319", \ + "0.0117857, 0.0100386, 0.0101643, 0.0101014, 0.0101033, 0.0092062, 0.0101223", \ + "0.0141839, 0.0104792, 0.0100573, 0.0099024, 0.0096468, 0.0096818, 0.0086958" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00586946, 0.0516695, 0.0828695, 0.134469, 0.220869, 0.364869, 0.604869"); + values ( \ + "0.0032108, 0.0030076, 0.0029209, 0.0027238, 0.0023792, 0.0023586, 0.0020625", \ + "0.003205, 0.0029965, 0.0029832, 0.0027691, 0.0025307, 0.0023299, 0.0022083", \ + "0.0032253, 0.0031583, 0.002948, 0.0027738, 0.0025094, 0.0026079, 0.0021555", \ + "0.0032048, 0.0030405, 0.003122, 0.0028029, 0.0033723, 0.001911, 0.0022203", \ + "0.0032311, 0.0032477, 0.0031807, 0.0029677, 0.0027469, 0.0019845, 0.0024056", \ + "0.0031655, 0.0031532, 0.0031264, 0.0031488, 0.002574, 0.003395, 0.0028159", \ + "0.0031032, 0.0029597, 0.0030624, 0.0031441, 0.0030277, 0.0025926, 0.0020793" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00846413, 0.0542641, 0.0854641, 0.137064, 0.223464, 0.367464, 0.607464"); + values ( \ + "0.00367, 0.0127051, 0.0189127, 0.0291729, 0.0463162, 0.0750257, 0.122892", \ + "0.0035661, 0.0125703, 0.018778, 0.0290248, 0.046296, 0.0748184, 0.122734", \ + "0.0035075, 0.0125196, 0.0189943, 0.0292553, 0.0460658, 0.0747511, 0.122587", \ + "0.0033963, 0.0124669, 0.0184958, 0.0290828, 0.0461468, 0.0745818, 0.122285", \ + "0.0033559, 0.0122846, 0.0185615, 0.0286948, 0.0459611, 0.074155, 0.122858", \ + "0.0031521, 0.0115883, 0.0179736, 0.0283815, 0.045662, 0.0738064, 0.122686", \ + "0.0029898, 0.0109291, 0.0168215, 0.0273529, 0.0448393, 0.0738052, 0.121531" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00259096; + rise_capacitance : 0.00263523; + rise_capacitance_range (0.00263523, 0.00263523); + fall_capacitance : 0.00254669; + fall_capacitance_range (0.00254669, 0.00254669); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00975907, 0.00964213, 0.00991295, 0.0108187, 0.0127819, 0.0172169, 0.0265414" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00422072, 0.00425335, 0.00462753, 0.00555223, 0.00767528, 0.0122367, 0.0219097" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00614164; + rise_capacitance : 0.00642896; + rise_capacitance_range (0.00642896, 0.00642896); + fall_capacitance : 0.00585433; + fall_capacitance_range (0.00585433, 0.00585433); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000489208, 5.66007e-05, 0.000242082, 0.00104169, 0.00291711, 0.00731634, 0.0165233" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0160848, 0.0161484, 0.0164727, 0.0173762, 0.0194058, 0.0240922, 0.0336118" \ + ); + } + } + } + } + cell (sg13g2_ebufn_4) { + area : 25.4016; + cell_footprint : "BTL"; + cell_leakage_power : 2240.93; + leakage_power () { + value : 1765.46; + when : "A&TE_B"; + } + leakage_power () { + value : 1611.82; + when : "!A&TE_B"; + } + leakage_power () { + value : 1960.55; + when : "A&!TE_B"; + } + leakage_power () { + value : 3625.9; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 1.2; + capacitance : 0.0120447; + rise_capacitance : 0.00952443; + rise_capacitance_range (0.00952443, 0.00952443); + fall_capacitance : 0.014565; + fall_capacitance_range (0.014565, 0.014565); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105244, 0.103124, 0.165524, 0.268724, 0.441524, 0.729524, 1.20952"); + values ( \ + "0.0765657, 0.224778, 0.318355, 0.47281, 0.732188, 1.16504, 1.88695", \ + "0.114307, 0.263082, 0.357294, 0.512004, 0.771441, 1.20345, 1.92564", \ + "0.138964, 0.287848, 0.382338, 0.537856, 0.796386, 1.22858, 1.95043", \ + "0.174037, 0.324628, 0.418502, 0.573937, 0.832848, 1.26567, 1.98784", \ + "0.22026, 0.375948, 0.468707, 0.623894, 0.883624, 1.31644, 2.03717", \ + "0.283901, 0.446569, 0.537746, 0.694269, 0.953314, 1.38573, 2.10665", \ + "0.363247, 0.543426, 0.63433, 0.788721, 1.04747, 1.47914, 2.20157" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105244, 0.103124, 0.165524, 0.268724, 0.441524, 0.729524, 1.20952"); + values ( \ + "0.0255738, 0.219655, 0.353541, 0.57486, 0.945428, 1.56304, 2.59244", \ + "0.0287518, 0.21982, 0.355383, 0.574861, 0.945806, 1.56305, 2.59245", \ + "0.0326397, 0.220061, 0.355384, 0.574862, 0.945807, 1.56306, 2.59246", \ + "0.0396566, 0.221036, 0.355385, 0.574984, 0.945808, 1.56758, 2.59298", \ + "0.0521987, 0.223755, 0.355648, 0.575765, 0.945809, 1.56759, 2.59974", \ + "0.071375, 0.231718, 0.359499, 0.577932, 0.947436, 1.5676, 2.59975", \ + "0.100673, 0.252698, 0.372498, 0.585979, 0.952771, 1.56761, 2.59976" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015565, 0.108165, 0.170565, 0.273765, 0.446565, 0.734565, 1.21457"); + values ( \ + "0.0849985, 0.202393, 0.271593, 0.385221, 0.575058, 0.89086, 1.41675", \ + "0.120382, 0.237651, 0.30691, 0.420464, 0.61014, 0.926118, 1.45179", \ + "0.147544, 0.265598, 0.334712, 0.448322, 0.638025, 0.953489, 1.4797", \ + "0.186598, 0.30639, 0.375092, 0.48842, 0.677627, 0.993395, 1.51925", \ + "0.241181, 0.365947, 0.433889, 0.54636, 0.735142, 1.05036, 1.57634", \ + "0.313047, 0.447463, 0.514567, 0.626123, 0.811933, 1.12611, 1.65126", \ + "0.403329, 0.553823, 0.623836, 0.735418, 0.921275, 1.23389, 1.75517" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015565, 0.108165, 0.170565, 0.273765, 0.446565, 0.734565, 1.21457"); + values ( \ + "0.0254078, 0.155339, 0.246079, 0.397455, 0.651071, 1.07366, 1.77679", \ + "0.0273187, 0.155412, 0.246093, 0.397456, 0.651072, 1.07367, 1.7768", \ + "0.0313111, 0.156189, 0.246456, 0.397457, 0.651073, 1.07368, 1.77815", \ + "0.0389059, 0.159013, 0.247683, 0.397709, 0.651074, 1.07558, 1.77826", \ + "0.0528308, 0.164987, 0.250829, 0.399745, 0.651567, 1.07559, 1.77827", \ + "0.072124, 0.176957, 0.25857, 0.403573, 0.653648, 1.0756, 1.77828", \ + "0.103304, 0.203114, 0.277413, 0.41578, 0.660797, 1.07911, 1.78078" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105244, 0.103124, 0.165524, 0.268724, 0.441524, 0.729524, 1.20952"); + values ( \ + "0.0567634, 0.0567664, 0.0567674, 0.0567684, 0.0567694, 0.0567704, 0.0567714", \ + "0.0850392, 0.0850402, 0.0850412, 0.0850422, 0.0850432, 0.0850442, 0.0850452", \ + "0.100825, 0.100826, 0.100827, 0.100828, 0.100829, 0.10083, 0.100831", \ + "0.124594, 0.124595, 0.124596, 0.124597, 0.124598, 0.124599, 0.1246", \ + "0.15392, 0.153921, 0.153922, 0.153923, 0.153924, 0.153925, 0.153926", \ + "0.192137, 0.192138, 0.192139, 0.19214, 0.192141, 0.192142, 0.192143", \ + "0.244196, 0.244197, 0.244198, 0.244199, 0.2442, 0.244201, 0.244202" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105244, 0.103124, 0.165524, 0.268724, 0.441524, 0.729524, 1.20952"); + values ( \ + "0.0567634, 0.0567664, 0.0567674, 0.0567684, 0.0567694, 0.0567704, 0.0567714", \ + "0.0850392, 0.0850402, 0.0850412, 0.0850422, 0.0850432, 0.0850442, 0.0850452", \ + "0.100825, 0.100826, 0.100827, 0.100828, 0.100829, 0.10083, 0.100831", \ + "0.124594, 0.124595, 0.124596, 0.124597, 0.124598, 0.124599, 0.1246", \ + "0.15392, 0.153921, 0.153922, 0.153923, 0.153924, 0.153925, 0.153926", \ + "0.192137, 0.192138, 0.192139, 0.19214, 0.192141, 0.192142, 0.192143", \ + "0.244196, 0.244197, 0.244198, 0.244199, 0.2442, 0.244201, 0.244202" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015565, 0.108165, 0.170565, 0.273765, 0.446565, 0.734565, 1.21457"); + values ( \ + "0.0304224, 0.0304234, 0.0304244, 0.0304254, 0.0304264, 0.0304274, 0.0304284", \ + "0.0327578, 0.0327588, 0.0327598, 0.0327608, 0.0327618, 0.0327628, 0.0327638", \ + "0.0395029, 0.0395039, 0.0395049, 0.0395059, 0.0395069, 0.0395079, 0.0395089", \ + "0.0545738, 0.0545748, 0.0545758, 0.0545768, 0.0545778, 0.0545788, 0.0545798", \ + "0.0809104, 0.0809114, 0.0809124, 0.0809134, 0.0809144, 0.0809154, 0.0809164", \ + "0.134895, 0.134896, 0.134897, 0.134898, 0.134899, 0.1349, 0.134901", \ + "0.242597, 0.242598, 0.242599, 0.2426, 0.242601, 0.242602, 0.242603" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015565, 0.108165, 0.170565, 0.273765, 0.446565, 0.734565, 1.21457"); + values ( \ + "0.0304224, 0.0304234, 0.0304244, 0.0304254, 0.0304264, 0.0304274, 0.0304284", \ + "0.0327578, 0.0327588, 0.0327598, 0.0327608, 0.0327618, 0.0327628, 0.0327638", \ + "0.0395029, 0.0395039, 0.0395049, 0.0395059, 0.0395069, 0.0395079, 0.0395089", \ + "0.0545738, 0.0545748, 0.0545758, 0.0545768, 0.0545778, 0.0545788, 0.0545798", \ + "0.0809104, 0.0809114, 0.0809124, 0.0809134, 0.0809144, 0.0809154, 0.0809164", \ + "0.134895, 0.134896, 0.134897, 0.134898, 0.134899, 0.1349, 0.134901", \ + "0.242597, 0.242598, 0.242599, 0.2426, 0.242601, 0.242602, 0.242603" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105244, 0.103124, 0.165524, 0.268724, 0.441524, 0.729524, 1.20952"); + values ( \ + "0.0348704, 0.176245, 0.270388, 0.426014, 0.68644, 1.1204, 1.84429", \ + "0.0513805, 0.202019, 0.296412, 0.452124, 0.713244, 1.14687, 1.87142", \ + "0.0571407, 0.226171, 0.321748, 0.477749, 0.738273, 1.1737, 1.8957", \ + "0.0571417, 0.265787, 0.367937, 0.527437, 0.788596, 1.22304, 1.94649", \ + "0.0571427, 0.320678, 0.440173, 0.615053, 0.886434, 1.32295, 2.04701", \ + "0.0571437, 0.373943, 0.527485, 0.740193, 1.04449, 1.50612, 2.23886", \ + "0.0571447, 0.375299, 0.598796, 0.879644, 1.26307, 1.80033, 2.59075" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105244, 0.103124, 0.165524, 0.268724, 0.441524, 0.729524, 1.20952"); + values ( \ + "0.0240497, 0.219447, 0.353687, 0.57484, 0.945326, 1.56301, 2.59277", \ + "0.0284248, 0.220399, 0.354014, 0.575414, 0.946422, 1.56364, 2.59278", \ + "0.0357516, 0.226997, 0.356849, 0.576471, 0.947416, 1.56423, 2.59279", \ + "0.051234, 0.248259, 0.372874, 0.583975, 0.947685, 1.56424, 2.5928", \ + "0.0813669, 0.298729, 0.42049, 0.622178, 0.97046, 1.5713, 2.59294", \ + "0.140897, 0.395407, 0.521493, 0.722078, 1.05723, 1.62721, 2.61748", \ + "0.25008, 0.583152, 0.716384, 0.926694, 1.26326, 1.81998, 2.75653" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015565, 0.108165, 0.170565, 0.273765, 0.446565, 0.734565, 1.21457"); + values ( \ + "0.0726067, 0.230156, 0.327975, 0.489217, 0.758904, 1.20822, 1.957", \ + "0.103276, 0.261908, 0.359739, 0.521181, 0.790698, 1.24003, 1.98917", \ + "0.123818, 0.284225, 0.382111, 0.543392, 0.813091, 1.26248, 2.01127", \ + "0.151954, 0.316108, 0.414385, 0.575391, 0.84525, 1.29455, 2.04372", \ + "0.188687, 0.360422, 0.459293, 0.620983, 0.890894, 1.34022, 2.08898", \ + "0.238004, 0.42276, 0.521908, 0.684592, 0.955115, 1.40452, 2.15328", \ + "0.291604, 0.501498, 0.602731, 0.767889, 1.04, 1.48994, 2.23904" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015565, 0.108165, 0.170565, 0.273765, 0.446565, 0.734565, 1.21457"); + values ( \ + "0.0185204, 0.15462, 0.2461, 0.397213, 0.650695, 1.0736, 1.77703", \ + "0.019074, 0.154621, 0.246957, 0.397395, 0.651009, 1.07361, 1.77704", \ + "0.0199205, 0.154747, 0.246958, 0.397465, 0.65101, 1.07362, 1.77705", \ + "0.0219444, 0.154824, 0.246959, 0.397466, 0.651057, 1.07363, 1.77815", \ + "0.0261214, 0.15533, 0.24696, 0.397467, 0.651058, 1.07364, 1.77816", \ + "0.033619, 0.157104, 0.246961, 0.39771, 0.651356, 1.07365, 1.77817", \ + "0.046931, 0.163409, 0.250423, 0.399667, 0.652428, 1.07366, 1.77818" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105244, 0.103124, 0.165524, 0.268724, 0.441524, 0.729524, 1.20952"); + values ( \ + "0.0115184, 0.0131094, 0.0124025, 0.0113896, 0.0101746, 0.0094296, 0.0086285", \ + "0.01245, 0.0142047, 0.0142779, 0.0130573, 0.0117981, 0.0098266, 0.0098642", \ + "0.0131658, 0.0143883, 0.0142619, 0.0138864, 0.0122832, 0.0103353, 0.0101338", \ + "0.0136975, 0.0135938, 0.0137929, 0.0138439, 0.0125764, 0.0118238, 0.0106274", \ + "0.0154579, 0.0134169, 0.0136777, 0.0133999, 0.0140756, 0.0120847, 0.0128142", \ + "0.0188715, 0.0132726, 0.0133509, 0.0138449, 0.0133572, 0.0127482, 0.0120141", \ + "0.0246443, 0.0142045, 0.0134738, 0.0132554, 0.0134136, 0.0134115, 0.0120149" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015565, 0.108165, 0.170565, 0.273765, 0.446565, 0.734565, 1.21457"); + values ( \ + "0.0200971, 0.0227448, 0.0228701, 0.022815, 0.0224961, 0.0215873, 0.020067", \ + "0.0200568, 0.0221563, 0.0223944, 0.0223586, 0.0219737, 0.021223, 0.0197853", \ + "0.0206562, 0.0216918, 0.0221789, 0.0220159, 0.0219081, 0.020907, 0.0197004", \ + "0.0217606, 0.0212315, 0.0212103, 0.0218765, 0.0210008, 0.0210423, 0.0192247", \ + "0.0238929, 0.0210224, 0.0211728, 0.0207919, 0.0206576, 0.020549, 0.022962", \ + "0.027496, 0.021355, 0.0209214, 0.0208739, 0.0201221, 0.0182235, 0.0187375", \ + "0.0330432, 0.0215537, 0.0208149, 0.0211806, 0.020635, 0.0199967, 0.0167948" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105244, 0.103124, 0.165524, 0.268724, 0.441524, 0.729524, 1.20952"); + values ( \ + "0.0063084, 0.005979, 0.005716, 0.0054098, 0.0047177, 0.0043735, 0.0041998", \ + "0.0064532, 0.0060892, 0.00596, 0.0057135, 0.0051267, 0.0050302, 0.0046563", \ + "0.0064107, 0.0064111, 0.0058559, 0.0056626, 0.0053992, 0.0049095, 0.0046943", \ + "0.0064607, 0.0062182, 0.006243, 0.0055953, 0.0056826, 0.0048025, 0.0045375", \ + "0.0065403, 0.0064987, 0.006262, 0.0058073, 0.0064998, 0.0049064, 0.0045514", \ + "0.006474, 0.0064064, 0.0064055, 0.0065978, 0.0053932, 0.0068513, 0.0050326", \ + "0.0063614, 0.0060324, 0.0062304, 0.0063761, 0.0064523, 0.0056482, 0.0044477" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.015565, 0.108165, 0.170565, 0.273765, 0.446565, 0.734565, 1.21457"); + values ( \ + "0.0069373, 0.0252884, 0.037711, 0.0582044, 0.0925745, 0.149897, 0.245307", \ + "0.0069732, 0.0251745, 0.0378108, 0.0580573, 0.092478, 0.149519, 0.245287", \ + "0.0068845, 0.0249549, 0.0373553, 0.0580064, 0.0920346, 0.149216, 0.244861", \ + "0.0067885, 0.024815, 0.0370112, 0.0579628, 0.0919198, 0.148826, 0.24479", \ + "0.0066407, 0.0244891, 0.0371962, 0.0572357, 0.0921719, 0.148901, 0.244358", \ + "0.0062979, 0.0232791, 0.0357087, 0.0567807, 0.0913838, 0.148232, 0.24412", \ + "0.0059873, 0.0217835, 0.0335148, 0.054665, 0.089846, 0.147727, 0.242417" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00292884; + rise_capacitance : 0.00298182; + rise_capacitance_range (0.00298182, 0.00298182); + fall_capacitance : 0.00287586; + fall_capacitance_range (0.00287586, 0.00287586); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0180465, 0.0178333, 0.0180475, 0.0190198, 0.0211066, 0.0260819, 0.0365951" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00665682, 0.00660378, 0.00694548, 0.0079181, 0.0102652, 0.01534, 0.0262992" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00998568; + rise_capacitance : 0.0105337; + rise_capacitance_range (0.0105337, 0.0105337); + fall_capacitance : 0.00943765; + fall_capacitance_range (0.00943765, 0.00943765); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000803046, -0.00155838, -0.00145466, -0.00074501, 0.00117766, 0.00602063, 0.016423" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0303535, 0.030152, 0.0305764, 0.0314222, 0.0337011, 0.0389122, 0.049696" \ + ); + } + } + } + } + cell (sg13g2_ebufn_8) { + area : 45.36; + cell_footprint : "BTL"; + cell_leakage_power : 3998.39; + leakage_power () { + value : 2770.28; + when : "A&TE_B"; + } + leakage_power () { + value : 2462.44; + when : "!A&TE_B"; + } + leakage_power () { + value : 3715.27; + when : "A&!TE_B"; + } + leakage_power () { + value : 7045.57; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 2.4; + capacitance : 0.0236853; + rise_capacitance : 0.0187611; + rise_capacitance_range (0.0187611, 0.0187611); + fall_capacitance : 0.0286096; + fall_capacitance_range (0.0286096, 0.0286096); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0197611, 0.205961, 0.330761, 0.537161, 0.882761, 1.45876, 2.41876"); + values ( \ + "0.0742341, 0.224076, 0.317711, 0.472364, 0.732138, 1.16591, 1.88915", \ + "0.111574, 0.261899, 0.356272, 0.511453, 0.77143, 1.20429, 1.92768", \ + "0.135905, 0.286626, 0.380901, 0.536467, 0.796142, 1.22885, 1.95174", \ + "0.17077, 0.32228, 0.416888, 0.572473, 0.832442, 1.2655, 1.98919", \ + "0.216015, 0.371975, 0.465787, 0.621271, 0.881661, 1.31475, 2.03746", \ + "0.278622, 0.441623, 0.534859, 0.687277, 0.950754, 1.38374, 2.10704", \ + "0.358392, 0.535788, 0.628286, 0.782301, 1.04217, 1.47503, 2.19861" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0197611, 0.205961, 0.330761, 0.537161, 0.882761, 1.45876, 2.41876"); + values ( \ + "0.024433, 0.220469, 0.354743, 0.576783, 0.948459, 1.56823, 2.60098", \ + "0.027738, 0.220544, 0.357143, 0.576876, 0.948991, 1.56826, 2.60103", \ + "0.0316877, 0.220864, 0.357144, 0.576877, 0.948992, 1.56827, 2.60104", \ + "0.0388571, 0.221801, 0.357145, 0.576976, 0.948993, 1.5718, 2.60171", \ + "0.0516456, 0.224381, 0.357146, 0.577891, 0.949026, 1.57181, 2.60905", \ + "0.071125, 0.232204, 0.360653, 0.579974, 0.950657, 1.57182, 2.60906", \ + "0.100363, 0.252947, 0.373492, 0.588103, 0.955983, 1.57184, 2.60907" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0296096, 0.21581, 0.34061, 0.54701, 0.89261, 1.46861, 2.42861"); + values ( \ + "0.0824142, 0.200509, 0.269689, 0.383551, 0.573684, 0.890093, 1.41709", \ + "0.117604, 0.235357, 0.304611, 0.41848, 0.60847, 0.925138, 1.45188", \ + "0.14442, 0.262776, 0.332118, 0.445864, 0.635907, 0.953702, 1.47934", \ + "0.182927, 0.303061, 0.371842, 0.485771, 0.674767, 0.991856, 1.51842", \ + "0.235715, 0.361196, 0.429147, 0.542018, 0.730661, 1.04686, 1.57371", \ + "0.308147, 0.442022, 0.509251, 0.620732, 0.806766, 1.12166, 1.64787", \ + "0.396564, 0.546553, 0.616406, 0.728571, 0.912805, 1.22708, 1.75046" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0296096, 0.21581, 0.34061, 0.54701, 0.89261, 1.46861, 2.42861"); + values ( \ + "0.0244822, 0.155553, 0.246753, 0.398703, 0.652641, 1.07594, 1.78193", \ + "0.0264684, 0.155587, 0.246927, 0.398704, 0.65314, 1.07643, 1.78194", \ + "0.0306398, 0.156447, 0.24711, 0.398705, 0.653141, 1.07767, 1.7833", \ + "0.0383417, 0.159135, 0.248471, 0.399137, 0.653366, 1.07881, 1.78331", \ + "0.0526171, 0.164887, 0.251287, 0.400951, 0.653603, 1.07882, 1.78332", \ + "0.071774, 0.176093, 0.258678, 0.404673, 0.655671, 1.07883, 1.78333", \ + "0.103401, 0.203141, 0.277754, 0.416786, 0.662785, 1.08264, 1.78516" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0197611, 0.205961, 0.330761, 0.537161, 0.882761, 1.45876, 2.41876"); + values ( \ + "0.0736504, 0.0736514, 0.0738699, 0.0738709, 0.0738719, 0.0738729, 0.0738739", \ + "0.108525, 0.108526, 0.108527, 0.108528, 0.108529, 0.10853, 0.108531", \ + "0.13211, 0.132111, 0.132112, 0.132113, 0.132114, 0.132115, 0.132116", \ + "0.165999, 0.166, 0.166001, 0.166002, 0.166003, 0.166004, 0.166005", \ + "0.209243, 0.209244, 0.209245, 0.209246, 0.209247, 0.209248, 0.209249", \ + "0.272095, 0.272096, 0.272097, 0.272098, 0.272099, 0.2721, 0.272101", \ + "0.344411, 0.344412, 0.344413, 0.344414, 0.344415, 0.344416, 0.344417" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0197611, 0.205961, 0.330761, 0.537161, 0.882761, 1.45876, 2.41876"); + values ( \ + "0.0736504, 0.0736514, 0.0738699, 0.0738709, 0.0738719, 0.0738729, 0.0738739", \ + "0.108525, 0.108526, 0.108527, 0.108528, 0.108529, 0.10853, 0.108531", \ + "0.13211, 0.132111, 0.132112, 0.132113, 0.132114, 0.132115, 0.132116", \ + "0.165999, 0.166, 0.166001, 0.166002, 0.166003, 0.166004, 0.166005", \ + "0.209243, 0.209244, 0.209245, 0.209246, 0.209247, 0.209248, 0.209249", \ + "0.272095, 0.272096, 0.272097, 0.272098, 0.272099, 0.2721, 0.272101", \ + "0.344411, 0.344412, 0.344413, 0.344414, 0.344415, 0.344416, 0.344417" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0296096, 0.21581, 0.34061, 0.54701, 0.89261, 1.46861, 2.42861"); + values ( \ + "0.0313204, 0.0313271, 0.0313281, 0.0313291, 0.0313301, 0.0313311, 0.0313321", \ + "0.0337694, 0.0337704, 0.0337714, 0.0337724, 0.0337734, 0.0337744, 0.0337754", \ + "0.040298, 0.040299, 0.0403, 0.040301, 0.040302, 0.040303, 0.040304", \ + "0.0555705, 0.0555715, 0.0555725, 0.0555735, 0.0555745, 0.0555755, 0.0555765", \ + "0.0820754, 0.0820764, 0.0820774, 0.0820784, 0.0820794, 0.0820804, 0.0820814", \ + "0.136212, 0.136213, 0.136214, 0.136215, 0.136216, 0.136217, 0.136218", \ + "0.245821, 0.245822, 0.245823, 0.245824, 0.245825, 0.245826, 0.245827" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0296096, 0.21581, 0.34061, 0.54701, 0.89261, 1.46861, 2.42861"); + values ( \ + "0.0313204, 0.0313271, 0.0313281, 0.0313291, 0.0313301, 0.0313311, 0.0313321", \ + "0.0337694, 0.0337704, 0.0337714, 0.0337724, 0.0337734, 0.0337744, 0.0337754", \ + "0.040298, 0.040299, 0.0403, 0.040301, 0.040302, 0.040303, 0.040304", \ + "0.0555705, 0.0555715, 0.0555725, 0.0555735, 0.0555745, 0.0555755, 0.0555765", \ + "0.0820754, 0.0820764, 0.0820774, 0.0820784, 0.0820794, 0.0820804, 0.0820814", \ + "0.136212, 0.136213, 0.136214, 0.136215, 0.136216, 0.136217, 0.136218", \ + "0.245821, 0.245822, 0.245823, 0.245824, 0.245825, 0.245826, 0.245827" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0197611, 0.205961, 0.330761, 0.537161, 0.882761, 1.45876, 2.41876"); + values ( \ + "0.0347191, 0.177954, 0.272413, 0.428591, 0.690013, 1.12512, 1.85063", \ + "0.0509562, 0.203301, 0.297952, 0.454165, 0.715967, 1.15101, 1.87692", \ + "0.0561947, 0.227043, 0.322926, 0.479394, 0.741127, 1.17751, 1.90258", \ + "0.0561957, 0.266554, 0.369002, 0.529, 0.79099, 1.22718, 1.95248", \ + "0.0561967, 0.321179, 0.440991, 0.616264, 0.888542, 1.32648, 2.05268", \ + "0.0561977, 0.374187, 0.528242, 0.740622, 1.04606, 1.50902, 2.244", \ + "0.0561987, 0.376254, 0.599333, 0.880451, 1.26416, 1.80292, 2.59475" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0197611, 0.205961, 0.330761, 0.537161, 0.882761, 1.45876, 2.41876"); + values ( \ + "0.0228033, 0.219069, 0.354855, 0.576867, 0.948685, 1.56843, 2.60114", \ + "0.0265237, 0.219587, 0.356361, 0.577359, 0.949031, 1.56844, 2.60115", \ + "0.0337254, 0.226124, 0.357853, 0.57736, 0.949032, 1.56892, 2.60116", \ + "0.0488065, 0.247508, 0.373813, 0.585964, 0.95077, 1.56893, 2.60117", \ + "0.0795448, 0.298698, 0.420856, 0.623801, 0.973632, 1.57641, 2.60118", \ + "0.1384, 0.396158, 0.521236, 0.722187, 1.05908, 1.63246, 2.6269", \ + "0.246323, 0.583982, 0.717088, 0.927113, 1.264, 1.82402, 2.7647" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0296096, 0.21581, 0.34061, 0.54701, 0.89261, 1.46861, 2.42861"); + values ( \ + "0.0950837, 0.260831, 0.359074, 0.520818, 0.791142, 1.24162, 1.99175", \ + "0.129825, 0.296567, 0.394816, 0.556561, 0.826926, 1.27717, 2.02771", \ + "0.156093, 0.325401, 0.423648, 0.585426, 0.856087, 1.30614, 2.05649", \ + "0.193903, 0.368997, 0.467755, 0.629898, 0.900068, 1.35046, 2.1006", \ + "0.244194, 0.429879, 0.529228, 0.691372, 0.962002, 1.41232, 2.16307", \ + "0.309335, 0.513095, 0.613968, 0.776825, 1.04791, 1.49845, 2.24878", \ + "0.384219, 0.621065, 0.724454, 0.889112, 1.16253, 1.61359, 2.36469" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0296096, 0.21581, 0.34061, 0.54701, 0.89261, 1.46861, 2.42861"); + values ( \ + "0.0200617, 0.155609, 0.247073, 0.398567, 0.652296, 1.07692, 1.78211", \ + "0.0205593, 0.15561, 0.247074, 0.398901, 0.653066, 1.07693, 1.78212", \ + "0.0218661, 0.155788, 0.247075, 0.399318, 0.653067, 1.07694, 1.78213", \ + "0.0248726, 0.156198, 0.247203, 0.399319, 0.653109, 1.07695, 1.78214", \ + "0.031276, 0.15743, 0.2477, 0.39932, 0.65311, 1.07696, 1.78215", \ + "0.041445, 0.160636, 0.248746, 0.399321, 0.653256, 1.07697, 1.78216", \ + "0.059187, 0.169588, 0.253786, 0.401149, 0.653516, 1.07726, 1.7823" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0197611, 0.205961, 0.330761, 0.537161, 0.882761, 1.45876, 2.41876"); + values ( \ + "0.0226744, 0.0260322, 0.0246623, 0.0226619, 0.0203465, 0.0172127, 0.0168301", \ + "0.0245919, 0.0284524, 0.0286265, 0.0261833, 0.0237686, 0.0199672, 0.0194966", \ + "0.0257352, 0.0286098, 0.0286671, 0.0278059, 0.0247786, 0.0210915, 0.0201748", \ + "0.0271666, 0.0268465, 0.027818, 0.0282596, 0.0256931, 0.0236633, 0.0217972", \ + "0.0307237, 0.0264531, 0.0273453, 0.0268426, 0.0279322, 0.0243625, 0.0265012", \ + "0.0378357, 0.0262824, 0.0268791, 0.0274023, 0.0275621, 0.0272208, 0.0306729", \ + "0.0491276, 0.0279236, 0.0267665, 0.0262485, 0.0271546, 0.0274596, 0.0254182" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0296096, 0.21581, 0.34061, 0.54701, 0.89261, 1.46861, 2.42861"); + values ( \ + "0.0401919, 0.0456614, 0.0458316, 0.0458208, 0.0449047, 0.0428694, 0.0402206", \ + "0.0402993, 0.0443669, 0.0455958, 0.0447557, 0.0441784, 0.0425538, 0.0395876", \ + "0.0417536, 0.043497, 0.0449554, 0.0440361, 0.043747, 0.0429725, 0.0398269", \ + "0.0436843, 0.0424589, 0.0423082, 0.0437971, 0.0420148, 0.0419902, 0.0378507", \ + "0.0479476, 0.0418993, 0.0421262, 0.0413652, 0.0407388, 0.0400493, 0.0404386", \ + "0.0549148, 0.0418074, 0.0417973, 0.0416061, 0.0397597, 0.0360668, 0.0419965", \ + "0.0665124, 0.043708, 0.0422238, 0.0424917, 0.040905, 0.0403577, 0.0357597" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0197611, 0.205961, 0.330761, 0.537161, 0.882761, 1.45876, 2.41876"); + values ( \ + "0.0129605, 0.0124025, 0.0118074, 0.0111742, 0.009703, 0.0090641, 0.0094135", \ + "0.0129169, 0.0122927, 0.0124165, 0.0115012, 0.0099541, 0.0092606, 0.0087768", \ + "0.0128941, 0.0126701, 0.0118415, 0.0108997, 0.0098885, 0.0099752, 0.0087934", \ + "0.0128969, 0.0122374, 0.01232, 0.011272, 0.0125261, 0.0091624, 0.0079769", \ + "0.0128783, 0.0129079, 0.0122986, 0.0117247, 0.0109333, 0.0090526, 0.0087205", \ + "0.0130182, 0.0128425, 0.0127553, 0.0126788, 0.0109188, 0.0134386, 0.0148423", \ + "0.0128644, 0.0123675, 0.0125919, 0.0127089, 0.0124837, 0.0094543, 0.0090467" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0296096, 0.21581, 0.34061, 0.54701, 0.89261, 1.46861, 2.42861"); + values ( \ + "0.0137082, 0.0507253, 0.0755003, 0.116545, 0.184997, 0.299984, 0.49102", \ + "0.0135849, 0.0503071, 0.0750471, 0.116199, 0.185071, 0.299187, 0.490646", \ + "0.0135403, 0.0500924, 0.0744944, 0.115945, 0.184316, 0.298766, 0.489699", \ + "0.0132164, 0.0493024, 0.0741024, 0.117181, 0.184098, 0.298412, 0.489285", \ + "0.0129584, 0.0483062, 0.0735852, 0.113301, 0.183541, 0.298892, 0.487718", \ + "0.0126314, 0.0464656, 0.0716026, 0.113105, 0.181297, 0.29558, 0.486604", \ + "0.0119855, 0.0430176, 0.0671166, 0.108812, 0.178885, 0.295419, 0.484673" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00571356; + rise_capacitance : 0.00582157; + rise_capacitance_range (0.00582157, 0.00582157); + fall_capacitance : 0.00560555; + fall_capacitance_range (0.00560555, 0.00560555); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0353584, 0.034952, 0.0354217, 0.037458, 0.0417435, 0.0517321, 0.0728844" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0123112, 0.012275, 0.0130071, 0.0149433, 0.0197196, 0.0299565, 0.0519846" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0165688; + rise_capacitance : 0.01762; + rise_capacitance_range (0.01762, 0.01762); + fall_capacitance : 0.0155176; + fall_capacitance_range (0.0155176, 0.0155176); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00450674, -0.00559122, -0.00576816, -0.00532217, -0.00385558, 0.000291108, 0.0101246" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0582127, 0.0579159, 0.0582936, 0.0591756, 0.0611188, 0.0658524, 0.0761674" \ + ); + } + } + } + } + cell (sg13g2_einvn_2) { + area : 16.3296; + cell_footprint : "einvin"; + cell_leakage_power : 1149.3; + leakage_power () { + value : 594.234; + when : "!A&!TE_B&Z"; + } + leakage_power () { + value : 1704.37; + when : "A&!TE_B&!Z"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 0.6; + capacitance : 0.00618244; + rise_capacitance : 0.00488984; + rise_capacitance_range (0.00488984, 0.00488984); + fall_capacitance : 0.00747504; + fall_capacitance_range (0.00747504, 0.00747504); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00588984, 0.0516898, 0.0828898, 0.13449, 0.22089, 0.36489, 0.60489"); + values ( \ + "0.027132, 0.166436, 0.258576, 0.412223, 0.670326, 1.10136, 1.82046", \ + "0.0426672, 0.199946, 0.292536, 0.445955, 0.704543, 1.13484, 1.8539", \ + "0.0512017, 0.232812, 0.327076, 0.480621, 0.738396, 1.16969, 1.88665", \ + "0.0632444, 0.286347, 0.390224, 0.547957, 0.805259, 1.2353, 1.95261", \ + "0.0796659, 0.364035, 0.491191, 0.670505, 0.941185, 1.37198, 2.08847", \ + "0.105422, 0.467579, 0.627215, 0.850028, 1.16441, 1.6277, 2.35247", \ + "0.135446, 0.607562, 0.808613, 1.09247, 1.49223, 2.04604, 2.84785" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00588984, 0.0516898, 0.0828898, 0.13449, 0.22089, 0.36489, 0.60489"); + values ( \ + "0.0193061, 0.214337, 0.347392, 0.567688, 0.936387, 1.55089, 2.575", \ + "0.0338287, 0.216334, 0.348377, 0.567689, 0.936835, 1.5509, 2.57501", \ + "0.0441779, 0.227804, 0.353134, 0.568644, 0.936836, 1.55204, 2.57502", \ + "0.0614105, 0.260553, 0.379146, 0.582816, 0.939669, 1.55205, 2.57503", \ + "0.0894144, 0.326987, 0.446328, 0.642208, 0.976017, 1.56311, 2.57863", \ + "0.143565, 0.428543, 0.567897, 0.773604, 1.10107, 1.65044, 2.61382", \ + "0.229874, 0.589729, 0.759581, 1.00621, 1.36547, 1.91542, 2.82108" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847504, 0.054275, 0.085475, 0.137075, 0.223475, 0.367475, 0.607475"); + values ( \ + "0.0237248, 0.128148, 0.196603, 0.309543, 0.498737, 0.813487, 1.3384", \ + "0.0375215, 0.164894, 0.234025, 0.347131, 0.536162, 0.85125, 1.37656", \ + "0.0444367, 0.196024, 0.269859, 0.38443, 0.573402, 0.888416, 1.41397", \ + "0.0537624, 0.243565, 0.329093, 0.454623, 0.648073, 0.963226, 1.48739", \ + "0.0636668, 0.309116, 0.415843, 0.564509, 0.781432, 1.10941, 1.63609", \ + "0.0733218, 0.39741, 0.536106, 0.724087, 0.987676, 1.36584, 1.92635", \ + "0.0779722, 0.506208, 0.692011, 0.941067, 1.27823, 1.74785, 2.40765" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847504, 0.054275, 0.085475, 0.137075, 0.223475, 0.367475, 0.607475"); + values ( \ + "0.0129978, 0.146018, 0.237446, 0.388478, 0.64177, 1.06271, 1.76528", \ + "0.0264816, 0.152413, 0.239916, 0.389811, 0.641771, 1.06353, 1.76529", \ + "0.0363438, 0.169185, 0.251862, 0.394667, 0.64222, 1.06354, 1.7667", \ + "0.0539491, 0.204219, 0.287304, 0.422079, 0.6574, 1.06615, 1.76671", \ + "0.081624, 0.266994, 0.355562, 0.493732, 0.719255, 1.10573, 1.78063", \ + "0.129046, 0.372018, 0.476762, 0.626876, 0.862806, 1.23897, 1.8738", \ + "0.212451, 0.53676, 0.67543, 0.855369, 1.12757, 1.52593, 2.15725" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00588984, 0.0516898, 0.0828898, 0.13449, 0.22089, 0.36489, 0.60489"); + values ( \ + "0.0536321, 0.0536636, 0.0536646, 0.0536732, 0.0536913, 0.0538041, 0.0538051", \ + "0.0817697, 0.0817707, 0.0817717, 0.0817727, 0.0817737, 0.0817747, 0.0817757", \ + "0.0975932, 0.0975942, 0.0975952, 0.0975962, 0.0975972, 0.0975982, 0.0975992", \ + "0.121523, 0.121524, 0.121525, 0.121526, 0.121527, 0.121528, 0.121529", \ + "0.150596, 0.150597, 0.150598, 0.150599, 0.1506, 0.150601, 0.150602", \ + "0.190521, 0.190522, 0.190523, 0.190524, 0.190525, 0.190526, 0.190527", \ + "0.247853, 0.247854, 0.247855, 0.247856, 0.247857, 0.247858, 0.247859" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00588984, 0.0516898, 0.0828898, 0.13449, 0.22089, 0.36489, 0.60489"); + values ( \ + "0.0536321, 0.0536636, 0.0536646, 0.0536732, 0.0536913, 0.0538041, 0.0538051", \ + "0.0817697, 0.0817707, 0.0817717, 0.0817727, 0.0817737, 0.0817747, 0.0817757", \ + "0.0975932, 0.0975942, 0.0975952, 0.0975962, 0.0975972, 0.0975982, 0.0975992", \ + "0.121523, 0.121524, 0.121525, 0.121526, 0.121527, 0.121528, 0.121529", \ + "0.150596, 0.150597, 0.150598, 0.150599, 0.1506, 0.150601, 0.150602", \ + "0.190521, 0.190522, 0.190523, 0.190524, 0.190525, 0.190526, 0.190527", \ + "0.247853, 0.247854, 0.247855, 0.247856, 0.247857, 0.247858, 0.247859" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00588984, 0.0516898, 0.0828898, 0.13449, 0.22089, 0.36489, 0.60489"); + values ( \ + "0.0333758, 0.171101, 0.26461, 0.419237, 0.678401, 1.11066, 1.83064", \ + "0.0530223, 0.199436, 0.292996, 0.447784, 0.70761, 1.139, 1.86", \ + "0.0604095, 0.224055, 0.318666, 0.473531, 0.73301, 1.16583, 1.88499", \ + "0.0622705, 0.264194, 0.365158, 0.523447, 0.782933, 1.21506, 1.93476", \ + "0.0622715, 0.319459, 0.437841, 0.611518, 0.88101, 1.3152, 2.03538", \ + "0.0622725, 0.373478, 0.526044, 0.737424, 1.04038, 1.49933, 2.22815", \ + "0.0622735, 0.375804, 0.598102, 0.877781, 1.25926, 1.79275, 2.5823" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00588984, 0.0516898, 0.0828898, 0.13449, 0.22089, 0.36489, 0.60489"); + values ( \ + "0.0193294, 0.214424, 0.347749, 0.567629, 0.936739, 1.55088, 2.57494", \ + "0.0276683, 0.215425, 0.348236, 0.567863, 0.937102, 1.55089, 2.57527", \ + "0.0372905, 0.222091, 0.350896, 0.568558, 0.937103, 1.55263, 2.57528", \ + "0.0545902, 0.243658, 0.366923, 0.576961, 0.938521, 1.55264, 2.5757", \ + "0.0868303, 0.295416, 0.415329, 0.615677, 0.961799, 1.55929, 2.57571", \ + "0.148728, 0.393374, 0.517199, 0.716399, 1.04903, 1.61662, 2.60049", \ + "0.260034, 0.581471, 0.713541, 0.920431, 1.25465, 1.8078, 2.74155" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00588984, 0.0516898, 0.0828898, 0.13449, 0.22089, 0.36489, 0.60489"); + values ( \ + "0.00624821, 0.00660523, 0.00606772, 0.00553831, 0.00493802, 0.00465985, 0.00428201", \ + "0.00654279, 0.00675447, 0.00648361, 0.00587583, 0.00535936, 0.00445195, 0.00448161", \ + "0.00742755, 0.00706181, 0.00668954, 0.00619236, 0.00549432, 0.00485183, 0.00464026", \ + "0.00941783, 0.00766747, 0.0074557, 0.00683343, 0.00652514, 0.00505522, 0.00479577", \ + "0.0139152, 0.0100125, 0.00912479, 0.00853019, 0.00789317, 0.00607834, 0.00615201", \ + "0.023519, 0.0161723, 0.014332, 0.0127861, 0.0109048, 0.00995741, 0.00797552", \ + "0.0426015, 0.0307997, 0.0275481, 0.0240096, 0.0205371, 0.0164007, 0.0135408" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00847504, 0.054275, 0.085475, 0.137075, 0.223475, 0.367475, 0.607475"); + values ( \ + "0.00616101, 0.00763547, 0.00760613, 0.00748792, 0.0073416, 0.00675989, 0.00593158", \ + "0.00625991, 0.00735943, 0.00747636, 0.00754195, 0.00722883, 0.00686466, 0.0060126", \ + "0.00704654, 0.00720978, 0.00743456, 0.00744338, 0.0071718, 0.00679821, 0.00622561", \ + "0.0089945, 0.00769003, 0.00753979, 0.00753127, 0.00739305, 0.00756931, 0.00610745", \ + "0.0133233, 0.00953514, 0.00909124, 0.00863542, 0.00788346, 0.00829621, 0.00656629", \ + "0.0224692, 0.0153369, 0.013661, 0.0121609, 0.0110103, 0.00923539, 0.00833518", \ + "0.0409751, 0.029554, 0.026067, 0.0224165, 0.0196835, 0.0167216, 0.0125188" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00588984, 0.0516898, 0.0828898, 0.13449, 0.22089, 0.36489, 0.60489"); + values ( \ + "0.00932718, 0.00916708, 0.00906458, 0.00885558, 0.00854718, 0.00836978, 0.00826228", \ + "0.00923478, 0.00905968, 0.00902598, 0.00881808, 0.00859218, 0.00836128, 0.00829788", \ + "0.0092319, 0.0091574, 0.0089865, 0.0087844, 0.0085321, 0.0085829, 0.0081917", \ + "0.00915547, 0.00902697, 0.00902727, 0.00875767, 0.00928557, 0.00777897, 0.00825537", \ + "0.0090511, 0.0090761, 0.0089647, 0.0086863, 0.008983, 0.008196, 0.0077557", \ + "0.0088849, 0.0088905, 0.0088526, 0.0089816, 0.0082937, 0.00913, 0.0093426", \ + "0.00872, 0.0086149, 0.0086691, 0.0086028, 0.0086831, 0.0080211, 0.0078107" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00399039; + rise_capacitance : 0.0050442; + rise_capacitance_range (0.0050442, 0.0050442); + fall_capacitance : 0.00293659; + fall_capacitance_range (0.00293659, 0.00293659); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00480354; + rise_capacitance : 0.00541308; + rise_capacitance_range (0.00541308, 0.00541308); + fall_capacitance : 0.004194; + fall_capacitance_range (0.004194, 0.004194); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00637514, -0.00657708, -0.0064974, -0.00608132, -0.00503945, -0.00248297, 0.00301286" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00905512, 0.00911472, 0.0092989, 0.00986203, 0.0111514, 0.0139851, 0.0197045" \ + ); + } + } + } + } + cell (sg13g2_einvn_4) { + area : 23.5872; + cell_footprint : "einvin"; + cell_leakage_power : 2309.88; + leakage_power () { + value : 1199.74; + when : "!A&!TE_B"; + } + leakage_power () { + value : 3420.01; + when : "A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 1.2; + capacitance : 0.012096; + rise_capacitance : 0.00959362; + rise_capacitance_range (0.00959362, 0.00959362); + fall_capacitance : 0.0145984; + fall_capacitance_range (0.0145984, 0.0145984); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105936, 0.103194, 0.165594, 0.268794, 0.441594, 0.729594, 1.20959"); + values ( \ + "0.0252507, 0.16665, 0.258896, 0.412634, 0.670884, 1.10208, 1.82141", \ + "0.0396425, 0.200145, 0.292896, 0.446659, 0.705137, 1.13517, 1.85513", \ + "0.0472848, 0.232939, 0.327304, 0.481149, 0.739034, 1.17054, 1.88792", \ + "0.0582505, 0.286525, 0.389996, 0.549133, 0.805866, 1.23703, 1.95378", \ + "0.073399, 0.364405, 0.491422, 0.670959, 0.941838, 1.37248, 2.08991", \ + "0.097012, 0.467689, 0.627574, 0.850344, 1.16537, 1.62902, 2.35447", \ + "0.124387, 0.60736, 0.80913, 1.09352, 1.49339, 2.04788, 2.85092" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105936, 0.103194, 0.165594, 0.268794, 0.441594, 0.729594, 1.20959"); + values ( \ + "0.0172517, 0.214497, 0.347765, 0.568343, 0.937549, 1.55285, 2.57837", \ + "0.0311688, 0.216511, 0.348619, 0.568344, 0.937932, 1.55286, 2.57838", \ + "0.041013, 0.228009, 0.353495, 0.569282, 0.937933, 1.55358, 2.57839", \ + "0.057453, 0.260796, 0.379687, 0.583319, 0.940915, 1.55359, 2.5784", \ + "0.0842239, 0.327088, 0.446854, 0.642939, 0.977211, 1.56511, 2.58135", \ + "0.137341, 0.428612, 0.56842, 0.774453, 1.10216, 1.65214, 2.61706", \ + "0.222038, 0.589727, 0.760132, 1.00692, 1.36666, 1.91755, 2.82403" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155984, 0.108198, 0.170598, 0.273798, 0.446598, 0.734598, 1.2146"); + values ( \ + "0.0221235, 0.128032, 0.196452, 0.309306, 0.498432, 0.813201, 1.33767", \ + "0.034779, 0.164802, 0.233878, 0.346936, 0.535942, 0.851142, 1.37549", \ + "0.0411436, 0.19617, 0.26974, 0.384197, 0.573087, 0.887805, 1.41326", \ + "0.0494008, 0.243617, 0.329289, 0.454739, 0.647997, 0.962964, 1.48765", \ + "0.0579193, 0.309244, 0.41592, 0.564668, 0.78184, 1.11012, 1.63645", \ + "0.0655184, 0.397226, 0.536329, 0.724265, 0.987625, 1.36563, 1.92699", \ + "0.0678418, 0.506331, 0.692402, 0.941399, 1.27802, 1.74763, 2.40671" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155984, 0.108198, 0.170598, 0.273798, 0.446598, 0.734598, 1.2146"); + values ( \ + "0.0116179, 0.146129, 0.237383, 0.388342, 0.641719, 1.0626, 1.76502", \ + "0.0245996, 0.152364, 0.239711, 0.389715, 0.64172, 1.06373, 1.76619", \ + "0.0338489, 0.169089, 0.251857, 0.394319, 0.642146, 1.06374, 1.7662", \ + "0.0509789, 0.204106, 0.286936, 0.422063, 0.657688, 1.06629, 1.76621", \ + "0.0774941, 0.267011, 0.355598, 0.493682, 0.718616, 1.10558, 1.78032", \ + "0.123631, 0.371958, 0.476891, 0.627149, 0.863257, 1.23962, 1.87373", \ + "0.204593, 0.536977, 0.675552, 0.856167, 1.12821, 1.52694, 2.15835" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105936, 0.103194, 0.165594, 0.268794, 0.441594, 0.729594, 1.20959"); + values ( \ + "0.0549183, 0.0549185, 0.0549195, 0.0549205, 0.0549215, 0.0549225, 0.0549235", \ + "0.0832547, 0.0832557, 0.0832567, 0.0832577, 0.0832587, 0.0832597, 0.0832607", \ + "0.0991607, 0.0991617, 0.0991627, 0.0991637, 0.0991647, 0.0991657, 0.0991667", \ + "0.122573, 0.122574, 0.122575, 0.122576, 0.122577, 0.122578, 0.122579", \ + "0.151591, 0.151592, 0.151593, 0.151594, 0.151595, 0.151596, 0.151597", \ + "0.191038, 0.191039, 0.19104, 0.191041, 0.191042, 0.191043, 0.191044", \ + "0.242886, 0.242887, 0.242888, 0.242889, 0.24289, 0.242891, 0.242892" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105936, 0.103194, 0.165594, 0.268794, 0.441594, 0.729594, 1.20959"); + values ( \ + "0.0549183, 0.0549185, 0.0549195, 0.0549205, 0.0549215, 0.0549225, 0.0549235", \ + "0.0832547, 0.0832557, 0.0832567, 0.0832577, 0.0832587, 0.0832597, 0.0832607", \ + "0.0991607, 0.0991617, 0.0991627, 0.0991637, 0.0991647, 0.0991657, 0.0991667", \ + "0.122573, 0.122574, 0.122575, 0.122576, 0.122577, 0.122578, 0.122579", \ + "0.151591, 0.151592, 0.151593, 0.151594, 0.151595, 0.151596, 0.151597", \ + "0.191038, 0.191039, 0.19104, 0.191041, 0.191042, 0.191043, 0.191044", \ + "0.242886, 0.242887, 0.242888, 0.242889, 0.24289, 0.242891, 0.242892" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105936, 0.103194, 0.165594, 0.268794, 0.441594, 0.729594, 1.20959"); + values ( \ + "0.0317493, 0.171114, 0.264807, 0.419734, 0.679105, 1.1118, 1.83261", \ + "0.0504223, 0.199367, 0.293043, 0.447978, 0.708064, 1.14005, 1.86177", \ + "0.0567651, 0.22384, 0.31855, 0.473642, 0.732967, 1.16664, 1.88604", \ + "0.0567661, 0.263611, 0.364731, 0.523177, 0.783022, 1.21597, 1.93643", \ + "0.0567671, 0.31887, 0.437408, 0.61136, 0.881069, 1.31554, 2.03658", \ + "0.0567681, 0.372577, 0.525263, 0.736545, 1.03954, 1.4992, 2.22951", \ + "0.0567691, 0.37433, 0.596854, 0.876635, 1.25863, 1.79436, 2.58136" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105936, 0.103194, 0.165594, 0.268794, 0.441594, 0.729594, 1.20959"); + values ( \ + "0.0172043, 0.214505, 0.348099, 0.568323, 0.937512, 1.55288, 2.5783", \ + "0.0255317, 0.215609, 0.348798, 0.569534, 0.938273, 1.55289, 2.57866", \ + "0.0349806, 0.222332, 0.351249, 0.569535, 0.939741, 1.5546, 2.57867", \ + "0.0520037, 0.244106, 0.36745, 0.577564, 0.940252, 1.55461, 2.57933", \ + "0.0833537, 0.295641, 0.415562, 0.616401, 0.962988, 1.56071, 2.58004", \ + "0.143203, 0.393468, 0.516705, 0.716563, 1.04964, 1.61751, 2.604", \ + "0.252213, 0.581802, 0.713665, 0.921207, 1.25711, 1.81266, 2.74407" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105936, 0.103194, 0.165594, 0.268794, 0.441594, 0.729594, 1.20959"); + values ( \ + "0.0123692, 0.0133564, 0.0123449, 0.0113045, 0.0101153, 0.00957059, 0.00884508", \ + "0.0131798, 0.0136528, 0.0131385, 0.0120652, 0.0109755, 0.00919064, 0.00934089", \ + "0.0150264, 0.0142685, 0.0136476, 0.0126324, 0.0113415, 0.0108389, 0.00963968", \ + "0.0192161, 0.0154053, 0.0150576, 0.0137383, 0.0134054, 0.0106018, 0.00984845", \ + "0.0285106, 0.020173, 0.0186545, 0.0173206, 0.0160273, 0.0125978, 0.0124398", \ + "0.0471926, 0.0326573, 0.0290484, 0.0255703, 0.0220172, 0.0200862, 0.0164588", \ + "0.0856017, 0.0615706, 0.0547906, 0.0483959, 0.04234, 0.0330573, 0.027887" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155984, 0.108198, 0.170598, 0.273798, 0.446598, 0.734598, 1.2146"); + values ( \ + "0.0119532, 0.0151774, 0.0151139, 0.0148809, 0.0145928, 0.0133793, 0.0119239", \ + "0.0123412, 0.014586, 0.0146638, 0.0149012, 0.0142795, 0.0137267, 0.0120717", \ + "0.0139935, 0.0141818, 0.0146651, 0.0144767, 0.0141744, 0.0135163, 0.0121467", \ + "0.0180098, 0.0151655, 0.0149576, 0.0149427, 0.0146737, 0.0149408, 0.012117", \ + "0.0271299, 0.0188664, 0.0179481, 0.0170813, 0.0155109, 0.0166056, 0.0131443", \ + "0.0450267, 0.030423, 0.0271492, 0.0241173, 0.0219307, 0.0183919, 0.0166996", \ + "0.0831581, 0.0598537, 0.0529062, 0.0455752, 0.039835, 0.0337041, 0.0254067" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0105936, 0.103194, 0.165594, 0.268794, 0.441594, 0.729594, 1.20959"); + values ( \ + "0.0189799, 0.0186958, 0.0185151, 0.0182049, 0.0175188, 0.016299, 0.0167876", \ + "0.0188241, 0.0184905, 0.0184382, 0.0182743, 0.0175115, 0.0171495, 0.0170727", \ + "0.0187692, 0.0186125, 0.0182423, 0.01796, 0.0178621, 0.0174288, 0.0164859", \ + "0.0185951, 0.0183626, 0.0184098, 0.017765, 0.0191227, 0.0170005, 0.0167394", \ + "0.0185283, 0.0185344, 0.0183359, 0.017885, 0.0174877, 0.0171475, 0.0165138", \ + "0.0182128, 0.0181803, 0.0179958, 0.0182516, 0.0170675, 0.0179479, 0.0166103", \ + "0.0177716, 0.017489, 0.0176784, 0.0176099, 0.0178032, 0.0168769, 0.015936" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00782455; + rise_capacitance : 0.00991903; + rise_capacitance_range (0.00991903, 0.00991903); + fall_capacitance : 0.00573007; + fall_capacitance_range (0.00573007, 0.00573007); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00901522; + rise_capacitance : 0.0101676; + rise_capacitance_range (0.0101676, 0.0101676); + fall_capacitance : 0.00786284; + fall_capacitance_range (0.00786284, 0.00786284); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0144537, -0.0147972, -0.0146476, -0.0138676, -0.0118734, -0.00697066, 0.0035307" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0178352, 0.0180048, 0.0184077, 0.0194638, 0.021925, 0.0273643, 0.0385048" \ + ); + } + } + } + } + cell (sg13g2_einvn_8) { + area : 39.9168; + cell_footprint : "ITL"; + cell_leakage_power : 4413.88; + leakage_power () { + value : 2193.61; + when : "!A&!TE_B"; + } + leakage_power () { + value : 6634.15; + when : "A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 2.4; + capacitance : 0.0240145; + rise_capacitance : 0.0190456; + rise_capacitance_range (0.0190456, 0.0190456); + fall_capacitance : 0.0289834; + fall_capacitance_range (0.0289834, 0.0289834); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0200456, 0.206246, 0.331046, 0.537446, 0.883046, 1.45905, 2.41905"); + values ( \ + "0.0243356, 0.167019, 0.259604, 0.413734, 0.67238, 1.10476, 1.82549", \ + "0.0381457, 0.200558, 0.293569, 0.447701, 0.70683, 1.13795, 1.85898", \ + "0.0453693, 0.233371, 0.328098, 0.482104, 0.740736, 1.17317, 1.8921", \ + "0.0558536, 0.286936, 0.391599, 0.550484, 0.808607, 1.24012, 1.95932", \ + "0.0703247, 0.365239, 0.492626, 0.672562, 0.944218, 1.3765, 2.09512", \ + "0.0927415, 0.468585, 0.62885, 0.8529, 1.16806, 1.63346, 2.36017", \ + "0.119304, 0.608599, 0.810723, 1.09475, 1.49618, 2.05222, 2.85664" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0200456, 0.206246, 0.331046, 0.537446, 0.883046, 1.45905, 2.41905"); + values ( \ + "0.0162476, 0.215288, 0.349048, 0.570434, 0.941058, 1.55861, 2.58794", \ + "0.0299123, 0.217274, 0.350049, 0.570435, 0.94174, 1.55862, 2.58795", \ + "0.0394105, 0.228772, 0.354733, 0.572293, 0.941741, 1.55941, 2.58796", \ + "0.0556157, 0.261679, 0.380597, 0.585356, 0.944194, 1.55942, 2.58797", \ + "0.0817131, 0.327752, 0.447904, 0.644891, 0.980459, 1.57079, 2.58837", \ + "0.134531, 0.429527, 0.56971, 0.776422, 1.10531, 1.6577, 2.62651", \ + "0.218422, 0.590554, 0.761469, 1.00969, 1.36986, 1.92228, 2.83342" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0299834, 0.216183, 0.340983, 0.547383, 0.892983, 1.46898, 2.42898"); + values ( \ + "0.0216973, 0.129069, 0.197807, 0.311191, 0.501197, 0.817273, 1.34434", \ + "0.0335466, 0.165156, 0.234569, 0.348176, 0.537973, 0.854311, 1.38143", \ + "0.039629, 0.196593, 0.270333, 0.385428, 0.575191, 0.891458, 1.41872", \ + "0.0473421, 0.244308, 0.330334, 0.456121, 0.650253, 0.966624, 1.49369", \ + "0.0550858, 0.310064, 0.416969, 0.566207, 0.78414, 1.11376, 1.64232", \ + "0.0619006, 0.398404, 0.537837, 0.726304, 0.990767, 1.3702, 1.93317", \ + "0.0629917, 0.507791, 0.694068, 0.944701, 1.28245, 1.75327, 2.41633" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0299834, 0.216183, 0.340983, 0.547383, 0.892983, 1.46898, 2.42898"); + values ( \ + "0.0110817, 0.146915, 0.238786, 0.390652, 0.645279, 1.06843, 1.77439", \ + "0.0235797, 0.152887, 0.241073, 0.391491, 0.64528, 1.06844, 1.77571", \ + "0.032668, 0.169742, 0.252882, 0.396452, 0.645663, 1.0685, 1.77572", \ + "0.0495345, 0.205178, 0.288035, 0.424054, 0.660745, 1.07181, 1.77573", \ + "0.0753844, 0.268247, 0.356817, 0.495397, 0.72194, 1.11107, 1.78972", \ + "0.120715, 0.373037, 0.478236, 0.63037, 0.866588, 1.2439, 1.88244", \ + "0.201334, 0.538146, 0.677477, 0.858807, 1.13234, 1.53126, 2.16613" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0200456, 0.206246, 0.331046, 0.537446, 0.883046, 1.45905, 2.41905"); + values ( \ + "0.0718421, 0.0720804, 0.0720814, 0.0720824, 0.0720834, 0.0720844, 0.0720854", \ + "0.107894, 0.107895, 0.107896, 0.107897, 0.107898, 0.107899, 0.1079", \ + "0.130868, 0.130869, 0.13087, 0.130871, 0.130872, 0.130873, 0.130874", \ + "0.164999, 0.165, 0.165001, 0.165002, 0.165003, 0.165004, 0.165005", \ + "0.209246, 0.209247, 0.209248, 0.209249, 0.20925, 0.209251, 0.209252", \ + "0.270926, 0.270927, 0.270928, 0.270929, 0.27093, 0.270931, 0.270932", \ + "0.338678, 0.338679, 0.33868, 0.338681, 0.338682, 0.338683, 0.338684" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0200456, 0.206246, 0.331046, 0.537446, 0.883046, 1.45905, 2.41905"); + values ( \ + "0.0718421, 0.0720804, 0.0720814, 0.0720824, 0.0720834, 0.0720844, 0.0720854", \ + "0.107894, 0.107895, 0.107896, 0.107897, 0.107898, 0.107899, 0.1079", \ + "0.130868, 0.130869, 0.13087, 0.130871, 0.130872, 0.130873, 0.130874", \ + "0.164999, 0.165, 0.165001, 0.165002, 0.165003, 0.165004, 0.165005", \ + "0.209246, 0.209247, 0.209248, 0.209249, 0.20925, 0.209251, 0.209252", \ + "0.270926, 0.270927, 0.270928, 0.270929, 0.27093, 0.270931, 0.270932", \ + "0.338678, 0.338679, 0.33868, 0.338681, 0.338682, 0.338683, 0.338684" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0200456, 0.206246, 0.331046, 0.537446, 0.883046, 1.45905, 2.41905"); + values ( \ + "0.0325142, 0.173229, 0.267188, 0.422694, 0.682982, 1.11727, 1.83999", \ + "0.0508136, 0.201301, 0.295334, 0.450899, 0.711779, 1.14549, 1.86971", \ + "0.0566977, 0.225706, 0.320749, 0.476392, 0.737011, 1.17189, 1.89445", \ + "0.0566987, 0.265522, 0.366929, 0.525827, 0.786572, 1.22072, 1.9438", \ + "0.0566997, 0.320398, 0.439129, 0.613286, 0.883947, 1.32018, 2.04378", \ + "0.0567007, 0.373813, 0.5268, 0.737995, 1.04199, 1.50307, 2.23522", \ + "0.0567017, 0.375424, 0.598397, 0.878566, 1.26198, 1.79772, 2.58669" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0200456, 0.206246, 0.331046, 0.537446, 0.883046, 1.45905, 2.41905"); + values ( \ + "0.0163651, 0.21534, 0.349291, 0.570497, 0.941021, 1.55874, 2.588", \ + "0.0245595, 0.216349, 0.350194, 0.571609, 0.942054, 1.55875, 2.58847", \ + "0.0338096, 0.223021, 0.352351, 0.57161, 0.942055, 1.5599, 2.58848", \ + "0.0503763, 0.244476, 0.368582, 0.579607, 0.943041, 1.55991, 2.58849", \ + "0.081764, 0.296344, 0.416254, 0.618084, 0.966295, 1.56695, 2.58985", \ + "0.140674, 0.394439, 0.517988, 0.717201, 1.05235, 1.62338, 2.61362", \ + "0.248529, 0.583068, 0.714459, 0.922166, 1.26441, 1.81579, 2.75263" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0200456, 0.206246, 0.331046, 0.537446, 0.883046, 1.45905, 2.41905"); + values ( \ + "0.0245525, 0.0268035, 0.0249155, 0.0229563, 0.0207972, 0.0178937, 0.0178183", \ + "0.0264044, 0.0274252, 0.0264984, 0.0243825, 0.0224413, 0.0188709, 0.0186741", \ + "0.0301785, 0.0288092, 0.0274396, 0.0259298, 0.0231965, 0.02062, 0.0191786", \ + "0.0383685, 0.0309058, 0.0303499, 0.0279651, 0.0273936, 0.0220913, 0.0210307", \ + "0.0565337, 0.0402519, 0.0371514, 0.0351408, 0.0322434, 0.0265076, 0.0254164", \ + "0.095324, 0.0657743, 0.0582309, 0.051815, 0.044896, 0.0432427, 0.0342124", \ + "0.171641, 0.123716, 0.112128, 0.0988553, 0.0830557, 0.0695971, 0.0586076" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0299834, 0.216183, 0.340983, 0.547383, 0.892983, 1.46898, 2.42898"); + values ( \ + "0.023315, 0.0300735, 0.0299085, 0.0294381, 0.028822, 0.0264772, 0.023163", \ + "0.0243536, 0.0289269, 0.0292256, 0.0293885, 0.0283212, 0.0264164, 0.0237859", \ + "0.0278402, 0.0281676, 0.0289693, 0.0288014, 0.0279826, 0.0267663, 0.0231225", \ + "0.0358767, 0.0301048, 0.0296266, 0.0296034, 0.0283316, 0.0265367, 0.0240548", \ + "0.053295, 0.0375518, 0.0355195, 0.0337349, 0.0309518, 0.0329127, 0.0320647", \ + "0.0898318, 0.0600375, 0.053428, 0.0475854, 0.04332, 0.0353139, 0.0325819", \ + "0.164311, 0.11711, 0.103144, 0.0889362, 0.0778341, 0.0654386, 0.0498861" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0200456, 0.206246, 0.331046, 0.537446, 0.883046, 1.45905, 2.41905"); + values ( \ + "0.0418512, 0.0413862, 0.0407787, 0.0401337, 0.0388493, 0.0383647, 0.0382792", \ + "0.0389424, 0.0384121, 0.0383059, 0.0377472, 0.0363605, 0.0359265, 0.035385", \ + "0.038874, 0.0388693, 0.0378258, 0.0372051, 0.0358924, 0.0362039, 0.0347842", \ + "0.0389263, 0.0384118, 0.0384953, 0.0371939, 0.0383435, 0.0337012, 0.0345214", \ + "0.0384504, 0.0385086, 0.0379398, 0.0370349, 0.0381142, 0.0333747, 0.0349062", \ + "0.0381669, 0.0381238, 0.0379027, 0.038146, 0.0360844, 0.0379738, 0.0410368", \ + "0.0376447, 0.0370935, 0.0373887, 0.03728, 0.0394204, 0.0344577, 0.0335872" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0153607; + rise_capacitance : 0.0195172; + rise_capacitance_range (0.0195172, 0.0195172); + fall_capacitance : 0.0112043; + fall_capacitance_range (0.0112043, 0.0112043); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0153178; + rise_capacitance : 0.0170609; + rise_capacitance_range (0.0170609, 0.0170609); + fall_capacitance : 0.0135746; + fall_capacitance_range (0.0135746, 0.0135746); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0292919, -0.031859, -0.0322709, -0.0331109, -0.0352437, -0.03096, -0.0211196" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0292919, 0.031859, 0.0322709, 0.0331109, 0.0355595, 0.0406362, 0.0514706" \ + ); + } + } + } + } + cell (sg13g2_fill_1) { + area : 1.8144; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_2) { + area : 3.6288; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_4) { + area : 7.2576; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_8) { + area : 14.5152; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_inv_1) { + area : 5.4432; + cell_footprint : "IN"; + cell_leakage_power : 483.326; + leakage_power () { + value : 760.779; + when : "A&!Y"; + } + leakage_power () { + value : 205.873; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0203622, 0.0884895, 0.134448, 0.210252, 0.33721, 0.548598, 0.901142", \ + "0.0372102, 0.126626, 0.173656, 0.2496, 0.376691, 0.58816, 0.940684", \ + "0.0456893, 0.158428, 0.210892, 0.289725, 0.416966, 0.628664, 0.980844", \ + "0.0569108, 0.205399, 0.270143, 0.360839, 0.495439, 0.707968, 1.06015", \ + "0.0710961, 0.272192, 0.357305, 0.472478, 0.631753, 0.86318, 1.22022", \ + "0.090848, 0.356549, 0.469847, 0.622649, 0.831647, 1.11243, 1.51234", \ + "0.110178, 0.462746, 0.616399, 0.820105, 1.10037, 1.47879, 1.97845" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135717, 0.108564, 0.175915, 0.287214, 0.473468, 0.784356, 1.30205", \ + "0.0295929, 0.116835, 0.179469, 0.287806, 0.473469, 0.784357, 1.30219", \ + "0.04114, 0.13562, 0.194156, 0.296165, 0.475848, 0.784588, 1.3022", \ + "0.0589123, 0.173348, 0.232063, 0.328363, 0.495368, 0.791113, 1.30221", \ + "0.0868912, 0.237791, 0.30526, 0.404013, 0.565542, 0.838643, 1.32321", \ + "0.141341, 0.331644, 0.418188, 0.54017, 0.714669, 0.983633, 1.43263", \ + "0.227253, 0.484097, 0.592867, 0.750893, 0.973884, 1.2807, 1.73698" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.019952, 0.0796367, 0.119377, 0.184992, 0.294983, 0.47797, 0.783071", \ + "0.0353669, 0.119898, 0.162338, 0.228775, 0.338585, 0.522, 0.826638", \ + "0.043351, 0.149169, 0.198586, 0.270112, 0.3819, 0.564737, 0.869636", \ + "0.0544102, 0.192406, 0.25391, 0.338955, 0.46282, 0.651196, 0.956414", \ + "0.0669204, 0.251551, 0.331476, 0.440147, 0.590281, 0.804677, 1.12534", \ + "0.0817072, 0.328557, 0.438917, 0.582845, 0.778466, 1.0448, 1.4201", \ + "0.0968131, 0.425114, 0.574375, 0.77378, 1.03768, 1.38847, 1.86339" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114555, 0.0853973, 0.1383, 0.226151, 0.373046, 0.617599, 1.0255", \ + "0.0263591, 0.0985352, 0.146312, 0.229117, 0.373309, 0.618308, 1.02555", \ + "0.0364159, 0.118611, 0.165345, 0.243192, 0.380259, 0.619202, 1.02556", \ + "0.0540417, 0.154956, 0.204867, 0.283256, 0.411524, 0.636374, 1.03034", \ + "0.0813066, 0.21326, 0.273694, 0.358901, 0.491715, 0.704955, 1.07415", \ + "0.128684, 0.308884, 0.384908, 0.488856, 0.636897, 0.864397, 1.22219", \ + "0.211378, 0.455014, 0.56321, 0.702505, 0.887247, 1.1459, 1.53695" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00191347, 0.00243133, 0.00243268, 0.00236876, 0.00222189, 0.00198004, 0.00191101", \ + "0.00211913, 0.00220811, 0.00221104, 0.00236349, 0.00211936, 0.00189262, 0.0018695", \ + "0.00264872, 0.00224948, 0.00226632, 0.00218247, 0.00208323, 0.00191404, 0.00148041", \ + "0.00382845, 0.00280362, 0.00256581, 0.00240196, 0.00242295, 0.00189842, 0.00149056", \ + "0.00658044, 0.00440833, 0.00390622, 0.00340671, 0.00285091, 0.00262421, 0.00177613", \ + "0.0122114, 0.00835605, 0.00728834, 0.00624887, 0.0051925, 0.0039751, 0.00360619", \ + "0.0235812, 0.017529, 0.0154219, 0.0132966, 0.0112568, 0.00910849, 0.00687337" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00205451, 0.0025867, 0.00253643, 0.00249298, 0.00238897, 0.0021746, 0.00178725", \ + "0.00211285, 0.00232671, 0.00241893, 0.00236452, 0.00230266, 0.00218462, 0.00176099", \ + "0.00257656, 0.0024079, 0.00235983, 0.00239138, 0.00235268, 0.00212102, 0.00175096", \ + "0.00378049, 0.00284071, 0.00274967, 0.00254263, 0.00238347, 0.00237171, 0.00275334", \ + "0.00635202, 0.00421104, 0.00382749, 0.00346598, 0.00315691, 0.00237807, 0.00249501", \ + "0.0116532, 0.00774534, 0.00678579, 0.00573419, 0.00484317, 0.00415742, 0.0029815", \ + "0.0227789, 0.0167802, 0.0147095, 0.0125737, 0.0102913, 0.00851052, 0.0068222" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00282756; + rise_capacitance : 0.00287502; + rise_capacitance_range (0.00287502, 0.00287502); + fall_capacitance : 0.0027801; + fall_capacitance_range (0.0027801, 0.0027801); + } + } + cell (sg13g2_inv_16) { + area : 34.4736; + cell_footprint : "IN"; + cell_leakage_power : 7731.67; + leakage_power () { + value : 12172.3; + when : "A&!Y"; + } + leakage_power () { + value : 3291.04; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 4.8; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0159286, 0.0884143, 0.134652, 0.210898, 0.338603, 0.551379, 0.905768", \ + "0.027762, 0.126449, 0.17386, 0.250224, 0.377949, 0.590756, 0.94535", \ + "0.0334479, 0.158166, 0.211036, 0.290236, 0.418285, 0.631008, 0.985426", \ + "0.0408718, 0.205436, 0.270669, 0.361262, 0.496824, 0.710585, 1.0647", \ + "0.0503203, 0.271462, 0.357087, 0.472874, 0.632734, 0.865288, 1.22469", \ + "0.0624235, 0.355314, 0.469327, 0.622891, 0.832758, 1.11457, 1.51648", \ + "0.0735989, 0.461447, 0.615622, 0.820128, 1.10139, 1.47989, 1.98272" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00926708, 0.108544, 0.176369, 0.288605, 0.476591, 0.789862, 1.31206", \ + "0.0229454, 0.116767, 0.179934, 0.289216, 0.476684, 0.789915, 1.31207", \ + "0.0315895, 0.135525, 0.194552, 0.297491, 0.479079, 0.7912, 1.31208", \ + "0.0462949, 0.172677, 0.23203, 0.329506, 0.498214, 0.796738, 1.31209", \ + "0.070645, 0.237319, 0.30538, 0.405343, 0.568119, 0.843987, 1.3329", \ + "0.120596, 0.331352, 0.417733, 0.540924, 0.716712, 0.988273, 1.44191", \ + "0.201519, 0.483481, 0.592916, 0.751311, 0.975788, 1.28403, 1.7435" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0155729, 0.079666, 0.119655, 0.185704, 0.29643, 0.480613, 0.787708", \ + "0.026485, 0.119841, 0.162588, 0.229437, 0.339992, 0.52421, 0.83131", \ + "0.0322487, 0.14907, 0.198881, 0.270833, 0.383135, 0.567418, 0.874364", \ + "0.0394389, 0.192152, 0.254058, 0.339602, 0.464173, 0.65369, 0.960909", \ + "0.0471797, 0.251042, 0.331596, 0.440716, 0.591669, 0.807196, 1.12974", \ + "0.055575, 0.327573, 0.438768, 0.583271, 0.779919, 1.04708, 1.42451", \ + "0.0624949, 0.423765, 0.574128, 0.774537, 1.03967, 1.39111, 1.86859" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00780486, 0.085341, 0.138826, 0.227478, 0.375753, 0.622589, 1.0343", \ + "0.0200505, 0.0985995, 0.146777, 0.230504, 0.376175, 0.62259, 1.03437", \ + "0.0287397, 0.118735, 0.165834, 0.244362, 0.382807, 0.62434, 1.03504", \ + "0.0440647, 0.154874, 0.204915, 0.284451, 0.413986, 0.64114, 1.03871", \ + "0.0677355, 0.213001, 0.273113, 0.35961, 0.494092, 0.709441, 1.08205", \ + "0.10958, 0.308153, 0.385028, 0.490687, 0.63954, 0.868517, 1.22947", \ + "0.18682, 0.454242, 0.563331, 0.703577, 0.887841, 1.14958, 1.5444" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0268371, 0.0372071, 0.0370878, 0.0360263, 0.0339956, 0.0299502, 0.0299464", \ + "0.0330564, 0.0335764, 0.033628, 0.0341986, 0.0329762, 0.0284052, 0.0218629", \ + "0.0428168, 0.0339903, 0.0346052, 0.0330037, 0.0319478, 0.0308927, 0.0216038", \ + "0.0639185, 0.0429351, 0.0392017, 0.0371066, 0.037969, 0.0291318, 0.021624", \ + "0.109849, 0.0688393, 0.0610869, 0.0530023, 0.0435466, 0.0441036, 0.0331779", \ + "0.202294, 0.132461, 0.115003, 0.0988673, 0.0818714, 0.0609587, 0.0568309", \ + "0.388658, 0.279231, 0.245199, 0.211631, 0.17882, 0.143167, 0.107195" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0243863, 0.0355593, 0.0349156, 0.0340238, 0.0324636, 0.0289322, 0.0226567", \ + "0.0287679, 0.0316688, 0.0331607, 0.0327083, 0.031204, 0.0277504, 0.0224803", \ + "0.0377776, 0.0330616, 0.0322364, 0.0329737, 0.0312346, 0.0288852, 0.0229199", \ + "0.0589143, 0.0400081, 0.0382307, 0.0355566, 0.0331221, 0.0378075, 0.0348606", \ + "0.102516, 0.0621676, 0.0555025, 0.0499605, 0.0442744, 0.0337053, 0.0339818", \ + "0.189627, 0.12083, 0.104201, 0.0895315, 0.0730809, 0.061991, 0.0413188", \ + "0.368578, 0.262667, 0.230412, 0.196308, 0.159687, 0.130298, 0.10554" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0451857; + rise_capacitance : 0.0459507; + rise_capacitance_range (0.0459507, 0.0459507); + fall_capacitance : 0.0444206; + fall_capacitance_range (0.0444206, 0.0444206); + } + } + cell (sg13g2_inv_2) { + area : 7.2576; + cell_footprint : "IN"; + cell_leakage_power : 966.451; + leakage_power () { + value : 1521.52; + when : "A&!Y"; + } + leakage_power () { + value : 411.382; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0172897, 0.087667, 0.133673, 0.209522, 0.336529, 0.548028, 0.900766", \ + "0.0308695, 0.125723, 0.172911, 0.248852, 0.375944, 0.587865, 0.940619", \ + "0.0373824, 0.157484, 0.210067, 0.288846, 0.416212, 0.627792, 0.980325", \ + "0.0460027, 0.204574, 0.269734, 0.360108, 0.494978, 0.707542, 1.05975", \ + "0.0571324, 0.270329, 0.355854, 0.471571, 0.630736, 0.862497, 1.21985", \ + "0.071559, 0.354029, 0.467833, 0.621068, 0.83036, 1.11155, 1.51172", \ + "0.0852069, 0.459306, 0.613725, 0.818213, 1.09826, 1.47681, 1.97746" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0104933, 0.107285, 0.174707, 0.286095, 0.472621, 0.78354, 1.30195", \ + "0.0250227, 0.11573, 0.17834, 0.286763, 0.472622, 0.783714, 1.30269", \ + "0.0347334, 0.134494, 0.193112, 0.295154, 0.475183, 0.78377, 1.3027", \ + "0.0503528, 0.171712, 0.230651, 0.3271, 0.494487, 0.790569, 1.30271", \ + "0.0757726, 0.23636, 0.303717, 0.403363, 0.564677, 0.838237, 1.32316", \ + "0.127354, 0.329152, 0.416496, 0.539023, 0.713724, 0.983109, 1.43323", \ + "0.20943, 0.481694, 0.591348, 0.749521, 0.970842, 1.28019, 1.73708" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0169508, 0.0789491, 0.118701, 0.184366, 0.294402, 0.477473, 0.782729", \ + "0.0293345, 0.119098, 0.161679, 0.228148, 0.337985, 0.521118, 0.826331", \ + "0.035846, 0.148226, 0.197813, 0.269511, 0.381285, 0.564301, 0.869492", \ + "0.0443027, 0.191144, 0.252942, 0.338103, 0.462186, 0.650656, 0.956095", \ + "0.0535168, 0.249744, 0.330239, 0.438993, 0.58945, 0.804069, 1.12489", \ + "0.0639174, 0.326071, 0.436897, 0.581355, 0.777412, 1.04355, 1.41939", \ + "0.0732547, 0.421937, 0.57195, 0.772249, 1.03601, 1.38674, 1.8631" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00886875, 0.0843715, 0.137431, 0.225408, 0.372387, 0.617218, 1.02556", \ + "0.0221008, 0.0976753, 0.145462, 0.22833, 0.37266, 0.617384, 1.02604", \ + "0.0312153, 0.117711, 0.164539, 0.242399, 0.379313, 0.618817, 1.02605", \ + "0.0474469, 0.153664, 0.203766, 0.281959, 0.410989, 0.636268, 1.02998", \ + "0.0723133, 0.212142, 0.272845, 0.357381, 0.491111, 0.70454, 1.07442", \ + "0.115582, 0.307226, 0.38374, 0.489328, 0.636487, 0.864295, 1.22232", \ + "0.194597, 0.4525, 0.561367, 0.701545, 0.887007, 1.14774, 1.53648" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00323524, 0.00443726, 0.00445658, 0.0043407, 0.00407694, 0.00351618, 0.00337715", \ + "0.00388603, 0.00398324, 0.00401061, 0.00443524, 0.00386385, 0.00348112, 0.00272007", \ + "0.00504431, 0.0040412, 0.00409657, 0.00394595, 0.00409306, 0.00341841, 0.00248164", \ + "0.00763497, 0.00514003, 0.00470696, 0.00441731, 0.00412572, 0.00343464, 0.00330315", \ + "0.0132828, 0.00841418, 0.00737412, 0.00642654, 0.00523231, 0.0047374, 0.00386685", \ + "0.024616, 0.0163026, 0.0141842, 0.0121084, 0.0100186, 0.0073343, 0.00692116", \ + "0.047986, 0.0347262, 0.0304688, 0.0263014, 0.0218452, 0.0176792, 0.0133462" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00306681, 0.00441604, 0.0042633, 0.00421156, 0.00395419, 0.00355041, 0.00278153", \ + "0.00345187, 0.00384544, 0.00404748, 0.00397035, 0.00379773, 0.00344922, 0.00276859", \ + "0.00452059, 0.00401359, 0.00391148, 0.00401906, 0.00386982, 0.00340523, 0.00269195", \ + "0.0071012, 0.00483118, 0.00464977, 0.00422904, 0.00392129, 0.00431257, 0.00397525", \ + "0.0124638, 0.00766994, 0.00690134, 0.00606735, 0.0054731, 0.00404164, 0.00419696", \ + "0.0231725, 0.0147722, 0.0128577, 0.0108245, 0.00888825, 0.00749755, 0.00503473", \ + "0.0456474, 0.0327753, 0.0285504, 0.0243896, 0.0198959, 0.0164072, 0.0127074" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00552921; + rise_capacitance : 0.00562521; + rise_capacitance_range (0.00562521, 0.00562521); + fall_capacitance : 0.00543321; + fall_capacitance_range (0.00543321, 0.00543321); + } + } + cell (sg13g2_inv_4) { + area : 10.8864; + cell_footprint : "IN"; + cell_leakage_power : 1932.92; + leakage_power () { + value : 3043.07; + when : "A&!Y"; + } + leakage_power () { + value : 822.764; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 1.2; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0161957, 0.0878259, 0.133943, 0.210057, 0.337445, 0.549658, 0.903407", \ + "0.0284842, 0.125928, 0.17311, 0.249386, 0.37691, 0.589052, 0.943295", \ + "0.0343977, 0.157578, 0.210288, 0.289385, 0.417205, 0.629407, 0.983055", \ + "0.0421339, 0.20476, 0.270012, 0.360591, 0.495812, 0.709053, 1.06245", \ + "0.0520686, 0.270511, 0.356178, 0.471952, 0.631624, 0.864073, 1.22234", \ + "0.0648233, 0.354048, 0.468315, 0.6217, 0.831353, 1.11313, 1.51423", \ + "0.0763336, 0.459502, 0.614554, 0.818809, 1.09969, 1.47746, 1.98015" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00957367, 0.107587, 0.175227, 0.286995, 0.474031, 0.786025, 1.30583", \ + "0.0234225, 0.116005, 0.178846, 0.287575, 0.474032, 0.786146, 1.30634", \ + "0.0324732, 0.134723, 0.193666, 0.295912, 0.476452, 0.786147, 1.30635", \ + "0.047429, 0.171944, 0.231067, 0.327817, 0.49586, 0.792959, 1.30636", \ + "0.0718471, 0.23664, 0.304163, 0.403948, 0.565896, 0.840477, 1.32718", \ + "0.122536, 0.330557, 0.417061, 0.539803, 0.714757, 0.985186, 1.43651", \ + "0.203434, 0.482158, 0.592155, 0.750689, 0.973109, 1.28085, 1.73928" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0158652, 0.0793324, 0.119371, 0.185475, 0.296292, 0.480645, 0.788062", \ + "0.0271746, 0.119481, 0.162282, 0.229199, 0.339848, 0.524252, 0.831661", \ + "0.0331753, 0.148703, 0.198509, 0.270615, 0.382966, 0.567305, 0.874579", \ + "0.0407427, 0.191656, 0.25371, 0.339327, 0.464027, 0.653722, 0.961176", \ + "0.048901, 0.250372, 0.331014, 0.44045, 0.591521, 0.807307, 1.13007", \ + "0.0578293, 0.326783, 0.438079, 0.582977, 0.779752, 1.04698, 1.42453", \ + "0.0656393, 0.423041, 0.573695, 0.773789, 1.03919, 1.39112, 1.86871" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00809549, 0.084957, 0.138347, 0.226965, 0.37511, 0.621735, 1.03308", \ + "0.0206454, 0.0981954, 0.146345, 0.229922, 0.375529, 0.621736, 1.03386", \ + "0.0293943, 0.118203, 0.165416, 0.243968, 0.381818, 0.623288, 1.03387", \ + "0.0450246, 0.154545, 0.2047, 0.284016, 0.413377, 0.640274, 1.03751", \ + "0.0690293, 0.212728, 0.273674, 0.359605, 0.492912, 0.708646, 1.08079", \ + "0.111142, 0.307291, 0.384805, 0.489591, 0.639133, 0.868217, 1.22871", \ + "0.188629, 0.453475, 0.562908, 0.703006, 0.887908, 1.15173, 1.54412" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00643431, 0.00895855, 0.00897381, 0.0087484, 0.00814968, 0.00710898, 0.00696226", \ + "0.00787607, 0.00805399, 0.00807709, 0.00825939, 0.007811, 0.00691781, 0.00714835", \ + "0.0102772, 0.0082146, 0.00833448, 0.0079523, 0.00820319, 0.00684519, 0.00508549", \ + "0.0156033, 0.0103471, 0.00947619, 0.00894487, 0.00921393, 0.00683581, 0.00520938", \ + "0.0269444, 0.016865, 0.0148177, 0.0129029, 0.0106014, 0.0107635, 0.00810259", \ + "0.0499813, 0.0326781, 0.0282631, 0.0242827, 0.0199983, 0.0147864, 0.0131235", \ + "0.0965243, 0.069411, 0.0611253, 0.0525247, 0.0438233, 0.0348829, 0.02581" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00591485, 0.00865479, 0.00845127, 0.00828227, 0.0078872, 0.00701434, 0.00548771", \ + "0.00685856, 0.00763224, 0.00802154, 0.00788567, 0.00760374, 0.00685728, 0.00548927", \ + "0.00907766, 0.0079859, 0.00775713, 0.00799788, 0.00757266, 0.00677234, 0.00543857", \ + "0.0143447, 0.00969909, 0.00928374, 0.00869393, 0.00795826, 0.00804257, 0.00884535", \ + "0.0251628, 0.0152117, 0.0136431, 0.0122476, 0.0106786, 0.00824108, 0.00894369", \ + "0.0469936, 0.0292533, 0.0258659, 0.0216627, 0.0177271, 0.014862, 0.0101396", \ + "0.0916646, 0.0650779, 0.0569592, 0.0486634, 0.0395924, 0.0326, 0.0255358" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0110213; + rise_capacitance : 0.0112139; + rise_capacitance_range (0.0112139, 0.0112139); + fall_capacitance : 0.0108286; + fall_capacitance_range (0.0108286, 0.0108286); + } + } + cell (sg13g2_inv_8) { + area : 18.144; + cell_footprint : "IN"; + cell_leakage_power : 3865.86; + leakage_power () { + value : 6086.21; + when : "A&!Y"; + } + leakage_power () { + value : 1645.52; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 2.4; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0158163, 0.0880314, 0.134188, 0.210342, 0.337828, 0.550246, 0.904268", \ + "0.0275545, 0.126079, 0.17337, 0.249675, 0.377281, 0.589976, 0.943742", \ + "0.0331995, 0.157833, 0.210571, 0.289705, 0.417525, 0.629922, 0.98374", \ + "0.0405061, 0.204505, 0.269607, 0.360149, 0.495519, 0.708824, 1.06242", \ + "0.049895, 0.27077, 0.356454, 0.47218, 0.632012, 0.864434, 1.22302", \ + "0.0618184, 0.354568, 0.468638, 0.622044, 0.831751, 1.11363, 1.51497", \ + "0.0727896, 0.459885, 0.614981, 0.819207, 1.10059, 1.47804, 1.98091" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00920594, 0.107731, 0.17542, 0.287292, 0.474442, 0.786707, 1.3071", \ + "0.0228627, 0.116119, 0.179036, 0.287857, 0.474443, 0.786859, 1.30711", \ + "0.0314413, 0.134846, 0.193742, 0.296158, 0.47687, 0.787999, 1.30712", \ + "0.0459293, 0.172931, 0.231649, 0.328642, 0.496429, 0.793682, 1.30713", \ + "0.0703836, 0.236772, 0.304599, 0.403993, 0.566412, 0.840935, 1.32812", \ + "0.120315, 0.330206, 0.417212, 0.540034, 0.715259, 0.985703, 1.43745", \ + "0.20114, 0.482348, 0.592296, 0.75079, 0.974235, 1.28134, 1.74073" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0154813, 0.0795055, 0.119535, 0.185732, 0.296541, 0.480993, 0.788513", \ + "0.0262957, 0.119619, 0.162433, 0.229428, 0.34014, 0.524493, 0.832139", \ + "0.0320625, 0.148882, 0.198698, 0.270714, 0.383398, 0.567659, 0.875163", \ + "0.0392201, 0.191868, 0.253872, 0.339535, 0.464279, 0.654037, 0.961637", \ + "0.0468714, 0.250612, 0.331321, 0.440653, 0.59174, 0.807649, 1.13044", \ + "0.0551593, 0.326835, 0.438199, 0.583239, 0.779783, 1.04767, 1.42578", \ + "0.0621537, 0.423487, 0.5737, 0.774472, 1.03938, 1.39158, 1.86969" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00775295, 0.0850572, 0.138515, 0.227041, 0.375341, 0.622072, 1.0336", \ + "0.0199824, 0.0983309, 0.146489, 0.230136, 0.37578, 0.622073, 1.03361", \ + "0.0286232, 0.118306, 0.165654, 0.244075, 0.382476, 0.623652, 1.03381", \ + "0.0439439, 0.154646, 0.205018, 0.284151, 0.413511, 0.64057, 1.03802", \ + "0.0675185, 0.212832, 0.272915, 0.359022, 0.493126, 0.708867, 1.08132", \ + "0.109218, 0.308131, 0.384606, 0.490942, 0.639237, 0.868117, 1.22819", \ + "0.186276, 0.453694, 0.563144, 0.703425, 0.888315, 1.15011, 1.54623" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0128024, 0.017996, 0.0180296, 0.0175631, 0.0162402, 0.0142603, 0.0136422", \ + "0.0159379, 0.0161774, 0.0162375, 0.0169683, 0.0155162, 0.0141835, 0.0133983", \ + "0.0207708, 0.0164712, 0.0166819, 0.0159661, 0.0179562, 0.0147707, 0.0100683", \ + "0.0312032, 0.0211008, 0.0189005, 0.017725, 0.0178334, 0.0131673, 0.00973624", \ + "0.0543603, 0.033837, 0.0297746, 0.0257532, 0.0210568, 0.020225, 0.0120216", \ + "0.100528, 0.0655024, 0.0566401, 0.0485537, 0.0397519, 0.0302665, 0.0274545", \ + "0.193667, 0.138862, 0.122236, 0.105125, 0.0882146, 0.0699008, 0.051672" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0116807, 0.0173371, 0.0170178, 0.0166205, 0.0157849, 0.0140689, 0.0110039", \ + "0.0140013, 0.0152908, 0.0159853, 0.0159678, 0.015277, 0.0134747, 0.0106008", \ + "0.0183907, 0.0159292, 0.0156023, 0.0157921, 0.0154014, 0.0134938, 0.0109679", \ + "0.0289747, 0.0194289, 0.018647, 0.0175604, 0.0158989, 0.0173574, 0.0161522", \ + "0.0507784, 0.0304937, 0.0270871, 0.0242239, 0.0213234, 0.0161318, 0.0173397", \ + "0.094185, 0.0586756, 0.0509753, 0.0430175, 0.0355327, 0.030161, 0.0200913", \ + "0.183883, 0.130893, 0.114462, 0.0975235, 0.0791247, 0.0642946, 0.0518074" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0220366; + rise_capacitance : 0.0224187; + rise_capacitance_range (0.0224187, 0.0224187); + fall_capacitance : 0.0216544; + fall_capacitance_range (0.0216544, 0.0216544); + } + } + cell (sg13g2_lgcp_1) { + area : 27.216; + cell_footprint : "gclk"; + clock_gating_integrated_cell : "latch_posedge"; + dont_use : true; + cell_leakage_power : 2864.03; + leakage_power () { + value : 2941.23; + when : "!CLK&GATE&!GCLK"; + } + leakage_power () { + value : 3045.12; + when : "CLK&GATE&GCLK"; + } + leakage_power () { + value : 2605.75; + when : "!GATE&!GCLK"; + } + statetable ("CLK GATE", "int_GATE") { + table : "L L : - : L ,\ + L H : - : H ,\ + H - : - : N "; + } + pin (int_GATE) { + direction : "internal"; + internal_node : "int_GATE"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : "output"; + state_function : "CLK * int_GATE"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0726981, 0.145666, 0.191934, 0.268013, 0.395143, 0.606632, 0.959163", \ + "0.0959879, 0.169847, 0.216174, 0.292243, 0.419444, 0.631063, 0.983558", \ + "0.111606, 0.186717, 0.233159, 0.309313, 0.436359, 0.648016, 1.00043", \ + "0.133853, 0.211916, 0.258412, 0.334595, 0.46189, 0.673429, 1.02599", \ + "0.162789, 0.247086, 0.293831, 0.369926, 0.497336, 0.708905, 1.06133", \ + "0.202696, 0.297364, 0.345446, 0.422574, 0.550336, 0.761952, 1.11465", \ + "0.253067, 0.363807, 0.415223, 0.495039, 0.625801, 0.840551, 1.19489" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0200905, 0.112283, 0.179127, 0.290263, 0.476676, 0.787301, 1.30526", \ + "0.0219373, 0.11277, 0.179336, 0.290291, 0.476957, 0.787763, 1.30529", \ + "0.024108, 0.113605, 0.179867, 0.290641, 0.476958, 0.787764, 1.3053", \ + "0.0286811, 0.115293, 0.180843, 0.291334, 0.477173, 0.787765, 1.31065", \ + "0.0359202, 0.119411, 0.183202, 0.292546, 0.478064, 0.788141, 1.31066", \ + "0.046333, 0.128994, 0.190218, 0.297253, 0.481126, 0.789907, 1.31067", \ + "0.062458, 0.147654, 0.205916, 0.310423, 0.492455, 0.799279, 1.31272" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0610455, 0.125359, 0.165467, 0.231433, 0.341535, 0.524758, 0.829996", \ + "0.09264, 0.157867, 0.198116, 0.264109, 0.374215, 0.557541, 0.862911", \ + "0.113629, 0.180307, 0.220607, 0.286696, 0.39684, 0.58028, 0.885492", \ + "0.143536, 0.212902, 0.253359, 0.319401, 0.429595, 0.613083, 0.918316", \ + "0.181383, 0.256744, 0.29722, 0.363214, 0.473461, 0.656746, 0.962141", \ + "0.237164, 0.32149, 0.362917, 0.429121, 0.539282, 0.722681, 1.02804", \ + "0.30333, 0.402892, 0.447246, 0.515431, 0.627243, 0.811996, 1.11802" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167449, 0.089546, 0.142123, 0.229691, 0.376391, 0.621171, 1.02932", \ + "0.0189426, 0.0901843, 0.142389, 0.229807, 0.376648, 0.621308, 1.02933", \ + "0.0219795, 0.0912755, 0.143088, 0.230111, 0.376784, 0.621309, 1.02934", \ + "0.0272874, 0.093426, 0.144429, 0.230983, 0.377229, 0.621671, 1.02935", \ + "0.0356648, 0.0982256, 0.147409, 0.232738, 0.378553, 0.622471, 1.03033", \ + "0.046861, 0.107384, 0.153875, 0.236739, 0.381188, 0.624579, 1.03144", \ + "0.064903, 0.126909, 0.169429, 0.248985, 0.390242, 0.631528, 1.03581" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0128369, 0.013303, 0.013331, 0.0132846, 0.013176, 0.0129115, 0.0128285", \ + "0.0126068, 0.0130132, 0.0130292, 0.0130903, 0.0129342, 0.01268, 0.0123216", \ + "0.0126697, 0.0129758, 0.0130113, 0.0130909, 0.0130218, 0.0126551, 0.0123525", \ + "0.0130877, 0.0132656, 0.0133212, 0.0132989, 0.0134313, 0.0129858, 0.0131723", \ + "0.0145739, 0.0145047, 0.0145615, 0.0146155, 0.014566, 0.0144282, 0.0143076", \ + "0.0183256, 0.017874, 0.0178297, 0.0178558, 0.0179434, 0.0178991, 0.017206", \ + "0.0265592, 0.0253332, 0.0252491, 0.0252204, 0.0252119, 0.0252434, 0.0252993" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00996686, 0.0105022, 0.0105117, 0.0104919, 0.0104392, 0.0101877, 0.00980126", \ + "0.00996046, 0.0103908, 0.0104892, 0.0105604, 0.0103441, 0.0101341, 0.00975326", \ + "0.0103099, 0.010584, 0.0105977, 0.0107362, 0.0105711, 0.0103754, 0.0100036", \ + "0.0111373, 0.0112426, 0.0113279, 0.0112128, 0.0112367, 0.0110057, 0.0106051", \ + "0.0131656, 0.0129096, 0.0129822, 0.012994, 0.012994, 0.0126314, 0.0134691", \ + "0.0175419, 0.0168114, 0.0167362, 0.0167617, 0.0168481, 0.0167285, 0.0162511", \ + "0.02618, 0.0246652, 0.0245574, 0.024308, 0.0242108, 0.024236, 0.0243567" \ + ); + } + } + } + pin (CLK) { + clock_gate_clock_pin : true; + direction : "input"; + clock : true; + max_transition : 2.5074; + capacitance : 0.00486277; + rise_capacitance : 0.0049165; + rise_capacitance_range (0.0049165, 0.0049165); + fall_capacitance : 0.00480904; + fall_capacitance_range (0.00480904, 0.00480904); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.240936, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0982666, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00670738, 0.00650823, 0.00671652, 0.00767385, 0.0096425, 0.0141462, 0.0237166" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00804104, 0.00784104, 0.00816219, 0.00903656, 0.0112434, 0.0160767, 0.026171" \ + ); + } + } + } + pin (GATE) { + clock_gate_enable_pin : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00227955; + rise_capacitance : 0.00245265; + rise_capacitance_range (0.00245265, 0.00245265); + fall_capacitance : 0.00210645; + fall_capacitance_range (0.00210645, 0.00210645); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0397133, -0.0244016, -0.0298623, -0.0510075", \ + "-0.125593, -0.0942915, -0.0970405, -0.112902", \ + "-0.181023, -0.143013, -0.14841, -0.168206", \ + "-0.23913, -0.207828, -0.213162, -0.238432" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.014675, 0.0639994, 0.0910112, 0.107723", \ + "-0.101258, -0.0105936, 0.0271274, 0.0571178", \ + "-0.152734, -0.0633555, -0.0242853, 0.00976587", \ + "-0.202885, -0.112221, -0.0690899, -0.032517" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0739527, 0.0548098, 0.0710107, 0.0976657", \ + "0.175015, 0.139882, 0.1508, 0.170746", \ + "0.245318, 0.204902, 0.213171, 0.23318", \ + "0.321407, 0.278279, 0.286611, 0.309142" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0424914, -0.0404324, -0.0730088, -0.0892212", \ + "0.140514, 0.0495963, 0.00773773, -0.0231788", \ + "0.204169, 0.111966, 0.0674591, 0.0326084", \ + "0.264948, 0.170032, 0.125589, 0.0852649" \ + ); + } + } + internal_power () { + when : "!CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0237331, 0.0246761, 0.0248785, 0.0252918, 0.0267471, 0.0303674, 0.0382158" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161144, 0.0326389, 0.0352007, 0.0360001, 0.0375472, 0.0415699, 0.0496425" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0237331, 0.0246761, 0.0248785, 0.0252918, 0.0267471, 0.0303674, 0.0382158" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161144, 0.0326389, 0.0352007, 0.0360001, 0.0375472, 0.0415699, 0.0496425" \ + ); + } + } + } + } + cell (sg13g2_mux2_1) { + area : 18.144; + cell_footprint : "mux2"; + cell_leakage_power : 1680.13; + leakage_power () { + value : 1203.82; + when : "!A0&!A1&!S"; + } + leakage_power () { + value : 1924.08; + when : "A0&!A1&!S"; + } + leakage_power () { + value : 1317.51; + when : "!A0&A1&!S"; + } + leakage_power () { + value : 1413.52; + when : "A0&A1&!S"; + } + leakage_power () { + value : 1634.61; + when : "!A0&!A1&S"; + } + leakage_power () { + value : 1748.44; + when : "A0&!A1&S"; + } + leakage_power () { + value : 2354.83; + when : "!A0&A1&S"; + } + leakage_power () { + value : 1844.26; + when : "A0&A1&S"; + } + pin (X) { + direction : "output"; + function : "(!S*A0)+(S*A1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0737747, 0.149839, 0.195946, 0.271902, 0.398935, 0.610604, 0.963001", \ + "0.102061, 0.179163, 0.225598, 0.301729, 0.428713, 0.640278, 0.993499", \ + "0.120147, 0.199183, 0.245739, 0.322022, 0.449063, 0.660675, 1.01386", \ + "0.145526, 0.228565, 0.275105, 0.351355, 0.478773, 0.690387, 1.04289", \ + "0.177459, 0.268564, 0.315047, 0.391383, 0.519053, 0.730924, 1.08317", \ + "0.217217, 0.321194, 0.369927, 0.446497, 0.573712, 0.785593, 1.13883", \ + "0.262783, 0.38529, 0.439154, 0.517488, 0.647674, 0.862135, 1.21573" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0252178, 0.114774, 0.180735, 0.291785, 0.47802, 0.78872, 1.30661", \ + "0.0283346, 0.115479, 0.181165, 0.291786, 0.478816, 0.788809, 1.30693", \ + "0.0320245, 0.11676, 0.182057, 0.292262, 0.478817, 0.78881, 1.30721", \ + "0.0389933, 0.11906, 0.183358, 0.293197, 0.478865, 0.789165, 1.31413", \ + "0.0488105, 0.125094, 0.186367, 0.294618, 0.479669, 0.79016, 1.31414", \ + "0.06193, 0.137859, 0.195521, 0.299913, 0.482539, 0.791464, 1.31415", \ + "0.08071, 0.161596, 0.215831, 0.314554, 0.493373, 0.799553, 1.31416" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0909815, 0.169545, 0.211494, 0.277727, 0.387708, 0.571135, 0.877155", \ + "0.121992, 0.202471, 0.244626, 0.311041, 0.420871, 0.604008, 0.909805", \ + "0.14464, 0.227478, 0.27012, 0.3371, 0.447085, 0.630069, 0.935638", \ + "0.177462, 0.264944, 0.308201, 0.37591, 0.486933, 0.669976, 0.975231", \ + "0.224464, 0.32048, 0.363774, 0.43221, 0.543431, 0.727289, 1.03328", \ + "0.295639, 0.401466, 0.448655, 0.518033, 0.629945, 0.814117, 1.12028", \ + "0.393024, 0.516551, 0.569335, 0.64385, 0.759005, 0.944579, 1.25161" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0288002, 0.102518, 0.152991, 0.236944, 0.382174, 0.627093, 1.03737", \ + "0.0308378, 0.103017, 0.152992, 0.237129, 0.382212, 0.62733, 1.03738", \ + "0.0343005, 0.105085, 0.154145, 0.238074, 0.382932, 0.628561, 1.03739", \ + "0.0404712, 0.10938, 0.157232, 0.240248, 0.384252, 0.628562, 1.04037", \ + "0.0512286, 0.116894, 0.162644, 0.243878, 0.386911, 0.629967, 1.04038", \ + "0.065327, 0.129657, 0.173533, 0.250785, 0.390239, 0.632426, 1.04039", \ + "0.08717, 0.157063, 0.197265, 0.269261, 0.403601, 0.639451, 1.04446" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0689732, 0.145449, 0.191037, 0.266357, 0.392802, 0.603947, 0.955951", \ + "0.102128, 0.180987, 0.227238, 0.302797, 0.42903, 0.639793, 0.992268", \ + "0.121445, 0.202538, 0.249133, 0.32505, 0.451541, 0.662283, 1.01407", \ + "0.147688, 0.23368, 0.280318, 0.356506, 0.483569, 0.69456, 1.04617", \ + "0.180801, 0.275451, 0.32255, 0.39861, 0.525243, 0.737538, 1.08956", \ + "0.222453, 0.330089, 0.37867, 0.455783, 0.583634, 0.794825, 1.14749", \ + "0.274197, 0.39796, 0.451013, 0.530884, 0.66059, 0.87469, 1.22874" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.026742, 0.115168, 0.181012, 0.291699, 0.478014, 0.788666, 1.30667", \ + "0.030251, 0.115972, 0.181369, 0.291846, 0.478038, 0.788667, 1.30688", \ + "0.0344377, 0.117306, 0.182284, 0.292283, 0.478249, 0.788673, 1.30713", \ + "0.042042, 0.11994, 0.183717, 0.293178, 0.478821, 0.789248, 1.30714", \ + "0.0530858, 0.125881, 0.186998, 0.294669, 0.479812, 0.789964, 1.3073", \ + "0.068087, 0.13947, 0.196124, 0.299796, 0.482192, 0.791267, 1.30845", \ + "0.088482, 0.16293, 0.215823, 0.314177, 0.49262, 0.798762, 1.31316" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0959794, 0.178135, 0.220562, 0.28777, 0.398496, 0.582511, 0.888857", \ + "0.124239, 0.20693, 0.249606, 0.316949, 0.427688, 0.611685, 0.918444", \ + "0.147022, 0.231745, 0.274823, 0.342318, 0.453148, 0.637062, 0.945466", \ + "0.180726, 0.269385, 0.313219, 0.381308, 0.492512, 0.676717, 0.983084", \ + "0.228902, 0.325442, 0.369822, 0.438781, 0.55023, 0.734885, 1.04154", \ + "0.301572, 0.408782, 0.455631, 0.524971, 0.636657, 0.822255, 1.12924", \ + "0.40141, 0.525792, 0.578793, 0.652575, 0.767313, 0.953697, 1.26119" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0309916, 0.103078, 0.152463, 0.237086, 0.382331, 0.626998, 1.03709", \ + "0.0329631, 0.103609, 0.15284, 0.237135, 0.382332, 0.627414, 1.0371", \ + "0.036639, 0.105703, 0.154342, 0.237985, 0.382631, 0.628379, 1.03851", \ + "0.0430673, 0.109646, 0.157485, 0.240354, 0.384306, 0.62838, 1.03852", \ + "0.0549208, 0.117314, 0.162765, 0.243811, 0.386636, 0.629776, 1.03853", \ + "0.069717, 0.130937, 0.173214, 0.250456, 0.390247, 0.632276, 1.03977", \ + "0.09267, 0.15761, 0.197446, 0.269904, 0.403757, 0.639636, 1.04441" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0821475, 0.155492, 0.201898, 0.278199, 0.405343, 0.617301, 0.969751", \ + "0.108917, 0.182814, 0.229303, 0.305563, 0.432826, 0.646767, 0.997324", \ + "0.127197, 0.202291, 0.248869, 0.32521, 0.452499, 0.664257, 1.01699", \ + "0.15353, 0.231065, 0.277671, 0.354103, 0.4814, 0.693211, 1.04596", \ + "0.186915, 0.270094, 0.316789, 0.393081, 0.52061, 0.732641, 1.08533", \ + "0.229775, 0.322589, 0.370253, 0.447484, 0.575583, 0.787745, 1.14059", \ + "0.283559, 0.39138, 0.441474, 0.521146, 0.650228, 0.86471, 1.21834" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0223034, 0.114149, 0.180913, 0.292009, 0.478227, 0.789046, 1.30697", \ + "0.0237808, 0.114556, 0.181087, 0.292055, 0.478457, 0.791498, 1.30698", \ + "0.0256669, 0.115257, 0.181544, 0.29229, 0.478551, 0.791499, 1.30749", \ + "0.0296943, 0.116613, 0.182316, 0.292861, 0.478834, 0.7915, 1.3075", \ + "0.0365749, 0.120219, 0.184234, 0.293901, 0.479661, 0.791501, 1.30751", \ + "0.046552, 0.128302, 0.18985, 0.29753, 0.481891, 0.791502, 1.30847", \ + "0.060594, 0.144391, 0.202222, 0.307518, 0.489945, 0.798218, 1.31303" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.108233, 0.181725, 0.223219, 0.28989, 0.400597, 0.584795, 0.891341", \ + "0.133561, 0.207463, 0.249003, 0.315749, 0.42653, 0.610661, 0.917543", \ + "0.152352, 0.227627, 0.269419, 0.336419, 0.447232, 0.631325, 0.937925", \ + "0.180382, 0.258571, 0.300814, 0.368081, 0.479251, 0.663474, 0.970048", \ + "0.220504, 0.304846, 0.347976, 0.415334, 0.526422, 0.711061, 1.0179", \ + "0.282499, 0.375434, 0.420723, 0.489176, 0.601261, 0.785372, 1.093", \ + "0.366123, 0.473327, 0.522571, 0.59551, 0.709856, 0.896563, 1.20473" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0257746, 0.0984107, 0.14896, 0.235343, 0.382021, 0.627205, 1.03677", \ + "0.0264246, 0.0985355, 0.149171, 0.235464, 0.382022, 0.627447, 1.03741", \ + "0.0281643, 0.0995188, 0.150095, 0.23602, 0.38237, 0.628604, 1.03748", \ + "0.0315748, 0.102111, 0.152042, 0.237224, 0.38297, 0.628605, 1.0405", \ + "0.0385267, 0.107878, 0.156149, 0.239486, 0.384423, 0.628921, 1.04051", \ + "0.048309, 0.117352, 0.164039, 0.245147, 0.387778, 0.631026, 1.04052", \ + "0.063536, 0.135524, 0.18089, 0.260299, 0.399357, 0.638423, 1.04429" \ + ); + } + } + timing () { + related_pin : "S"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.118572, 0.191904, 0.238248, 0.314421, 0.441768, 0.653216, 1.00585", \ + "0.147709, 0.221025, 0.267432, 0.343695, 0.470987, 0.683194, 1.03512", \ + "0.166196, 0.239581, 0.286037, 0.362274, 0.489403, 0.701169, 1.05389", \ + "0.192355, 0.265795, 0.312119, 0.388399, 0.515528, 0.72719, 1.07998", \ + "0.226955, 0.300476, 0.346912, 0.423244, 0.550494, 0.762134, 1.1147", \ + "0.276456, 0.350584, 0.39704, 0.473363, 0.600652, 0.812476, 1.16508", \ + "0.333788, 0.409408, 0.455838, 0.532006, 0.659359, 0.871284, 1.22392" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0226822, 0.114276, 0.180951, 0.291991, 0.478315, 0.788915, 1.30676", \ + "0.0226832, 0.1143, 0.180952, 0.292924, 0.478455, 0.789502, 1.30684", \ + "0.0227874, 0.114308, 0.180996, 0.292925, 0.478456, 0.789503, 1.30694", \ + "0.0231749, 0.114359, 0.180997, 0.292926, 0.478457, 0.789504, 1.30977", \ + "0.0237111, 0.114517, 0.181004, 0.292927, 0.478458, 0.789505, 1.30978", \ + "0.024855, 0.114934, 0.181231, 0.292928, 0.478459, 0.789506, 1.30979", \ + "0.027538, 0.11595, 0.181779, 0.292929, 0.478497, 0.789507, 1.3098" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.108233, 0.181725, 0.223219, 0.28989, 0.400597, 0.584795, 0.891341", \ + "0.133561, 0.207463, 0.249003, 0.315749, 0.42653, 0.610661, 0.917543", \ + "0.152352, 0.227627, 0.269419, 0.336419, 0.447232, 0.631325, 0.937925", \ + "0.180382, 0.258571, 0.300814, 0.368081, 0.479251, 0.663474, 0.970048", \ + "0.220504, 0.304846, 0.347976, 0.415334, 0.526422, 0.711061, 1.0179", \ + "0.282499, 0.375434, 0.420723, 0.489176, 0.601261, 0.785372, 1.093", \ + "0.366123, 0.473327, 0.522571, 0.59551, 0.709856, 0.896563, 1.20473" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0257746, 0.0984107, 0.14896, 0.235343, 0.382021, 0.627205, 1.03677", \ + "0.0264246, 0.0985355, 0.149171, 0.235464, 0.382022, 0.627447, 1.03741", \ + "0.0281643, 0.0995188, 0.150095, 0.23602, 0.38237, 0.628604, 1.03748", \ + "0.0315748, 0.102111, 0.152042, 0.237224, 0.38297, 0.628605, 1.0405", \ + "0.0385267, 0.107878, 0.156149, 0.239486, 0.384423, 0.628921, 1.04051", \ + "0.048309, 0.117352, 0.164039, 0.245147, 0.387778, 0.631026, 1.04052", \ + "0.063536, 0.135524, 0.18089, 0.260299, 0.399357, 0.638423, 1.04429" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.118572, 0.191904, 0.238248, 0.314421, 0.441768, 0.653216, 1.00585", \ + "0.147709, 0.221025, 0.267432, 0.343695, 0.470987, 0.683194, 1.03512", \ + "0.166196, 0.239581, 0.286037, 0.362274, 0.489403, 0.701169, 1.05389", \ + "0.192355, 0.265795, 0.312119, 0.388399, 0.515528, 0.72719, 1.07998", \ + "0.226955, 0.300476, 0.346912, 0.423244, 0.550494, 0.762134, 1.1147", \ + "0.276456, 0.350584, 0.39704, 0.473363, 0.600652, 0.812476, 1.16508", \ + "0.333788, 0.409408, 0.455838, 0.532006, 0.659359, 0.871284, 1.22392" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0226822, 0.114276, 0.180951, 0.291991, 0.478315, 0.788915, 1.30676", \ + "0.0226832, 0.1143, 0.180952, 0.292924, 0.478455, 0.789502, 1.30684", \ + "0.0227874, 0.114308, 0.180996, 0.292925, 0.478456, 0.789503, 1.30694", \ + "0.0231749, 0.114359, 0.180997, 0.292926, 0.478457, 0.789504, 1.30977", \ + "0.0237111, 0.114517, 0.181004, 0.292927, 0.478458, 0.789505, 1.30978", \ + "0.024855, 0.114934, 0.181231, 0.292928, 0.478459, 0.789506, 1.30979", \ + "0.027538, 0.11595, 0.181779, 0.292929, 0.478497, 0.789507, 1.3098" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.142324, 0.215952, 0.257519, 0.324322, 0.43505, 0.619001, 0.925724", \ + "0.170351, 0.244253, 0.285719, 0.352479, 0.463365, 0.647554, 0.954803", \ + "0.187615, 0.261367, 0.302963, 0.36986, 0.480674, 0.665132, 0.97185", \ + "0.21283, 0.286716, 0.328257, 0.395035, 0.505897, 0.690185, 0.996829", \ + "0.246008, 0.319824, 0.3614, 0.428262, 0.53925, 0.723407, 1.02997", \ + "0.291878, 0.366377, 0.407839, 0.474774, 0.58563, 0.770052, 1.07666", \ + "0.352596, 0.428238, 0.470173, 0.537174, 0.648095, 0.83243, 1.13914" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0261725, 0.0986646, 0.149338, 0.235487, 0.382142, 0.627758, 1.0369", \ + "0.0261795, 0.0986656, 0.149377, 0.235659, 0.382209, 0.627759, 1.03756", \ + "0.0261934, 0.0986666, 0.149468, 0.235833, 0.382359, 0.628121, 1.03803", \ + "0.0263046, 0.0986676, 0.149469, 0.235834, 0.38236, 0.628122, 1.03804", \ + "0.026575, 0.0987554, 0.149531, 0.235835, 0.382361, 0.628123, 1.03805", \ + "0.027405, 0.099319, 0.149798, 0.235836, 0.382362, 0.628124, 1.03806", \ + "0.02915, 0.100375, 0.150729, 0.236384, 0.382466, 0.628125, 1.03807" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0125595, 0.0129943, 0.0129687, 0.0129183, 0.0127771, 0.0124988, 0.0123468", \ + "0.0123256, 0.0126191, 0.0126229, 0.0127124, 0.0125726, 0.0122434, 0.0119898", \ + "0.0125123, 0.0126571, 0.0127298, 0.0128371, 0.012602, 0.0123179, 0.0123903", \ + "0.0133669, 0.0133369, 0.0133727, 0.0132987, 0.0134985, 0.01295, 0.013577", \ + "0.015388, 0.0150311, 0.0150121, 0.0150447, 0.0149621, 0.0148148, 0.0144069", \ + "0.0199813, 0.0191209, 0.0189895, 0.0189315, 0.0189036, 0.0188441, 0.0182696", \ + "0.0296332, 0.0278891, 0.0276919, 0.0274577, 0.0273557, 0.0273077, 0.0272106" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157907, 0.0158598, 0.0155904, 0.0152632, 0.0148824, 0.0144288, 0.0139586", \ + "0.0160812, 0.0163883, 0.0162725, 0.0160732, 0.0155193, 0.0150124, 0.0143453", \ + "0.0165376, 0.0166739, 0.0166506, 0.0166074, 0.0161228, 0.0156192, 0.0147747", \ + "0.0175407, 0.0175499, 0.0175582, 0.0173124, 0.0171948, 0.016625, 0.0162617", \ + "0.0197507, 0.0193573, 0.0193086, 0.0193552, 0.0192051, 0.0186808, 0.0189191", \ + "0.0246861, 0.0234588, 0.0234846, 0.0234561, 0.0235075, 0.0234364, 0.0224654", \ + "0.0344293, 0.0324292, 0.032151, 0.0318089, 0.031875, 0.031823, 0.0317357" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014814, 0.0149217, 0.0146834, 0.0143896, 0.0140424, 0.0136148, 0.0134137", \ + "0.0156634, 0.0159826, 0.0158985, 0.015995, 0.0153033, 0.0147471, 0.0143088", \ + "0.0160437, 0.016182, 0.0162512, 0.0162121, 0.0158171, 0.0152797, 0.0147048", \ + "0.0168951, 0.0168843, 0.0169252, 0.0168589, 0.0168028, 0.0161894, 0.0156278", \ + "0.0190152, 0.0185689, 0.018612, 0.0186514, 0.0184297, 0.0182892, 0.0179983", \ + "0.0236499, 0.0226394, 0.0224883, 0.0224081, 0.0224949, 0.0223126, 0.0217574", \ + "0.0336057, 0.0314352, 0.0311374, 0.0309676, 0.030875, 0.0308477, 0.0309186" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012415, 0.0128628, 0.0128739, 0.0128173, 0.0127742, 0.0124838, 0.0121586", \ + "0.0122162, 0.0125088, 0.0126213, 0.0125213, 0.0124406, 0.0123111, 0.0119297", \ + "0.012616, 0.0126499, 0.0127027, 0.0129082, 0.0126508, 0.0125459, 0.0123501", \ + "0.0136566, 0.0134299, 0.0134805, 0.0133918, 0.0134806, 0.0134617, 0.0130044", \ + "0.0158977, 0.0153172, 0.015282, 0.0153429, 0.0152418, 0.0149707, 0.01575", \ + "0.0207161, 0.0194527, 0.0193213, 0.0192878, 0.0192543, 0.0192989, 0.0186054", \ + "0.0306732, 0.0285586, 0.0282269, 0.0278961, 0.027912, 0.0279087, 0.0278961" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(A0 * !A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0120981, 0.0124527, 0.0124589, 0.0124245, 0.0123094, 0.0120375, 0.0119887", \ + "0.0120954, 0.0125488, 0.0125074, 0.012531, 0.0123359, 0.0121389, 0.0119858", \ + "0.0120836, 0.0124116, 0.0125186, 0.0125366, 0.0124287, 0.0120475, 0.0120237", \ + "0.0120685, 0.0124335, 0.0124064, 0.0124204, 0.0125106, 0.0120189, 0.0122312", \ + "0.0119806, 0.0122753, 0.0123402, 0.0123823, 0.0121529, 0.0122735, 0.0115788", \ + "0.0120114, 0.0121998, 0.0123015, 0.0123715, 0.0124012, 0.0122013, 0.0121412", \ + "0.0120851, 0.0122254, 0.0121676, 0.0121824, 0.0123208, 0.0123392, 0.0123086" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0127072, 0.0130431, 0.0130673, 0.0130584, 0.0129703, 0.0127114, 0.0122603", \ + "0.0126094, 0.0130303, 0.0130764, 0.0131883, 0.0129579, 0.0127218, 0.0124464", \ + "0.012627, 0.0129528, 0.0129989, 0.0131387, 0.0130466, 0.012802, 0.0124339", \ + "0.012599, 0.0129975, 0.0130622, 0.0129987, 0.0129091, 0.0129375, 0.0132942", \ + "0.0125871, 0.0129049, 0.0129663, 0.0130027, 0.0130471, 0.0125494, 0.0128533", \ + "0.0126054, 0.0129063, 0.0128844, 0.0129959, 0.0130338, 0.0130686, 0.0128499", \ + "0.012722, 0.0128508, 0.0128927, 0.0130013, 0.012875, 0.0129925, 0.0130667" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(!A0 * A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126066, 0.013038, 0.0130868, 0.0130525, 0.0129323, 0.0126943, 0.0123193", \ + "0.012457, 0.0128167, 0.0128179, 0.0128239, 0.0127231, 0.0128148, 0.0124298", \ + "0.0125087, 0.0127529, 0.0128493, 0.0129214, 0.0127596, 0.0124695, 0.0125048", \ + "0.0129208, 0.0130861, 0.0131511, 0.013091, 0.0132954, 0.0127725, 0.0127917", \ + "0.0144091, 0.0143244, 0.0143979, 0.0144568, 0.014313, 0.0142936, 0.0144069", \ + "0.0182121, 0.0177685, 0.01773, 0.0177461, 0.0178487, 0.0178619, 0.017197", \ + "0.0267846, 0.0256825, 0.0255321, 0.0255388, 0.0254661, 0.0255008, 0.0254744" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119055, 0.012301, 0.01237, 0.0123611, 0.0122248, 0.0120615, 0.011596", \ + "0.0118032, 0.0121883, 0.0122498, 0.0122369, 0.0121327, 0.0119455, 0.0115766", \ + "0.0119139, 0.0121545, 0.0121894, 0.012441, 0.0122114, 0.0120445, 0.0115694", \ + "0.0123634, 0.0124895, 0.0125991, 0.0125133, 0.0126269, 0.0124628, 0.0123559", \ + "0.0138452, 0.013748, 0.0138163, 0.0138764, 0.0137994, 0.0134888, 0.0142668", \ + "0.0180337, 0.0174295, 0.0174823, 0.0175672, 0.017657, 0.0174806, 0.0168691", \ + "0.0267489, 0.025515, 0.0254698, 0.0253929, 0.0254481, 0.0254506, 0.0256434" \ + ); + } + } + internal_power () { + related_pin : "S"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126066, 0.013038, 0.0130868, 0.0130525, 0.0129323, 0.0126943, 0.0123193", \ + "0.012457, 0.0128167, 0.0128179, 0.0128239, 0.0127231, 0.0128148, 0.0124298", \ + "0.0125087, 0.0127529, 0.0128493, 0.0129214, 0.0127596, 0.0124695, 0.0125048", \ + "0.0129208, 0.0130861, 0.0131511, 0.013091, 0.0132954, 0.0127725, 0.0127917", \ + "0.0144091, 0.0143244, 0.0143979, 0.0144568, 0.014313, 0.0142936, 0.0144069", \ + "0.0182121, 0.0177685, 0.01773, 0.0177461, 0.0178487, 0.0178619, 0.017197", \ + "0.0267846, 0.0256825, 0.0255321, 0.0255388, 0.0254661, 0.0255008, 0.0254744" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119055, 0.012301, 0.01237, 0.0123611, 0.0122248, 0.0120615, 0.011596", \ + "0.0118032, 0.0121883, 0.0122498, 0.0122369, 0.0121327, 0.0119455, 0.0115766", \ + "0.0119139, 0.0121545, 0.0121894, 0.012441, 0.0122114, 0.0120445, 0.0115694", \ + "0.0123634, 0.0124895, 0.0125991, 0.0125133, 0.0126269, 0.0124628, 0.0123559", \ + "0.0138452, 0.013748, 0.0138163, 0.0138764, 0.0137994, 0.0134888, 0.0142668", \ + "0.0180337, 0.0174295, 0.0174823, 0.0175672, 0.017657, 0.0174806, 0.0168691", \ + "0.0267489, 0.025515, 0.0254698, 0.0253929, 0.0254481, 0.0254506, 0.0256434" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00197795; + rise_capacitance : 0.00358572; + rise_capacitance_range (0.00358572, 0.00358572); + fall_capacitance : 0.000370176; + fall_capacitance_range (0.000370176, 0.000370176); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00210394; + rise_capacitance : 0.00059936; + rise_capacitance_range (0.00059936, 0.00059936); + fall_capacitance : 0.00360852; + fall_capacitance_range (0.00360852, 0.00360852); + } + pin (S) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00497309; + rise_capacitance : 0.0050451; + rise_capacitance_range (0.0050451, 0.0050451); + fall_capacitance : 0.00490109; + fall_capacitance_range (0.00490109, 0.00490109); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00464577, 0.0044757, 0.00468019, 0.00536794, 0.00694753, 0.0105156, 0.0181461" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00506561, 0.00492805, 0.00516053, 0.00583675, 0.00757612, 0.0113135, 0.0192442" \ + ); + } + } + } + } + cell (sg13g2_mux2_2) { + area : 19.9584; + cell_footprint : "mux2"; + cell_leakage_power : 2163.27; + leakage_power () { + value : 1964.59; + when : "!A0&!A1&!S"; + } + leakage_power () { + value : 2129.59; + when : "A0&!A1&!S"; + } + leakage_power () { + value : 2078.28; + when : "!A0&A1&!S"; + } + leakage_power () { + value : 1619.01; + when : "A0&A1&!S"; + } + leakage_power () { + value : 2395.37; + when : "!A0&!A1&S"; + } + leakage_power () { + value : 2509.21; + when : "A0&!A1&S"; + } + leakage_power () { + value : 2560.34; + when : "!A0&A1&S"; + } + leakage_power () { + value : 2049.76; + when : "A0&A1&S"; + } + pin (X) { + direction : "output"; + function : "(!S*A0)+(S*A1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0904881, 0.17238, 0.219217, 0.295752, 0.423326, 0.635554, 0.988461", \ + "0.123348, 0.205774, 0.252539, 0.329063, 0.45638, 0.668314, 1.02254", \ + "0.14624, 0.230062, 0.276933, 0.353574, 0.480886, 0.692817, 1.04633", \ + "0.179417, 0.266292, 0.31307, 0.389289, 0.51692, 0.728781, 1.08162", \ + "0.221297, 0.31576, 0.362752, 0.438545, 0.5653, 0.777224, 1.1301", \ + "0.273011, 0.381091, 0.429195, 0.505044, 0.631847, 0.843191, 1.19585", \ + "0.33662, 0.463657, 0.513485, 0.591229, 0.718034, 0.934343, 1.28703" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.023644, 0.11617, 0.182048, 0.292882, 0.479199, 0.790184, 1.30862", \ + "0.0254491, 0.116621, 0.182316, 0.292883, 0.4792, 0.790236, 1.3098", \ + "0.028579, 0.117922, 0.183139, 0.293204, 0.479362, 0.791405, 1.30981", \ + "0.0343881, 0.120369, 0.184752, 0.294268, 0.479983, 0.791406, 1.31574", \ + "0.0448448, 0.125914, 0.187937, 0.29578, 0.481063, 0.79145, 1.31575", \ + "0.060551, 0.139702, 0.197209, 0.301077, 0.483472, 0.792914, 1.31576", \ + "0.082659, 0.166062, 0.218618, 0.316561, 0.494064, 0.800176, 1.31577" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.101848, 0.193324, 0.237172, 0.304752, 0.415323, 0.598824, 0.904667", \ + "0.142133, 0.234647, 0.278707, 0.346526, 0.45694, 0.640429, 0.945578", \ + "0.169424, 0.263679, 0.308297, 0.376413, 0.487059, 0.669989, 0.97522", \ + "0.209381, 0.307591, 0.353422, 0.422191, 0.533316, 0.71628, 1.02153", \ + "0.266967, 0.372323, 0.418229, 0.488558, 0.59994, 0.783815, 1.08916", \ + "0.349856, 0.466418, 0.514613, 0.586545, 0.69865, 0.882114, 1.18783", \ + "0.465828, 0.597566, 0.653245, 0.729401, 0.84253, 1.02802, 1.33208" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0324278, 0.111571, 0.160147, 0.24346, 0.386836, 0.630386, 1.03945", \ + "0.0338714, 0.111572, 0.160148, 0.243461, 0.387485, 0.630855, 1.03946", \ + "0.037349, 0.112696, 0.161362, 0.244193, 0.387578, 0.630856, 1.03947", \ + "0.0446083, 0.117331, 0.164982, 0.24681, 0.388773, 0.63147, 1.04471", \ + "0.0572244, 0.126564, 0.171597, 0.251308, 0.392315, 0.633269, 1.04472", \ + "0.074183, 0.141685, 0.184155, 0.259843, 0.396905, 0.636567, 1.04473", \ + "0.100768, 0.173024, 0.211471, 0.281562, 0.411264, 0.64432, 1.04759" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0701773, 0.156461, 0.202645, 0.27817, 0.404762, 0.616111, 0.96853", \ + "0.111573, 0.200101, 0.246777, 0.322579, 0.448988, 0.659947, 1.0119", \ + "0.136564, 0.227705, 0.274714, 0.350776, 0.477315, 0.68823, 1.04032", \ + "0.169907, 0.265586, 0.312649, 0.389531, 0.516521, 0.727469, 1.07919", \ + "0.211079, 0.315561, 0.364024, 0.440325, 0.566869, 0.778643, 1.13065", \ + "0.263415, 0.38373, 0.433359, 0.510361, 0.637495, 0.848465, 1.20057", \ + "0.330181, 0.466214, 0.521698, 0.600943, 0.729874, 0.942737, 1.29576" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0270319, 0.11777, 0.182775, 0.29262, 0.478861, 0.78987, 1.30837", \ + "0.030514, 0.118363, 0.183006, 0.292831, 0.478862, 0.789871, 1.30838", \ + "0.0346283, 0.12019, 0.18397, 0.293243, 0.479022, 0.789987, 1.30886", \ + "0.0423381, 0.123536, 0.186043, 0.294358, 0.479733, 0.790218, 1.30887", \ + "0.0556457, 0.131483, 0.190592, 0.296706, 0.481059, 0.79099, 1.30888", \ + "0.074202, 0.148676, 0.202451, 0.303419, 0.483832, 0.792533, 1.31019", \ + "0.09807, 0.178243, 0.227494, 0.321429, 0.49538, 0.799674, 1.31425" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.115062, 0.210128, 0.254801, 0.323312, 0.434575, 0.618581, 0.924599", \ + "0.14475, 0.240085, 0.284492, 0.353227, 0.464562, 0.648944, 0.954921", \ + "0.171396, 0.267977, 0.31293, 0.381586, 0.493166, 0.677184, 0.983216", \ + "0.211648, 0.312102, 0.35762, 0.426834, 0.53852, 0.722571, 1.02876", \ + "0.270341, 0.377428, 0.423899, 0.494064, 0.605968, 0.79045, 1.09671", \ + "0.35425, 0.471872, 0.520476, 0.591749, 0.703754, 0.888573, 1.19477", \ + "0.472703, 0.606614, 0.659498, 0.736515, 0.85058, 1.03541, 1.34258" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0345552, 0.111582, 0.160185, 0.243457, 0.386759, 0.630522, 1.03901", \ + "0.035781, 0.111794, 0.160186, 0.243458, 0.386995, 0.631098, 1.03912", \ + "0.0394395, 0.113626, 0.161459, 0.244183, 0.387533, 0.631099, 1.03929", \ + "0.0471898, 0.118186, 0.165343, 0.246775, 0.388664, 0.631458, 1.04154", \ + "0.0606356, 0.126881, 0.172074, 0.251549, 0.392009, 0.633336, 1.04155", \ + "0.078595, 0.142421, 0.184539, 0.260037, 0.396834, 0.636416, 1.043", \ + "0.105594, 0.17332, 0.212346, 0.281175, 0.411761, 0.644509, 1.04745" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0934791, 0.175355, 0.222096, 0.298617, 0.426134, 0.638247, 0.990997", \ + "0.121976, 0.204326, 0.251116, 0.327675, 0.455155, 0.667129, 1.02135", \ + "0.142663, 0.226497, 0.273339, 0.349914, 0.477494, 0.689264, 1.04242", \ + "0.173489, 0.260379, 0.30749, 0.383894, 0.511508, 0.723407, 1.07638", \ + "0.214272, 0.307792, 0.355251, 0.431811, 0.559104, 0.771072, 1.1241", \ + "0.267531, 0.372406, 0.420839, 0.498348, 0.626049, 0.837259, 1.1902", \ + "0.334937, 0.456061, 0.508398, 0.587035, 0.715571, 0.929202, 1.28282" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0233852, 0.116049, 0.182012, 0.292877, 0.479212, 0.790155, 1.30859", \ + "0.0246163, 0.1164, 0.182163, 0.29292, 0.479213, 0.790274, 1.30996", \ + "0.0267401, 0.117377, 0.182684, 0.293039, 0.47929, 0.790505, 1.30997", \ + "0.0310819, 0.119565, 0.184025, 0.293805, 0.479689, 0.790506, 1.30998", \ + "0.0393876, 0.124475, 0.187177, 0.295297, 0.48058, 0.790961, 1.30999", \ + "0.052059, 0.135601, 0.194674, 0.300236, 0.483081, 0.792648, 1.31", \ + "0.07002, 0.156295, 0.211831, 0.312308, 0.491757, 0.798983, 1.31449" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.127806, 0.214211, 0.257107, 0.324852, 0.435733, 0.619869, 0.926227", \ + "0.15418, 0.24055, 0.283727, 0.351314, 0.462489, 0.646853, 0.952721", \ + "0.175168, 0.262456, 0.305747, 0.373632, 0.484881, 0.668952, 0.975206", \ + "0.207509, 0.297857, 0.341673, 0.409949, 0.521231, 0.705451, 1.01196", \ + "0.255641, 0.351699, 0.396354, 0.465138, 0.576702, 0.761013, 1.06738", \ + "0.327762, 0.432439, 0.479775, 0.549262, 0.66144, 0.84582, 1.15211", \ + "0.427435, 0.546683, 0.5976, 0.67227, 0.785376, 0.972262, 1.2781" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0302998, 0.106291, 0.155977, 0.240626, 0.386031, 0.630314, 1.04033", \ + "0.0307393, 0.10635, 0.156284, 0.240717, 0.386032, 0.63103, 1.04034", \ + "0.0324248, 0.10738, 0.15693, 0.241342, 0.38644, 0.631253, 1.04035", \ + "0.0363229, 0.110445, 0.159175, 0.24307, 0.387274, 0.631388, 1.04314", \ + "0.0442915, 0.117043, 0.164246, 0.246546, 0.389304, 0.632502, 1.04315", \ + "0.056259, 0.127958, 0.173968, 0.253011, 0.393297, 0.634665, 1.04316", \ + "0.075892, 0.150419, 0.19368, 0.270307, 0.405409, 0.64288, 1.04665" \ + ); + } + } + timing () { + related_pin : "S"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0934791, 0.175355, 0.222096, 0.298617, 0.426134, 0.638247, 0.990997", \ + "0.121976, 0.204326, 0.251116, 0.327675, 0.455155, 0.667129, 1.02135", \ + "0.142663, 0.226497, 0.273339, 0.349914, 0.477494, 0.689264, 1.04242", \ + "0.173489, 0.260379, 0.30749, 0.383894, 0.511508, 0.723407, 1.07638", \ + "0.214272, 0.307792, 0.355251, 0.431811, 0.559104, 0.771072, 1.1241", \ + "0.267531, 0.372406, 0.420839, 0.498348, 0.626049, 0.837259, 1.1902", \ + "0.334937, 0.456061, 0.508398, 0.587035, 0.715571, 0.929202, 1.28282" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0233852, 0.116049, 0.182012, 0.292877, 0.479212, 0.790155, 1.30859", \ + "0.0246163, 0.1164, 0.182163, 0.29292, 0.479213, 0.790274, 1.30996", \ + "0.0267401, 0.117377, 0.182684, 0.293039, 0.47929, 0.790505, 1.30997", \ + "0.0310819, 0.119565, 0.184025, 0.293805, 0.479689, 0.790506, 1.30998", \ + "0.0393876, 0.124475, 0.187177, 0.295297, 0.48058, 0.790961, 1.30999", \ + "0.052059, 0.135601, 0.194674, 0.300236, 0.483081, 0.792648, 1.31", \ + "0.07002, 0.156295, 0.211831, 0.312308, 0.491757, 0.798983, 1.31449" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.127806, 0.214211, 0.257107, 0.324852, 0.435733, 0.619869, 0.926227", \ + "0.15418, 0.24055, 0.283727, 0.351314, 0.462489, 0.646853, 0.952721", \ + "0.175168, 0.262456, 0.305747, 0.373632, 0.484881, 0.668952, 0.975206", \ + "0.207509, 0.297857, 0.341673, 0.409949, 0.521231, 0.705451, 1.01196", \ + "0.255641, 0.351699, 0.396354, 0.465138, 0.576702, 0.761013, 1.06738", \ + "0.327762, 0.432439, 0.479775, 0.549262, 0.66144, 0.84582, 1.15211", \ + "0.427435, 0.546683, 0.5976, 0.67227, 0.785376, 0.972262, 1.2781" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0302998, 0.106291, 0.155977, 0.240626, 0.386031, 0.630314, 1.04033", \ + "0.0307393, 0.10635, 0.156284, 0.240717, 0.386032, 0.63103, 1.04034", \ + "0.0324248, 0.10738, 0.15693, 0.241342, 0.38644, 0.631253, 1.04035", \ + "0.0363229, 0.110445, 0.159175, 0.24307, 0.387274, 0.631388, 1.04314", \ + "0.0442915, 0.117043, 0.164246, 0.246546, 0.389304, 0.632502, 1.04315", \ + "0.056259, 0.127958, 0.173968, 0.253011, 0.393297, 0.634665, 1.04316", \ + "0.075892, 0.150419, 0.19368, 0.270307, 0.405409, 0.64288, 1.04665" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.130084, 0.211917, 0.258669, 0.33495, 0.462214, 0.674153, 1.02708", \ + "0.159254, 0.241263, 0.288044, 0.364459, 0.49182, 0.703785, 1.0568", \ + "0.177964, 0.259853, 0.306754, 0.38325, 0.510673, 0.72263, 1.07559", \ + "0.204318, 0.286313, 0.333196, 0.40971, 0.537063, 0.749145, 1.10238", \ + "0.239376, 0.321502, 0.368223, 0.444694, 0.572239, 0.784121, 1.13704", \ + "0.289892, 0.372539, 0.419325, 0.495702, 0.623224, 0.835353, 1.18829", \ + "0.349136, 0.4332, 0.48002, 0.556423, 0.683869, 0.895996, 1.24902" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0236561, 0.116238, 0.182063, 0.292689, 0.47918, 0.790134, 1.30864", \ + "0.0236623, 0.116239, 0.182122, 0.292798, 0.479181, 0.790135, 1.30865", \ + "0.0237491, 0.116245, 0.182123, 0.292799, 0.47922, 0.790206, 1.30875", \ + "0.0238976, 0.116301, 0.182124, 0.29287, 0.479221, 0.790207, 1.31109", \ + "0.0243549, 0.116486, 0.18216, 0.292871, 0.479222, 0.790208, 1.3111", \ + "0.02544, 0.116896, 0.182362, 0.292901, 0.479223, 0.790209, 1.31111", \ + "0.027989, 0.118046, 0.183032, 0.293234, 0.479258, 0.79021, 1.31112" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.162109, 0.248242, 0.291389, 0.35912, 0.470316, 0.6543, 0.960962", \ + "0.190139, 0.276701, 0.319869, 0.387554, 0.498868, 0.683443, 0.9894", \ + "0.207423, 0.293782, 0.336904, 0.40479, 0.515957, 0.700412, 1.00696", \ + "0.232558, 0.318991, 0.362178, 0.430015, 0.541166, 0.725454, 1.0319", \ + "0.266054, 0.352404, 0.395702, 0.46334, 0.574535, 0.758741, 1.06519", \ + "0.312593, 0.39949, 0.442501, 0.510396, 0.621608, 0.805852, 1.11241", \ + "0.374989, 0.462809, 0.505906, 0.573707, 0.685076, 0.869683, 1.17597" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0306864, 0.106622, 0.156448, 0.240978, 0.38601, 0.630578, 1.03957", \ + "0.030698, 0.106623, 0.156449, 0.241044, 0.38693, 0.631607, 1.03965", \ + "0.0307225, 0.106624, 0.15645, 0.241045, 0.386931, 0.631608, 1.04074", \ + "0.0307235, 0.10665, 0.156451, 0.241137, 0.386932, 0.631609, 1.04075", \ + "0.0308947, 0.106708, 0.156709, 0.241138, 0.386933, 0.63161, 1.04076", \ + "0.031401, 0.1074, 0.156824, 0.241215, 0.386934, 0.631611, 1.04077", \ + "0.033002, 0.108412, 0.157576, 0.241726, 0.386935, 0.631612, 1.04078" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0162761, 0.0167297, 0.0168119, 0.0167483, 0.0165351, 0.0161435, 0.0159767", \ + "0.0161388, 0.0164509, 0.0164989, 0.0168051, 0.0162381, 0.0157742, 0.0155593", \ + "0.0164511, 0.0162923, 0.0164803, 0.0166316, 0.0161893, 0.0159861, 0.0152599", \ + "0.0175554, 0.0168446, 0.016847, 0.0166505, 0.0172022, 0.016177, 0.0168048", \ + "0.0198887, 0.018243, 0.018344, 0.0182523, 0.0177725, 0.0176487, 0.0170776", \ + "0.025365, 0.0222141, 0.0221572, 0.0217953, 0.0218275, 0.0214792, 0.0201863", \ + "0.0362936, 0.0310907, 0.0307984, 0.0300315, 0.029669, 0.0296674, 0.0294521" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0228675, 0.0223424, 0.0218588, 0.0210752, 0.0202294, 0.0194864, 0.0186328", \ + "0.0242874, 0.0243722, 0.0240912, 0.0234608, 0.0227622, 0.0217003, 0.02017", \ + "0.0250359, 0.0245918, 0.0246122, 0.024529, 0.0235709, 0.0222308, 0.020727", \ + "0.0265618, 0.0254611, 0.0254192, 0.0251315, 0.024862, 0.0238361, 0.02326", \ + "0.029742, 0.0273167, 0.0271669, 0.0273091, 0.0268441, 0.0260808, 0.0250695", \ + "0.0357535, 0.0315463, 0.0314522, 0.0312116, 0.0311763, 0.0311027, 0.0290168", \ + "0.0478431, 0.0411639, 0.0402452, 0.0399286, 0.039653, 0.0395786, 0.0394535" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0203383, 0.0201158, 0.0196572, 0.0190645, 0.0183592, 0.0175378, 0.0171748", \ + "0.0225605, 0.0228244, 0.0226229, 0.0226121, 0.0213816, 0.0203497, 0.0192377", \ + "0.0236525, 0.0234316, 0.0235752, 0.0235179, 0.0226056, 0.0215824, 0.0210058", \ + "0.0251203, 0.0242781, 0.0243478, 0.0242964, 0.0241551, 0.0230325, 0.0217794", \ + "0.0279684, 0.0258773, 0.0259776, 0.0260405, 0.0256094, 0.0254009, 0.0242416", \ + "0.0340662, 0.0301907, 0.0299085, 0.0296892, 0.0296974, 0.0295489, 0.0279694", \ + "0.0456445, 0.0395341, 0.0388717, 0.03817, 0.0379823, 0.0378447, 0.0377772" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0179872, 0.0179353, 0.0180671, 0.0180112, 0.0178332, 0.0174453, 0.0166125", \ + "0.0177431, 0.0176313, 0.0175778, 0.0176395, 0.0175749, 0.0172939, 0.016379", \ + "0.018352, 0.0175472, 0.0176687, 0.0181357, 0.0178285, 0.01706, 0.0163221", \ + "0.019921, 0.0183386, 0.0181963, 0.018166, 0.0183254, 0.0179027, 0.0173697", \ + "0.0231288, 0.0201291, 0.0200855, 0.0201337, 0.0197406, 0.0196238, 0.0197108", \ + "0.0291707, 0.0244846, 0.0242361, 0.024009, 0.0238091, 0.0240115, 0.0224028", \ + "0.0411614, 0.0340901, 0.0332709, 0.0324816, 0.0325732, 0.0322723, 0.032224" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(A0 * !A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0169091, 0.0174262, 0.017507, 0.0173522, 0.0170777, 0.0165172, 0.0165285", \ + "0.016909, 0.0175486, 0.017616, 0.0175019, 0.0172719, 0.0167661, 0.0167153", \ + "0.0169685, 0.0174386, 0.0177256, 0.0176093, 0.017242, 0.0167643, 0.0166191", \ + "0.016974, 0.0175237, 0.0174909, 0.0175952, 0.0174341, 0.0170348, 0.0172561", \ + "0.0169359, 0.0173239, 0.0174556, 0.017562, 0.0171081, 0.01748, 0.0164714", \ + "0.0170696, 0.0172115, 0.0174086, 0.0175438, 0.0175481, 0.0169535, 0.0173573", \ + "0.0173143, 0.017144, 0.0170675, 0.0171222, 0.0173878, 0.0173732, 0.0171299" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0180034, 0.0179066, 0.017963, 0.0179631, 0.0177224, 0.0171797, 0.0166398", \ + "0.0178939, 0.0180438, 0.0180678, 0.0180408, 0.0181857, 0.0177444, 0.0167874", \ + "0.0179091, 0.0178241, 0.0178646, 0.0181598, 0.0177757, 0.0173696, 0.0167638", \ + "0.0178494, 0.0179501, 0.0180481, 0.0178411, 0.0178772, 0.0176592, 0.017085", \ + "0.0178958, 0.0178058, 0.018005, 0.018046, 0.0181702, 0.0171491, 0.0178632", \ + "0.0179875, 0.0178928, 0.0178144, 0.0181393, 0.0181926, 0.0182219, 0.0174131", \ + "0.0182577, 0.0177725, 0.0177464, 0.0178972, 0.0177079, 0.0181348, 0.018072" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(!A0 * A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0174002, 0.0180541, 0.0181416, 0.0181306, 0.017916, 0.0174977, 0.0175928", \ + "0.0173743, 0.0179103, 0.0179428, 0.0179995, 0.0177079, 0.0172812, 0.0170338", \ + "0.0175921, 0.017715, 0.0179066, 0.0182096, 0.0177091, 0.0172478, 0.0166768", \ + "0.0182421, 0.0180216, 0.0181612, 0.0180488, 0.0184255, 0.0175708, 0.0175839", \ + "0.0202335, 0.0191364, 0.0193531, 0.0194978, 0.0190891, 0.0191167, 0.0186962", \ + "0.0249177, 0.0225994, 0.0225371, 0.0226218, 0.0228117, 0.0226186, 0.0213806", \ + "0.0349101, 0.0307523, 0.0305273, 0.0301398, 0.0300306, 0.0302107, 0.0301639" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0170943, 0.0172666, 0.017333, 0.0173789, 0.0170252, 0.0167168, 0.0161932", \ + "0.0170775, 0.0172101, 0.0172662, 0.0172326, 0.0171746, 0.0169256, 0.0158846", \ + "0.017336, 0.0169968, 0.0171246, 0.0176385, 0.0171835, 0.0167356, 0.0157921", \ + "0.0180669, 0.0173932, 0.0174144, 0.0173759, 0.0175931, 0.0169247, 0.0169401", \ + "0.0200505, 0.0185059, 0.0185171, 0.0186985, 0.0183572, 0.0180455, 0.0187074", \ + "0.0251061, 0.0220733, 0.0222041, 0.0220615, 0.0221888, 0.0222664, 0.0209295", \ + "0.0354495, 0.030661, 0.0301434, 0.0300973, 0.0298594, 0.0302824, 0.0299366" \ + ); + } + } + internal_power () { + related_pin : "S"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0174002, 0.0180541, 0.0181416, 0.0181306, 0.017916, 0.0174977, 0.0175928", \ + "0.0173743, 0.0179103, 0.0179428, 0.0179995, 0.0177079, 0.0172812, 0.0170338", \ + "0.0175921, 0.017715, 0.0179066, 0.0182096, 0.0177091, 0.0172478, 0.0166768", \ + "0.0182421, 0.0180216, 0.0181612, 0.0180488, 0.0184255, 0.0175708, 0.0175839", \ + "0.0202335, 0.0191364, 0.0193531, 0.0194978, 0.0190891, 0.0191167, 0.0186962", \ + "0.0249177, 0.0225994, 0.0225371, 0.0226218, 0.0228117, 0.0226186, 0.0213806", \ + "0.0349101, 0.0307523, 0.0305273, 0.0301398, 0.0300306, 0.0302107, 0.0301639" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0170943, 0.0172666, 0.017333, 0.0173789, 0.0170252, 0.0167168, 0.0161932", \ + "0.0170775, 0.0172101, 0.0172662, 0.0172326, 0.0171746, 0.0169256, 0.0158846", \ + "0.017336, 0.0169968, 0.0171246, 0.0176385, 0.0171835, 0.0167356, 0.0157921", \ + "0.0180669, 0.0173932, 0.0174144, 0.0173759, 0.0175931, 0.0169247, 0.0169401", \ + "0.0200505, 0.0185059, 0.0185171, 0.0186985, 0.0183572, 0.0180455, 0.0187074", \ + "0.0251061, 0.0220733, 0.0222041, 0.0220615, 0.0221888, 0.0222664, 0.0209295", \ + "0.0354495, 0.030661, 0.0301434, 0.0300973, 0.0298594, 0.0302824, 0.0299366" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00197332; + rise_capacitance : 0.00355318; + rise_capacitance_range (0.00355318, 0.00355318); + fall_capacitance : 0.000393459; + fall_capacitance_range (0.000393459, 0.000393459); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0021153; + rise_capacitance : 0.000631847; + rise_capacitance_range (0.000631847, 0.000631847); + fall_capacitance : 0.00359875; + fall_capacitance_range (0.00359875, 0.00359875); + } + pin (S) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0049726; + rise_capacitance : 0.00504432; + rise_capacitance_range (0.00504432, 0.00504432); + fall_capacitance : 0.00490087; + fall_capacitance_range (0.00490087, 0.00490087); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00464216, 0.00446471, 0.00468279, 0.00536413, 0.00694141, 0.0105106, 0.0181466" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00507863, 0.00492677, 0.0051577, 0.00583167, 0.00757128, 0.0112986, 0.0192428" \ + ); + } + } + } + } + cell (sg13g2_mux4_1) { + area : 38.1024; + cell_footprint : "mux4"; + cell_leakage_power : 3711.46; + leakage_power () { + value : 1583.45; + when : "!S1&!S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 3516.4; + when : "!S1&!S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1706.17; + when : "!S1&!S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 3183.69; + when : "!S1&!S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 3732.36; + when : "!S1&!S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4399.91; + when : "!S1&!S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 3855.08; + when : "!S1&!S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 4067.2; + when : "!S1&!S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 1706.18; + when : "!S1&!S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 3639.14; + when : "!S1&!S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1828.9; + when : "!S1&!S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 3306.43; + when : "!S1&!S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 3399.65; + when : "!S1&!S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4067.19; + when : "!S1&!S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 3522.36; + when : "!S1&!S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 3734.48; + when : "!S1&!S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 2091.97; + when : "!S1&S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 2214.72; + when : "!S1&S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 4024.78; + when : "!S1&S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 3692.08; + when : "!S1&S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 2214.72; + when : "!S1&S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 2337.48; + when : "!S1&S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 4147.52; + when : "!S1&S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 3814.82; + when : "!S1&S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 4240.87; + when : "!S1&S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 4363.62; + when : "!S1&S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 4908.28; + when : "!S1&S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 4575.57; + when : "!S1&S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 3908.17; + when : "!S1&S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4030.92; + when : "!S1&S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 4575.57; + when : "!S1&S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 4242.87; + when : "!S1&S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 2091.83; + when : "S1&!S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 4241.01; + when : "S1&!S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 2214.55; + when : "S1&!S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 3908.3; + when : "S1&!S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 4024.53; + when : "S1&!S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4908.3; + when : "S1&!S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 4147.24; + when : "S1&!S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 4575.59; + when : "S1&!S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 2214.57; + when : "S1&!S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 4363.74; + when : "S1&!S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 2337.29; + when : "S1&!S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 4031.04; + when : "S1&!S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 3691.82; + when : "S1&!S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4575.58; + when : "S1&!S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 3814.53; + when : "S1&!S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 4242.87; + when : "S1&!S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 2600.36; + when : "S1&S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 2723.1; + when : "S1&S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 4749.38; + when : "S1&S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 4416.69; + when : "S1&S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 2723.11; + when : "S1&S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 2845.87; + when : "S1&S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 4872.13; + when : "S1&S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 4539.43; + when : "S1&S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 4533.04; + when : "S1&S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 4655.79; + when : "S1&S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 5416.66; + when : "S1&S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 5083.96; + when : "S1&S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 4200.34; + when : "S1&S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 4323.08; + when : "S1&S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 5083.96; + when : "S1&S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 4751.26; + when : "S1&S0&A3&A2&A1&A0"; + } + pin (X) { + direction : "output"; + function : "(A0*(!S0*!S1))+(A1*(S0*!S1))+(A2*(!S0*S1))+(A3*(S0*S1))"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.136318, 0.220163, 0.267934, 0.344786, 0.47254, 0.684892, 1.03843", \ + "0.164692, 0.248933, 0.296697, 0.373527, 0.50122, 0.714577, 1.06721", \ + "0.18743, 0.272686, 0.320532, 0.39741, 0.525253, 0.73783, 1.09136", \ + "0.223114, 0.310886, 0.358854, 0.435941, 0.563837, 0.776392, 1.12996", \ + "0.273729, 0.366236, 0.414561, 0.491842, 0.619892, 0.832055, 1.18596", \ + "0.343973, 0.446971, 0.497149, 0.575548, 0.703702, 0.915601, 1.26995", \ + "0.435793, 0.556117, 0.609996, 0.691634, 0.82191, 1.03426, 1.3886" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.028663, 0.120056, 0.184721, 0.294324, 0.480313, 0.791782, 1.31116", \ + "0.0291378, 0.120329, 0.184927, 0.295585, 0.48104, 0.792938, 1.31117", \ + "0.0304746, 0.12107, 0.18552, 0.295586, 0.481041, 0.793199, 1.31118", \ + "0.0330413, 0.123092, 0.186792, 0.295587, 0.481042, 0.7932, 1.31119", \ + "0.038738, 0.127743, 0.189875, 0.297272, 0.48173, 0.793201, 1.31121", \ + "0.048771, 0.137226, 0.196905, 0.301893, 0.483954, 0.793597, 1.31215", \ + "0.06409, 0.156325, 0.212504, 0.313806, 0.492387, 0.798571, 1.31522" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.156269, 0.244047, 0.288519, 0.357474, 0.46858, 0.652631, 0.958179", \ + "0.183465, 0.27106, 0.315848, 0.384738, 0.496186, 0.679988, 0.985477", \ + "0.205273, 0.293675, 0.338473, 0.407446, 0.5189, 0.702706, 1.00834", \ + "0.239077, 0.329688, 0.3751, 0.444603, 0.556175, 0.740064, 1.04581", \ + "0.286431, 0.382211, 0.428992, 0.499446, 0.611359, 0.795503, 1.10122", \ + "0.352144, 0.457375, 0.506084, 0.578192, 0.691303, 0.875544, 1.18161", \ + "0.440891, 0.560591, 0.613952, 0.689929, 0.807194, 0.992611, 1.2991" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0341935, 0.110113, 0.158826, 0.241831, 0.384387, 0.627036, 1.03528", \ + "0.0342164, 0.110114, 0.158827, 0.242056, 0.384404, 0.627037, 1.03529", \ + "0.0353632, 0.110531, 0.159497, 0.242323, 0.385011, 0.627263, 1.0353", \ + "0.0381689, 0.113013, 0.161627, 0.24364, 0.385984, 0.627847, 1.03536", \ + "0.0440636, 0.118881, 0.166856, 0.247408, 0.387757, 0.628913, 1.03537", \ + "0.054009, 0.128924, 0.175587, 0.253987, 0.392183, 0.631005, 1.03626", \ + "0.070291, 0.149002, 0.193926, 0.270113, 0.404431, 0.638411, 1.04049" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.133298, 0.21681, 0.264491, 0.34118, 0.469022, 0.681163, 1.03488", \ + "0.162732, 0.246727, 0.294419, 0.371165, 0.49884, 0.711336, 1.06492", \ + "0.185662, 0.270665, 0.318473, 0.395335, 0.523066, 0.73539, 1.08915", \ + "0.221321, 0.308746, 0.356832, 0.433928, 0.561778, 0.774188, 1.12765", \ + "0.271392, 0.363804, 0.412562, 0.489865, 0.617627, 0.830121, 1.1838", \ + "0.339765, 0.443427, 0.493799, 0.572159, 0.70021, 0.912609, 1.26609", \ + "0.431513, 0.552779, 0.608216, 0.688438, 0.818604, 1.03143, 1.38582" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0283481, 0.11975, 0.184519, 0.294231, 0.480099, 0.791669, 1.31105", \ + "0.0287742, 0.119946, 0.184673, 0.295072, 0.481456, 0.79167, 1.31106", \ + "0.0301871, 0.120781, 0.185309, 0.295073, 0.481457, 0.796619, 1.31149", \ + "0.0329789, 0.122956, 0.186513, 0.295373, 0.481458, 0.79662, 1.31193", \ + "0.0387594, 0.127179, 0.18982, 0.297164, 0.481616, 0.796621, 1.31194", \ + "0.049056, 0.137658, 0.19726, 0.301998, 0.483965, 0.796622, 1.31219", \ + "0.064345, 0.15721, 0.21461, 0.314043, 0.492557, 0.798677, 1.31549" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.159229, 0.247092, 0.292051, 0.3606, 0.471748, 0.6559, 0.961615", \ + "0.184582, 0.272366, 0.317228, 0.386127, 0.497491, 0.681369, 0.987104", \ + "0.205693, 0.294215, 0.339125, 0.408221, 0.519621, 0.703375, 1.00914", \ + "0.239342, 0.329939, 0.375567, 0.445022, 0.556657, 0.740527, 1.04638", \ + "0.28725, 0.382729, 0.429436, 0.499891, 0.611696, 0.795851, 1.10198", \ + "0.354047, 0.4583, 0.506756, 0.578413, 0.691278, 0.875878, 1.1821", \ + "0.443427, 0.559894, 0.613341, 0.690409, 0.806789, 0.993262, 1.29891" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.03438, 0.110299, 0.159296, 0.242043, 0.384646, 0.627187, 1.03544", \ + "0.034381, 0.1103, 0.159297, 0.242044, 0.384795, 0.627188, 1.03545", \ + "0.0355, 0.110661, 0.159646, 0.242522, 0.385176, 0.627316, 1.03546", \ + "0.0382297, 0.113285, 0.161818, 0.243724, 0.386051, 0.628026, 1.03756", \ + "0.0439809, 0.118915, 0.166701, 0.247564, 0.38801, 0.628668, 1.03757", \ + "0.053552, 0.128685, 0.175046, 0.253531, 0.392057, 0.631081, 1.03758", \ + "0.069619, 0.148197, 0.193738, 0.269671, 0.403485, 0.638411, 1.04071" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.143333, 0.228491, 0.27636, 0.353474, 0.480963, 0.693233, 1.04666", \ + "0.171399, 0.256802, 0.304727, 0.381714, 0.509502, 0.721926, 1.07582", \ + "0.194691, 0.281279, 0.329186, 0.406311, 0.534193, 0.746603, 1.1001", \ + "0.231732, 0.320865, 0.369138, 0.446382, 0.574223, 0.78659, 1.14027", \ + "0.284419, 0.378731, 0.427395, 0.504936, 0.632556, 0.84529, 1.19908", \ + "0.356092, 0.461564, 0.512095, 0.590389, 0.719062, 0.930582, 1.28424", \ + "0.454203, 0.57718, 0.632919, 0.714356, 0.845038, 1.05849, 1.41184" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0294934, 0.120851, 0.185338, 0.29447, 0.480309, 0.791679, 1.31087", \ + "0.0299267, 0.121032, 0.185454, 0.295657, 0.480341, 0.791835, 1.31099", \ + "0.0312317, 0.121881, 0.185965, 0.295658, 0.480459, 0.792936, 1.311", \ + "0.0339958, 0.124016, 0.187541, 0.2958, 0.480797, 0.792937, 1.31224", \ + "0.0397541, 0.128984, 0.190772, 0.297724, 0.481805, 0.792938, 1.31225", \ + "0.050171, 0.139264, 0.198556, 0.302666, 0.484215, 0.793652, 1.31226", \ + "0.065642, 0.158546, 0.215525, 0.315077, 0.492914, 0.798277, 1.31511" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.167699, 0.256992, 0.302154, 0.371623, 0.483072, 0.667194, 0.972648", \ + "0.194306, 0.283603, 0.328989, 0.398536, 0.510186, 0.694122, 0.999638", \ + "0.216405, 0.306315, 0.351852, 0.421423, 0.533135, 0.717017, 1.02276", \ + "0.251598, 0.343863, 0.389843, 0.459606, 0.571645, 0.755681, 1.06132", \ + "0.301785, 0.398901, 0.446143, 0.516735, 0.629485, 0.81371, 1.11946", \ + "0.370605, 0.4764, 0.525832, 0.598919, 0.711908, 0.896518, 1.2024", \ + "0.464778, 0.5858, 0.639435, 0.71731, 0.833674, 1.01882, 1.32547" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.035455, 0.112156, 0.160715, 0.2433, 0.385202, 0.627368, 1.03462", \ + "0.035456, 0.112157, 0.160716, 0.243301, 0.385366, 0.627752, 1.03466", \ + "0.0364255, 0.112455, 0.161226, 0.24377, 0.385696, 0.627753, 1.03467", \ + "0.0391918, 0.115164, 0.163496, 0.245062, 0.386882, 0.628211, 1.03538", \ + "0.0450595, 0.120792, 0.168676, 0.24894, 0.388934, 0.629101, 1.03578", \ + "0.054903, 0.130471, 0.17736, 0.256155, 0.393459, 0.631945, 1.03648", \ + "0.071281, 0.150483, 0.195651, 0.272616, 0.40559, 0.638998, 1.04055" \ + ); + } + } + timing () { + related_pin : "A3"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.138787, 0.223549, 0.27161, 0.348532, 0.476274, 0.68837, 1.04217", \ + "0.168859, 0.25411, 0.301971, 0.378935, 0.506606, 0.719767, 1.07264", \ + "0.192544, 0.278974, 0.326861, 0.40393, 0.531768, 0.744321, 1.09789", \ + "0.229964, 0.318927, 0.367117, 0.444252, 0.572206, 0.784588, 1.13823", \ + "0.282729, 0.377067, 0.426532, 0.503105, 0.631044, 0.843553, 1.19714", \ + "0.355725, 0.461053, 0.512633, 0.59019, 0.717773, 0.930629, 1.28429", \ + "0.451046, 0.574119, 0.629732, 0.710815, 0.841469, 1.05398, 1.40817" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0292101, 0.120828, 0.185093, 0.294354, 0.480148, 0.791635, 1.31103", \ + "0.0296703, 0.120859, 0.185249, 0.294653, 0.480357, 0.79245, 1.31104", \ + "0.031023, 0.121668, 0.185807, 0.294806, 0.480458, 0.792604, 1.31162", \ + "0.0338475, 0.123828, 0.187322, 0.295628, 0.480716, 0.792605, 1.31163", \ + "0.0397257, 0.128891, 0.191151, 0.297675, 0.481661, 0.792606, 1.31164", \ + "0.050145, 0.139063, 0.19964, 0.302601, 0.483926, 0.79328, 1.31189", \ + "0.065817, 0.15903, 0.215731, 0.315108, 0.492882, 0.798463, 1.31507" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.168719, 0.258052, 0.303171, 0.372675, 0.484119, 0.668164, 0.97358", \ + "0.194486, 0.28378, 0.329182, 0.398682, 0.510259, 0.694228, 0.999727", \ + "0.216176, 0.306029, 0.351554, 0.421163, 0.532931, 0.716685, 1.02224", \ + "0.250861, 0.343338, 0.389047, 0.459083, 0.570963, 0.75493, 1.06075", \ + "0.300952, 0.397814, 0.445286, 0.515909, 0.628588, 0.812796, 1.11852", \ + "0.370584, 0.476518, 0.525274, 0.597991, 0.711218, 0.895895, 1.20189", \ + "0.463642, 0.58435, 0.638076, 0.715667, 0.830805, 1.01775, 1.32411" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0353886, 0.112125, 0.160743, 0.243355, 0.385159, 0.627373, 1.03414", \ + "0.0354201, 0.112126, 0.160744, 0.243356, 0.385221, 0.627763, 1.03487", \ + "0.0365147, 0.112459, 0.161197, 0.24375, 0.385845, 0.627764, 1.03488", \ + "0.0392302, 0.115284, 0.163407, 0.245023, 0.386804, 0.627836, 1.035", \ + "0.0449587, 0.120356, 0.168636, 0.248983, 0.388941, 0.629208, 1.03601", \ + "0.054728, 0.130645, 0.17671, 0.255681, 0.393486, 0.631786, 1.03639", \ + "0.070947, 0.150499, 0.195747, 0.272521, 0.405148, 0.638946, 1.04054" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A2 == 1'b0 & A3 == 1'b1 & S1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A2 * A3 * S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.121023, 0.206169, 0.254065, 0.330944, 0.458633, 0.671019, 1.02425", \ + "0.154563, 0.240077, 0.288036, 0.365029, 0.492742, 0.705234, 1.05876", \ + "0.179277, 0.266658, 0.314764, 0.391847, 0.519635, 0.732084, 1.08566", \ + "0.21576, 0.306921, 0.355455, 0.432773, 0.560751, 0.773322, 1.12667", \ + "0.265168, 0.36415, 0.413363, 0.491164, 0.618851, 0.831463, 1.18544", \ + "0.330143, 0.44477, 0.496512, 0.575873, 0.70424, 0.916591, 1.26986", \ + "0.411417, 0.548479, 0.607206, 0.690224, 0.821366, 1.0351, 1.38837" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0291015, 0.120842, 0.185049, 0.294384, 0.48027, 0.791638, 1.31066", \ + "0.0302053, 0.120972, 0.185383, 0.295061, 0.480531, 0.791639, 1.31121", \ + "0.0325537, 0.122397, 0.186112, 0.295062, 0.480532, 0.79173, 1.3115", \ + "0.0375242, 0.125512, 0.188351, 0.296284, 0.481031, 0.791889, 1.31151", \ + "0.0464771, 0.131738, 0.192406, 0.298792, 0.482337, 0.79258, 1.31152", \ + "0.0605, 0.147301, 0.203614, 0.305364, 0.485483, 0.79383, 1.31266", \ + "0.080082, 0.174673, 0.227922, 0.322923, 0.497131, 0.800503, 1.3159" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.145512, 0.234914, 0.28069, 0.3497, 0.460861, 0.644899, 0.950628", \ + "0.176048, 0.265424, 0.310859, 0.380129, 0.491893, 0.675795, 0.981417", \ + "0.201957, 0.292699, 0.338448, 0.407832, 0.519583, 0.703411, 1.00912", \ + "0.240976, 0.335178, 0.381335, 0.451641, 0.563721, 0.747841, 1.05362", \ + "0.296323, 0.397091, 0.444639, 0.515779, 0.628631, 0.813167, 1.11941", \ + "0.371335, 0.483739, 0.533647, 0.607207, 0.720129, 0.904904, 1.21112", \ + "0.468682, 0.601093, 0.657157, 0.736185, 0.85227, 1.03826, 1.3452" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0353779, 0.111349, 0.160995, 0.243304, 0.385445, 0.627389, 1.03442", \ + "0.0357325, 0.111801, 0.160996, 0.24394, 0.385446, 0.627601, 1.03541", \ + "0.037824, 0.113115, 0.162008, 0.243941, 0.385953, 0.627602, 1.03542", \ + "0.0424724, 0.117047, 0.164966, 0.246213, 0.387199, 0.628431, 1.03543", \ + "0.0516138, 0.124367, 0.17121, 0.250889, 0.39026, 0.630036, 1.0363", \ + "0.06525, 0.137232, 0.181824, 0.258947, 0.394984, 0.633069, 1.03784", \ + "0.08736, 0.164649, 0.206283, 0.279214, 0.409239, 0.640535, 1.04183" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1 & S1 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1 * !S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.11329, 0.197206, 0.244614, 0.321483, 0.449275, 0.661479, 1.01519", \ + "0.145512, 0.229808, 0.277393, 0.354265, 0.482062, 0.694547, 1.04844", \ + "0.168456, 0.254568, 0.302327, 0.37929, 0.507271, 0.719644, 1.07306", \ + "0.202499, 0.292031, 0.340296, 0.417734, 0.545509, 0.758102, 1.11121", \ + "0.248244, 0.345577, 0.394639, 0.471664, 0.599405, 0.81229, 1.16594", \ + "0.308507, 0.420651, 0.471895, 0.550959, 0.678343, 0.891669, 1.24428", \ + "0.384254, 0.518232, 0.575838, 0.658261, 0.788241, 1.00301, 1.35582" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0281254, 0.119746, 0.184473, 0.294255, 0.480085, 0.791626, 1.31104", \ + "0.0293542, 0.12009, 0.184711, 0.294256, 0.48067, 0.791627, 1.31147", \ + "0.0316027, 0.121614, 0.185572, 0.294813, 0.480671, 0.795122, 1.31159", \ + "0.036202, 0.12434, 0.18747, 0.295966, 0.481153, 0.795123, 1.31525", \ + "0.0452968, 0.130484, 0.191424, 0.298115, 0.482161, 0.795124, 1.31526", \ + "0.059069, 0.144467, 0.201679, 0.304377, 0.484918, 0.795125, 1.31527", \ + "0.078012, 0.171615, 0.225261, 0.321505, 0.496003, 0.800601, 1.31635" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.13163, 0.21938, 0.2641, 0.333074, 0.444135, 0.627885, 0.933276", \ + "0.161718, 0.249719, 0.294498, 0.363427, 0.474679, 0.658436, 0.964126", \ + "0.186374, 0.275684, 0.320673, 0.389809, 0.501301, 0.685095, 0.990599", \ + "0.22285, 0.315622, 0.361404, 0.431105, 0.542994, 0.726927, 1.03287", \ + "0.274383, 0.373626, 0.420656, 0.491425, 0.603577, 0.788007, 1.09382", \ + "0.345357, 0.456015, 0.505122, 0.577591, 0.690243, 0.874984, 1.18137", \ + "0.436759, 0.567185, 0.622437, 0.699722, 0.815517, 1.00191, 1.30882" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0339495, 0.109501, 0.158808, 0.241786, 0.384437, 0.627058, 1.03528", \ + "0.0347668, 0.11009, 0.158927, 0.242531, 0.384661, 0.627059, 1.03529", \ + "0.0369036, 0.111344, 0.160006, 0.242633, 0.384906, 0.627242, 1.0353", \ + "0.0414554, 0.115145, 0.163258, 0.244966, 0.38649, 0.627936, 1.03531", \ + "0.0505458, 0.122275, 0.16925, 0.249217, 0.389222, 0.629687, 1.03604", \ + "0.06388, 0.135072, 0.179102, 0.256529, 0.393709, 0.632751, 1.03766", \ + "0.085646, 0.162195, 0.203921, 0.276417, 0.407006, 0.640078, 1.04239" \ + ); + } + } + timing () { + related_pin : "S0"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.121023, 0.206169, 0.254065, 0.330944, 0.458633, 0.671019, 1.02425", \ + "0.154563, 0.240077, 0.288036, 0.365029, 0.492742, 0.705234, 1.05876", \ + "0.179277, 0.266658, 0.314764, 0.391847, 0.519635, 0.732084, 1.08566", \ + "0.21576, 0.306921, 0.355455, 0.432773, 0.560751, 0.773322, 1.12667", \ + "0.265168, 0.36415, 0.413363, 0.491164, 0.618851, 0.831463, 1.18544", \ + "0.330143, 0.44477, 0.496512, 0.575873, 0.70424, 0.916591, 1.26986", \ + "0.411417, 0.548479, 0.607206, 0.690224, 0.821366, 1.0351, 1.38837" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0291015, 0.120842, 0.185049, 0.294384, 0.48027, 0.791638, 1.31066", \ + "0.0302053, 0.120972, 0.185383, 0.295061, 0.480531, 0.791639, 1.31121", \ + "0.0325537, 0.122397, 0.186112, 0.295062, 0.480532, 0.79173, 1.3115", \ + "0.0375242, 0.125512, 0.188351, 0.296284, 0.481031, 0.791889, 1.31151", \ + "0.0464771, 0.131738, 0.192406, 0.298792, 0.482337, 0.79258, 1.31152", \ + "0.0605, 0.147301, 0.203614, 0.305364, 0.485483, 0.79383, 1.31266", \ + "0.080082, 0.174673, 0.227922, 0.322923, 0.497131, 0.800503, 1.3159" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.145512, 0.234914, 0.28069, 0.3497, 0.460861, 0.644899, 0.950628", \ + "0.176048, 0.265424, 0.310859, 0.380129, 0.491893, 0.675795, 0.981417", \ + "0.201957, 0.292699, 0.338448, 0.407832, 0.519583, 0.703411, 1.00912", \ + "0.240976, 0.335178, 0.381335, 0.451641, 0.563721, 0.747841, 1.05362", \ + "0.296323, 0.397091, 0.444639, 0.515779, 0.628631, 0.813167, 1.11941", \ + "0.371335, 0.483739, 0.533647, 0.607207, 0.720129, 0.904904, 1.21112", \ + "0.468682, 0.601093, 0.657157, 0.736185, 0.85227, 1.03826, 1.3452" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0353779, 0.111349, 0.160995, 0.243304, 0.385445, 0.627389, 1.03442", \ + "0.0357325, 0.111801, 0.160996, 0.24394, 0.385446, 0.627601, 1.03541", \ + "0.037824, 0.113115, 0.162008, 0.243941, 0.385953, 0.627602, 1.03542", \ + "0.0424724, 0.117047, 0.164966, 0.246213, 0.387199, 0.628431, 1.03543", \ + "0.0516138, 0.124367, 0.17121, 0.250889, 0.39026, 0.630036, 1.0363", \ + "0.06525, 0.137232, 0.181824, 0.258947, 0.394984, 0.633069, 1.03784", \ + "0.08736, 0.164649, 0.206283, 0.279214, 0.409239, 0.640535, 1.04183" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A2 == 1'b1 & A3 == 1'b0 & S1 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A2 * !A3 * S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.176369, 0.261769, 0.30971, 0.386556, 0.514529, 0.726801, 1.08064", \ + "0.208987, 0.294386, 0.342331, 0.419269, 0.547142, 0.759464, 1.11348", \ + "0.231983, 0.317145, 0.365066, 0.442053, 0.569899, 0.783075, 1.13603", \ + "0.264768, 0.349867, 0.397739, 0.474684, 0.6024, 0.814723, 1.16817", \ + "0.310632, 0.396081, 0.443791, 0.520615, 0.648352, 0.860632, 1.21399", \ + "0.373917, 0.460001, 0.50796, 0.584895, 0.712723, 0.924978, 1.27826", \ + "0.453694, 0.542186, 0.590343, 0.667729, 0.796035, 1.00869, 1.36223" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0297895, 0.120924, 0.185342, 0.2945, 0.480222, 0.791619, 1.31106", \ + "0.0297905, 0.120934, 0.185343, 0.29456, 0.480223, 0.79162, 1.31107", \ + "0.0297915, 0.120935, 0.185344, 0.29466, 0.482168, 0.792671, 1.31118", \ + "0.0297925, 0.120936, 0.185345, 0.294661, 0.482169, 0.792672, 1.31491", \ + "0.0302097, 0.121144, 0.185346, 0.294662, 0.48217, 0.792673, 1.31492", \ + "0.031416, 0.121606, 0.185752, 0.294663, 0.482171, 0.792674, 1.31493", \ + "0.034423, 0.124029, 0.187459, 0.296155, 0.482172, 0.792675, 1.31494" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.194104, 0.283359, 0.328723, 0.39826, 0.510031, 0.693889, 0.999411", \ + "0.227986, 0.317526, 0.36274, 0.432144, 0.543708, 0.727684, 1.0332", \ + "0.248842, 0.337806, 0.383081, 0.452434, 0.564082, 0.748054, 1.05369", \ + "0.279462, 0.368596, 0.413946, 0.482877, 0.594366, 0.778104, 1.08391", \ + "0.321813, 0.411082, 0.456092, 0.525314, 0.636906, 0.820409, 1.12576", \ + "0.382157, 0.471936, 0.51709, 0.58652, 0.698134, 0.882126, 1.18731", \ + "0.464321, 0.555926, 0.601838, 0.671788, 0.784531, 0.969501, 1.27556" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0354143, 0.111521, 0.160827, 0.243321, 0.385488, 0.627355, 1.03442", \ + "0.0354153, 0.111893, 0.160828, 0.24337, 0.385489, 0.627356, 1.03447", \ + "0.0354163, 0.111894, 0.160829, 0.243371, 0.385688, 0.62808, 1.03511", \ + "0.0354173, 0.111895, 0.16083, 0.243372, 0.385689, 0.628081, 1.03512", \ + "0.0354302, 0.111896, 0.160831, 0.243373, 0.38569, 0.628082, 1.03513", \ + "0.036466, 0.112478, 0.160832, 0.243374, 0.385691, 0.628083, 1.03514", \ + "0.039154, 0.114555, 0.163411, 0.245625, 0.388192, 0.629823, 1.03629" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0 & S1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1 * !S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.170547, 0.25468, 0.302404, 0.379223, 0.507007, 0.719501, 1.07334", \ + "0.202857, 0.286957, 0.334759, 0.411581, 0.539403, 0.751642, 1.10523", \ + "0.225341, 0.309315, 0.357172, 0.433996, 0.561818, 0.774125, 1.12885", \ + "0.257514, 0.341481, 0.389226, 0.466112, 0.593822, 0.806246, 1.15972", \ + "0.302379, 0.386567, 0.434311, 0.511148, 0.638812, 0.851254, 1.20469", \ + "0.363603, 0.448695, 0.496523, 0.573396, 0.701341, 0.913799, 1.26736", \ + "0.441026, 0.528888, 0.576774, 0.654138, 0.782581, 0.995369, 1.34918" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0290705, 0.12021, 0.184846, 0.294285, 0.480254, 0.791777, 1.31116", \ + "0.0290715, 0.120211, 0.184847, 0.295085, 0.480255, 0.791778, 1.3115", \ + "0.0290725, 0.120212, 0.184889, 0.295086, 0.481863, 0.791779, 1.31204", \ + "0.0290735, 0.120213, 0.18489, 0.295087, 0.481864, 0.791837, 1.31205", \ + "0.0296293, 0.120324, 0.184916, 0.295088, 0.481865, 0.791838, 1.31206", \ + "0.030996, 0.121058, 0.185335, 0.295089, 0.481866, 0.791839, 1.31207", \ + "0.034243, 0.12368, 0.187311, 0.296339, 0.481913, 0.792748, 1.31208" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.183041, 0.270762, 0.315591, 0.384576, 0.495864, 0.679708, 0.985479", \ + "0.216454, 0.304216, 0.34908, 0.418156, 0.529516, 0.713577, 1.01883", \ + "0.237119, 0.324753, 0.369645, 0.438442, 0.549845, 0.733725, 1.03949", \ + "0.267697, 0.355181, 0.400296, 0.468653, 0.580067, 0.763903, 1.06952", \ + "0.309369, 0.397282, 0.44182, 0.51064, 0.621933, 0.805504, 1.1111", \ + "0.367918, 0.456646, 0.501695, 0.570359, 0.681812, 0.865613, 1.17107", \ + "0.4475, 0.537777, 0.583765, 0.653277, 0.765947, 0.951376, 1.25741" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0345573, 0.109861, 0.158986, 0.241995, 0.384525, 0.627232, 1.03542", \ + "0.0345596, 0.110013, 0.158999, 0.241996, 0.384736, 0.627806, 1.03543", \ + "0.0345606, 0.110014, 0.159066, 0.242145, 0.384821, 0.627807, 1.03544", \ + "0.0345616, 0.110015, 0.159142, 0.242146, 0.384822, 0.627808, 1.03545", \ + "0.0346853, 0.110297, 0.159143, 0.242147, 0.384823, 0.627809, 1.03546", \ + "0.035738, 0.111076, 0.159889, 0.242273, 0.384824, 0.62781, 1.03547", \ + "0.038818, 0.112907, 0.162428, 0.245082, 0.388225, 0.630055, 1.03677" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A1 == 1'b0 & A3 == 1'b1 & S0 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A3 * S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0695261, 0.153937, 0.20129, 0.277992, 0.405352, 0.61764, 0.971137", \ + "0.0972278, 0.181496, 0.229304, 0.306115, 0.433738, 0.647999, 0.999253", \ + "0.114146, 0.19924, 0.24738, 0.324542, 0.452162, 0.664319, 1.01803", \ + "0.137238, 0.225342, 0.2736, 0.350837, 0.478887, 0.691123, 1.04453", \ + "0.165907, 0.261523, 0.309625, 0.387051, 0.514987, 0.727783, 1.08128", \ + "0.204252, 0.311259, 0.361027, 0.440198, 0.568191, 0.781032, 1.13503", \ + "0.25229, 0.375122, 0.430518, 0.511306, 0.6429, 0.858802, 1.21481" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0255356, 0.119364, 0.184211, 0.293853, 0.479911, 0.791387, 1.31073", \ + "0.0272536, 0.120208, 0.184846, 0.294314, 0.480059, 0.793712, 1.31074", \ + "0.0302022, 0.121409, 0.186111, 0.29514, 0.480413, 0.793713, 1.31077", \ + "0.0356243, 0.123452, 0.187588, 0.296534, 0.481742, 0.793714, 1.3138", \ + "0.0433834, 0.129805, 0.191102, 0.298583, 0.483138, 0.793864, 1.31381", \ + "0.053985, 0.142484, 0.20099, 0.305646, 0.48736, 0.796097, 1.3139", \ + "0.072343, 0.165229, 0.222048, 0.322304, 0.501648, 0.807445, 1.32169" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0833554, 0.169716, 0.214008, 0.282823, 0.39365, 0.57733, 0.882946", \ + "0.109715, 0.195959, 0.240509, 0.309096, 0.420152, 0.603775, 0.90959", \ + "0.128466, 0.215506, 0.260488, 0.329549, 0.44091, 0.624633, 0.929894", \ + "0.155377, 0.244338, 0.289672, 0.359462, 0.471557, 0.65569, 0.961138", \ + "0.19225, 0.288229, 0.334297, 0.403747, 0.516462, 0.70131, 1.00756", \ + "0.248844, 0.355869, 0.404388, 0.474577, 0.587954, 0.772052, 1.07905", \ + "0.322314, 0.448689, 0.502526, 0.577842, 0.695083, 0.881643, 1.18937" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0296551, 0.10757, 0.157818, 0.240867, 0.383899, 0.626666, 1.03405", \ + "0.0302978, 0.108189, 0.157819, 0.241104, 0.3839, 0.626918, 1.03459", \ + "0.0330858, 0.110151, 0.159519, 0.242414, 0.385028, 0.628002, 1.03494", \ + "0.0387141, 0.112828, 0.162225, 0.245096, 0.387264, 0.628512, 1.03495", \ + "0.0484791, 0.119545, 0.166929, 0.248373, 0.390269, 0.631639, 1.03746", \ + "0.062121, 0.132952, 0.178096, 0.255388, 0.394315, 0.634502, 1.03998", \ + "0.08465, 0.162402, 0.204109, 0.277366, 0.411342, 0.645158, 1.04638" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A0 == 1'b0 & A2 == 1'b1 & S0 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A2 * !S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0693698, 0.153763, 0.20113, 0.27789, 0.405325, 0.617504, 0.970965", \ + "0.0972112, 0.181444, 0.229229, 0.306057, 0.433641, 0.645725, 0.999209", \ + "0.114188, 0.199151, 0.247354, 0.324572, 0.452175, 0.664239, 1.01777", \ + "0.137105, 0.225257, 0.273486, 0.350522, 0.47878, 0.691044, 1.04444", \ + "0.165973, 0.261656, 0.309922, 0.386791, 0.514692, 0.727897, 1.08109", \ + "0.204413, 0.311396, 0.361662, 0.44045, 0.56846, 0.781711, 1.13531", \ + "0.252395, 0.375254, 0.430465, 0.511611, 0.643119, 0.859063, 1.21452" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0254799, 0.119434, 0.184216, 0.293889, 0.479877, 0.791386, 1.31073", \ + "0.0272355, 0.120156, 0.184893, 0.294329, 0.48007, 0.791418, 1.31074", \ + "0.0301735, 0.1213, 0.186116, 0.295159, 0.480527, 0.791419, 1.31126", \ + "0.0356665, 0.123434, 0.187592, 0.296649, 0.481691, 0.79238, 1.31812", \ + "0.0433195, 0.129798, 0.191278, 0.298419, 0.48305, 0.793859, 1.31813", \ + "0.05401, 0.142431, 0.201395, 0.3056, 0.487328, 0.795972, 1.31814", \ + "0.07239, 0.165319, 0.222052, 0.322402, 0.501742, 0.807399, 1.32169" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0831935, 0.169621, 0.214496, 0.282758, 0.393691, 0.57727, 0.882696", \ + "0.109543, 0.195882, 0.2403, 0.308979, 0.420113, 0.603669, 0.909534", \ + "0.128215, 0.215343, 0.260204, 0.329242, 0.440686, 0.624471, 0.92981", \ + "0.155384, 0.244315, 0.289645, 0.35936, 0.471588, 0.655806, 0.961158", \ + "0.192769, 0.287941, 0.334009, 0.403505, 0.516232, 0.701036, 1.00736", \ + "0.248596, 0.35546, 0.403139, 0.4745, 0.587566, 0.771679, 1.07879", \ + "0.322141, 0.448517, 0.501499, 0.577372, 0.694469, 0.881601, 1.18901" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0296361, 0.107696, 0.157616, 0.240924, 0.383976, 0.626739, 1.03401", \ + "0.0303025, 0.108213, 0.157696, 0.241195, 0.384253, 0.626901, 1.03452", \ + "0.03302, 0.110246, 0.159583, 0.242615, 0.384888, 0.628007, 1.0346", \ + "0.0386363, 0.112974, 0.162261, 0.245307, 0.387525, 0.628507, 1.03461", \ + "0.0483136, 0.119556, 0.166915, 0.24852, 0.390209, 0.631513, 1.03694", \ + "0.062072, 0.133018, 0.177416, 0.255672, 0.394472, 0.634314, 1.03978", \ + "0.084524, 0.162202, 0.203479, 0.27778, 0.411483, 0.645527, 1.04691" \ + ); + } + } + timing () { + related_pin : "S1"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0695261, 0.153937, 0.20129, 0.277992, 0.405352, 0.61764, 0.971137", \ + "0.0972278, 0.181496, 0.229304, 0.306115, 0.433738, 0.647999, 0.999253", \ + "0.114146, 0.19924, 0.24738, 0.324542, 0.452162, 0.664319, 1.01803", \ + "0.137238, 0.225342, 0.2736, 0.350837, 0.478887, 0.691123, 1.04453", \ + "0.165907, 0.261523, 0.309625, 0.387051, 0.514987, 0.727783, 1.08128", \ + "0.204252, 0.311259, 0.361027, 0.440198, 0.568191, 0.781032, 1.13503", \ + "0.25229, 0.375122, 0.430518, 0.511306, 0.6429, 0.858802, 1.21481" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0255356, 0.119364, 0.184211, 0.293853, 0.479911, 0.791387, 1.31073", \ + "0.0272536, 0.120208, 0.184846, 0.294314, 0.480059, 0.793712, 1.31074", \ + "0.0302022, 0.121409, 0.186111, 0.29514, 0.480413, 0.793713, 1.31077", \ + "0.0356243, 0.123452, 0.187588, 0.296534, 0.481742, 0.793714, 1.3138", \ + "0.0433834, 0.129805, 0.191102, 0.298583, 0.483138, 0.793864, 1.31381", \ + "0.053985, 0.142484, 0.20099, 0.305646, 0.48736, 0.796097, 1.3139", \ + "0.072343, 0.165229, 0.222048, 0.322304, 0.501648, 0.807445, 1.32169" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0833554, 0.169716, 0.214008, 0.282823, 0.39365, 0.57733, 0.882946", \ + "0.109715, 0.195959, 0.240509, 0.309096, 0.420152, 0.603775, 0.90959", \ + "0.128466, 0.215506, 0.260488, 0.329549, 0.44091, 0.624633, 0.929894", \ + "0.155377, 0.244338, 0.289672, 0.359462, 0.471557, 0.65569, 0.961138", \ + "0.19225, 0.288229, 0.334297, 0.403747, 0.516462, 0.70131, 1.00756", \ + "0.248844, 0.355869, 0.404388, 0.474577, 0.587954, 0.772052, 1.07905", \ + "0.322314, 0.448689, 0.502526, 0.577842, 0.695083, 0.881643, 1.18937" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0296551, 0.10757, 0.157818, 0.240867, 0.383899, 0.626666, 1.03405", \ + "0.0302978, 0.108189, 0.157819, 0.241104, 0.3839, 0.626918, 1.03459", \ + "0.0330858, 0.110151, 0.159519, 0.242414, 0.385028, 0.628002, 1.03494", \ + "0.0387141, 0.112828, 0.162225, 0.245096, 0.387264, 0.628512, 1.03495", \ + "0.0484791, 0.119545, 0.166929, 0.248373, 0.390269, 0.631639, 1.03746", \ + "0.062121, 0.132952, 0.178096, 0.255388, 0.394315, 0.634502, 1.03998", \ + "0.08465, 0.162402, 0.204109, 0.277366, 0.411342, 0.645158, 1.04638" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A1 == 1'b1 & A3 == 1'b0 & S0 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A3 * S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0928335, 0.175945, 0.223337, 0.300077, 0.427734, 0.639885, 0.993583", \ + "0.122988, 0.205347, 0.252677, 0.329537, 0.457028, 0.670148, 1.0226", \ + "0.142647, 0.224384, 0.27157, 0.348184, 0.475685, 0.688021, 1.04133", \ + "0.168222, 0.250032, 0.297068, 0.373211, 0.500329, 0.712306, 1.06575", \ + "0.200041, 0.282334, 0.329402, 0.405793, 0.532635, 0.744194, 1.09714", \ + "0.245426, 0.328603, 0.376054, 0.452888, 0.580752, 0.792532, 1.14504", \ + "0.295136, 0.38088, 0.428936, 0.507531, 0.637886, 0.852147, 1.20636" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0270879, 0.11904, 0.184254, 0.293851, 0.479849, 0.791382, 1.31076", \ + "0.0270889, 0.119041, 0.184255, 0.294904, 0.479941, 0.792073, 1.31121", \ + "0.0270899, 0.119042, 0.184256, 0.294905, 0.479942, 0.792074, 1.31122", \ + "0.0270909, 0.119043, 0.184257, 0.294906, 0.479943, 0.792075, 1.31123", \ + "0.0272889, 0.119044, 0.184258, 0.294907, 0.479944, 0.792076, 1.31124", \ + "0.029254, 0.120457, 0.185245, 0.294908, 0.479945, 0.792077, 1.31125", \ + "0.033417, 0.123411, 0.189266, 0.300207, 0.48624, 0.795877, 1.31217" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.10303, 0.191232, 0.23635, 0.305743, 0.416902, 0.600814, 0.906209", \ + "0.13349, 0.220196, 0.265154, 0.334117, 0.445504, 0.629358, 0.934837", \ + "0.152326, 0.238423, 0.28283, 0.351491, 0.462883, 0.646546, 0.952038", \ + "0.17747, 0.263565, 0.30784, 0.376351, 0.487008, 0.670112, 0.975542", \ + "0.208822, 0.295321, 0.339705, 0.408279, 0.51902, 0.701779, 1.00636", \ + "0.251772, 0.338147, 0.383373, 0.452839, 0.564561, 0.748191, 1.05277", \ + "0.309405, 0.39642, 0.442226, 0.512909, 0.627921, 0.816282, 1.12525" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0325424, 0.110296, 0.159421, 0.242384, 0.384772, 0.626946, 1.03392", \ + "0.0325434, 0.110297, 0.159422, 0.242385, 0.385007, 0.627222, 1.03422", \ + "0.0325444, 0.110298, 0.159423, 0.242386, 0.385008, 0.62795, 1.03473", \ + "0.0325454, 0.110299, 0.159424, 0.242387, 0.385009, 0.627951, 1.03474", \ + "0.0325464, 0.1103, 0.159425, 0.242388, 0.38501, 0.627952, 1.03475", \ + "0.0325474, 0.110301, 0.15962, 0.242708, 0.385011, 0.627953, 1.03476", \ + "0.033997, 0.111992, 0.163085, 0.248754, 0.394299, 0.636803, 1.04121" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A0 == 1'b1 & A2 == 1'b0 & S0 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A2 * !S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0925579, 0.175578, 0.222962, 0.299851, 0.42725, 0.639614, 0.99329", \ + "0.1228, 0.205137, 0.25258, 0.329302, 0.456952, 0.669952, 1.02255", \ + "0.14258, 0.224242, 0.271477, 0.348043, 0.475612, 0.687797, 1.04122", \ + "0.168359, 0.250484, 0.297382, 0.373579, 0.500679, 0.712329, 1.06578", \ + "0.200055, 0.282281, 0.329348, 0.405742, 0.53265, 0.744136, 1.09709", \ + "0.245335, 0.328443, 0.375828, 0.452973, 0.580872, 0.792753, 1.1452", \ + "0.295367, 0.380495, 0.429499, 0.507953, 0.637795, 0.852229, 1.20639" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0269512, 0.119086, 0.184205, 0.293673, 0.479856, 0.791407, 1.31076", \ + "0.0269522, 0.119087, 0.184206, 0.294325, 0.479949, 0.792197, 1.31111", \ + "0.0269532, 0.119088, 0.184207, 0.294326, 0.47995, 0.792198, 1.31112", \ + "0.0269542, 0.119089, 0.184208, 0.294327, 0.479951, 0.792199, 1.31113", \ + "0.0272418, 0.11909, 0.184209, 0.294328, 0.479952, 0.7922, 1.31114", \ + "0.029267, 0.120349, 0.185218, 0.29441, 0.479953, 0.792201, 1.31115", \ + "0.033318, 0.123362, 0.189371, 0.300193, 0.486263, 0.795875, 1.31197" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.103229, 0.191333, 0.236609, 0.305748, 0.417308, 0.60102, 0.906501", \ + "0.133462, 0.220305, 0.265291, 0.334336, 0.445782, 0.62946, 0.93495", \ + "0.152391, 0.23844, 0.282865, 0.351631, 0.462928, 0.646622, 0.952094", \ + "0.17721, 0.263349, 0.307826, 0.376238, 0.486989, 0.67007, 0.975513", \ + "0.2091, 0.295349, 0.339737, 0.408321, 0.519069, 0.701832, 1.00641", \ + "0.250886, 0.337342, 0.382614, 0.452425, 0.564151, 0.747835, 1.0523", \ + "0.309444, 0.395996, 0.442374, 0.513366, 0.627889, 0.816294, 1.12529" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.032576, 0.110165, 0.160469, 0.242522, 0.384716, 0.626949, 1.03469", \ + "0.032577, 0.110166, 0.16047, 0.242523, 0.385033, 0.627193, 1.0347", \ + "0.032578, 0.110167, 0.160471, 0.242524, 0.385034, 0.627917, 1.03471", \ + "0.032579, 0.110168, 0.160472, 0.242525, 0.385035, 0.627918, 1.03472", \ + "0.03258, 0.110169, 0.160473, 0.242526, 0.385036, 0.627919, 1.03473", \ + "0.032581, 0.11017, 0.160474, 0.242728, 0.385037, 0.62792, 1.03474", \ + "0.034014, 0.112201, 0.163255, 0.248927, 0.39442, 0.636811, 1.04122" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0158763, 0.0159951, 0.0160614, 0.016053, 0.0159607, 0.0157228, 0.0156086", \ + "0.0157254, 0.0158995, 0.0158565, 0.0159636, 0.0158746, 0.0157462, 0.0153161", \ + "0.0158504, 0.0157938, 0.0159012, 0.0158539, 0.0159963, 0.0157298, 0.0152697", \ + "0.0162197, 0.0161256, 0.0160821, 0.0160508, 0.0163281, 0.0157528, 0.0157423", \ + "0.0174702, 0.017066, 0.017029, 0.0170181, 0.0167645, 0.0168298, 0.0165692", \ + "0.0208487, 0.0200442, 0.0199535, 0.0199147, 0.0199577, 0.0196338, 0.0192003", \ + "0.0285411, 0.0272086, 0.0269293, 0.0267259, 0.0267791, 0.0265542, 0.0264524" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.022802, 0.0228409, 0.0228641, 0.022902, 0.0227355, 0.0227011, 0.0223367", \ + "0.0227399, 0.0227825, 0.0227653, 0.022822, 0.0227842, 0.022586, 0.0222256", \ + "0.0228108, 0.0226855, 0.0227418, 0.0228985, 0.0227825, 0.0225137, 0.0221836", \ + "0.0232852, 0.0229455, 0.0229185, 0.0228932, 0.0230364, 0.0227505, 0.02236", \ + "0.0245701, 0.023971, 0.0240327, 0.0240472, 0.0238199, 0.0237616, 0.0237647", \ + "0.0281206, 0.0271239, 0.027067, 0.026938, 0.0269388, 0.0269653, 0.0261833", \ + "0.0363196, 0.034608, 0.0343195, 0.0341116, 0.0342126, 0.0341425, 0.0341462" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0230349, 0.023186, 0.0232154, 0.0231773, 0.0231438, 0.0228592, 0.0227906", \ + "0.0228065, 0.0229544, 0.0229596, 0.0230457, 0.0230401, 0.0226863, 0.0224008", \ + "0.0228947, 0.0228538, 0.0229592, 0.0229182, 0.0230652, 0.0231508, 0.022664", \ + "0.0232457, 0.0231317, 0.0231067, 0.0231073, 0.0231777, 0.0230302, 0.0226071", \ + "0.0244612, 0.0240276, 0.0240486, 0.0240646, 0.0238832, 0.0238632, 0.0233203", \ + "0.0278257, 0.0270252, 0.026954, 0.0269024, 0.0269589, 0.0267552, 0.0262261", \ + "0.0355942, 0.0342464, 0.034139, 0.0337619, 0.0338017, 0.0336356, 0.0336336" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157839, 0.0157956, 0.0158833, 0.0158359, 0.0157025, 0.0156592, 0.015305", \ + "0.0156523, 0.0157015, 0.0156854, 0.0157132, 0.0156908, 0.0154841, 0.0152069", \ + "0.0157317, 0.015575, 0.0156489, 0.0157325, 0.0157464, 0.0154074, 0.0150515", \ + "0.0161964, 0.0158729, 0.0158461, 0.0158322, 0.0159972, 0.0156493, 0.0155352", \ + "0.0175328, 0.0168741, 0.0169316, 0.0169673, 0.0166999, 0.0165498, 0.0166571", \ + "0.0211344, 0.0200888, 0.0200264, 0.0199029, 0.0198916, 0.0199777, 0.0192732", \ + "0.0292796, 0.027601, 0.0273361, 0.0271147, 0.0270154, 0.0270659, 0.0270206" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0234274, 0.0235254, 0.0235515, 0.0235768, 0.0234376, 0.0232149, 0.0232099", \ + "0.0232661, 0.0234124, 0.0234006, 0.0234913, 0.0233323, 0.0231336, 0.0228734", \ + "0.0233648, 0.0232745, 0.0233642, 0.023333, 0.0233824, 0.0231933, 0.0230341", \ + "0.0237141, 0.0235671, 0.0235039, 0.0235267, 0.0237868, 0.0232622, 0.023002", \ + "0.0249582, 0.0244978, 0.024457, 0.0244508, 0.0242656, 0.0243064, 0.0237411", \ + "0.0282667, 0.027415, 0.0272895, 0.0271939, 0.0272916, 0.0270125, 0.0263836", \ + "0.0359778, 0.03448, 0.0343271, 0.0340359, 0.0340861, 0.0340797, 0.0338617" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0238238, 0.0237645, 0.0237792, 0.0238117, 0.0236856, 0.0236044, 0.0231293", \ + "0.0237488, 0.0237027, 0.0237775, 0.0237421, 0.0237136, 0.0236328, 0.0231615", \ + "0.0238252, 0.0236222, 0.0236993, 0.0238325, 0.0236658, 0.0234552, 0.0231344", \ + "0.0243172, 0.0239333, 0.0239104, 0.0238511, 0.0239857, 0.0237048, 0.0233436", \ + "0.0256732, 0.0249745, 0.024969, 0.0249659, 0.024844, 0.0246207, 0.024723", \ + "0.0291299, 0.0280008, 0.027992, 0.0279419, 0.0278507, 0.0278598, 0.0270449", \ + "0.0373762, 0.0355342, 0.0352296, 0.0351341, 0.0350667, 0.034895, 0.0348354" \ + ); + } + } + internal_power () { + related_pin : "A3"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160662, 0.016145, 0.0162202, 0.0162079, 0.0161381, 0.0158426, 0.0158488", \ + "0.0159295, 0.0160851, 0.0160555, 0.0160681, 0.0160026, 0.0158964, 0.0154959", \ + "0.0160569, 0.0159868, 0.0160786, 0.0160441, 0.0162654, 0.0158641, 0.0155146", \ + "0.0164507, 0.0163073, 0.0162628, 0.0162209, 0.0165273, 0.0162502, 0.0156931", \ + "0.0176948, 0.0172851, 0.0172954, 0.0172347, 0.0170628, 0.017063, 0.0167635", \ + "0.0210883, 0.0202226, 0.0202416, 0.0200668, 0.0199887, 0.0198776, 0.0193568", \ + "0.0287378, 0.0273246, 0.0271442, 0.0268193, 0.026851, 0.0266418, 0.0265906" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0233816, 0.0233314, 0.0233409, 0.0233535, 0.0232647, 0.0231385, 0.0226627", \ + "0.0232879, 0.0232487, 0.0232368, 0.0232608, 0.0232078, 0.0231293, 0.0226697", \ + "0.02334, 0.0231436, 0.0232207, 0.0233451, 0.0232601, 0.0230161, 0.0226169", \ + "0.023819, 0.0234644, 0.0234347, 0.023373, 0.0235346, 0.0231745, 0.0228239", \ + "0.0252256, 0.0244753, 0.0245303, 0.0245345, 0.0243499, 0.0242405, 0.0242774", \ + "0.0287149, 0.0276929, 0.0275351, 0.027467, 0.0274241, 0.0274139, 0.0266667", \ + "0.0368495, 0.0352287, 0.0348971, 0.0347501, 0.0345333, 0.0345519, 0.0345693" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(A2 * !A3 * S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0165977, 0.0166669, 0.01671, 0.0166709, 0.0166416, 0.0164009, 0.0163643", \ + "0.0147805, 0.0148553, 0.0149174, 0.0149127, 0.0148268, 0.0146042, 0.0143935", \ + "0.01437, 0.0144096, 0.0145398, 0.0145077, 0.0145366, 0.0142959, 0.013864", \ + "0.0133103, 0.0133509, 0.0133396, 0.0134313, 0.0133026, 0.0132987, 0.0131927", \ + "0.0115125, 0.011562, 0.0116226, 0.0116249, 0.0114942, 0.0118147, 0.0113752", \ + "0.0076947, 0.007633, 0.0077257, 0.0078015, 0.0078527, 0.0075397, 0.0077794", \ + "0.000778202, 0.0005752, 0.000527199, 0.000574902, 0.000677202, 0.000723302, 0.000617102" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.025951, 0.0258552, 0.0259421, 0.0259632, 0.0259293, 0.025764, 0.025354", \ + "0.0278736, 0.027896, 0.0278325, 0.0278875, 0.0277819, 0.0276223, 0.0272208", \ + "0.0277169, 0.0275946, 0.0276378, 0.0278351, 0.027838, 0.0274325, 0.0271096", \ + "0.0268152, 0.026742, 0.0267725, 0.0266731, 0.0266882, 0.0265005, 0.0260893", \ + "0.0249101, 0.0247822, 0.0248578, 0.0249311, 0.0248734, 0.0245674, 0.024964", \ + "0.0214124, 0.0211958, 0.0212325, 0.0212954, 0.0213857, 0.021476, 0.0208546", \ + "0.0138309, 0.0134846, 0.0134849, 0.0135753, 0.0135149, 0.0135177, 0.0136412" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(A0 * !A1 * !S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0165316, 0.0166283, 0.016651, 0.0166234, 0.0165481, 0.0163273, 0.0163075", \ + "0.0147584, 0.0148431, 0.0150197, 0.0149802, 0.014793, 0.0145834, 0.0145523", \ + "0.0143287, 0.0144162, 0.0145876, 0.0144724, 0.014498, 0.0141332, 0.0140138", \ + "0.0133026, 0.0133427, 0.0133554, 0.0134441, 0.0132836, 0.0131167, 0.0130852", \ + "0.0115196, 0.0115806, 0.0116288, 0.011665, 0.011485, 0.0118347, 0.0112461", \ + "0.0076406, 0.0075825, 0.0076898, 0.0077567, 0.0078096, 0.0075602, 0.0077144", \ + "0.000626702, 0.000502001, 0.000415299, 0.000478402, 0.000522699, 0.000530202, 0.000423901" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0256866, 0.0256382, 0.0257018, 0.0257265, 0.0256715, 0.0254386, 0.0252005", \ + "0.0282878, 0.0283159, 0.0283003, 0.0283634, 0.0282817, 0.0281869, 0.0276848", \ + "0.0281421, 0.0280827, 0.0281394, 0.0283186, 0.028254, 0.0279448, 0.0275872", \ + "0.0271942, 0.0271498, 0.0272254, 0.0271257, 0.0271566, 0.026997, 0.0266064", \ + "0.0252675, 0.0251984, 0.0252999, 0.0253569, 0.0253269, 0.0249579, 0.0253674", \ + "0.0218259, 0.0216886, 0.0217742, 0.0218193, 0.021847, 0.0219416, 0.0215558", \ + "0.014098, 0.0137414, 0.013852, 0.013896, 0.0138257, 0.0139092, 0.0138489" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(!A2 * A3 * S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119686, 0.0121163, 0.0121923, 0.0121924, 0.0120708, 0.0118645, 0.0117708", \ + "0.0119, 0.0120283, 0.0120219, 0.0120613, 0.0119781, 0.0117221, 0.0114557", \ + "0.0121793, 0.0120338, 0.0121277, 0.012125, 0.0122124, 0.0117904, 0.0118309", \ + "0.0128315, 0.0125357, 0.0124777, 0.0124872, 0.0125419, 0.0124236, 0.0119408", \ + "0.0145827, 0.0138886, 0.0138515, 0.0138722, 0.0136654, 0.0136513, 0.0134282", \ + "0.0185939, 0.0174211, 0.0172688, 0.0172111, 0.0172758, 0.0171103, 0.0164784", \ + "0.0274751, 0.0255291, 0.0253133, 0.0249333, 0.0249319, 0.0248549, 0.0246695" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112549, 0.0112248, 0.0113379, 0.0112913, 0.0110414, 0.0109263, 0.0105918", \ + "0.0100929, 0.0100511, 0.0100486, 0.0101197, 0.0100044, 0.0099028, 0.0095485", \ + "0.0104019, 0.0101145, 0.01019, 0.0103494, 0.0101748, 0.0099092, 0.00955", \ + "0.0113564, 0.0108263, 0.0107913, 0.0107196, 0.0108427, 0.0105135, 0.0101088", \ + "0.0133888, 0.0124352, 0.0123977, 0.0123814, 0.0121434, 0.01198, 0.0127686", \ + "0.0176757, 0.0161663, 0.0160709, 0.0159861, 0.015847, 0.0158662, 0.0150987", \ + "0.0268646, 0.0244423, 0.0240873, 0.0238935, 0.0238031, 0.0236366, 0.0236358" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(!A0 * A1 * !S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119126, 0.0121358, 0.0121678, 0.0121722, 0.0120927, 0.0118418, 0.0119352", \ + "0.0117812, 0.011953, 0.0119519, 0.0119405, 0.0119259, 0.0116486, 0.011667", \ + "0.0119959, 0.011907, 0.0119792, 0.0120156, 0.0120134, 0.0121002, 0.0113863", \ + "0.0125564, 0.0123192, 0.0122818, 0.0122734, 0.0124394, 0.0121508, 0.0123274", \ + "0.0140601, 0.0134536, 0.0134687, 0.0134528, 0.0132317, 0.0132812, 0.0126651", \ + "0.0182199, 0.0170765, 0.0169955, 0.0170049, 0.0169457, 0.0169109, 0.0161717", \ + "0.0263906, 0.0245197, 0.0243179, 0.0239616, 0.0238942, 0.0239019, 0.0235972" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107485, 0.0108048, 0.0108436, 0.0108941, 0.0106977, 0.0105041, 0.0101482", \ + "0.0106953, 0.0107363, 0.0107709, 0.0108495, 0.0106843, 0.0105324, 0.0101549", \ + "0.0110002, 0.0107603, 0.0108274, 0.0110289, 0.0109356, 0.0105732, 0.0101471", \ + "0.0117694, 0.0113298, 0.0112847, 0.0112493, 0.0113629, 0.0111244, 0.01066", \ + "0.0137817, 0.0129283, 0.0129479, 0.0129737, 0.0127266, 0.0125479, 0.0128994", \ + "0.0181458, 0.016729, 0.016608, 0.0165491, 0.0164455, 0.0164986, 0.0157403", \ + "0.0270904, 0.0248034, 0.0244434, 0.0242314, 0.0240902, 0.0241188, 0.0242329" \ + ); + } + } + internal_power () { + related_pin : "S0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119126, 0.0121358, 0.0121678, 0.0121722, 0.0120927, 0.0118418, 0.0119352", \ + "0.0117812, 0.011953, 0.0119519, 0.0119405, 0.0119259, 0.0116486, 0.011667", \ + "0.0119959, 0.011907, 0.0119792, 0.0120156, 0.0120134, 0.0121002, 0.0113863", \ + "0.0125564, 0.0123192, 0.0122818, 0.0122734, 0.0124394, 0.0121508, 0.0123274", \ + "0.0140601, 0.0134536, 0.0134687, 0.0134528, 0.0132317, 0.0132812, 0.0126651", \ + "0.0182199, 0.0170765, 0.0169955, 0.0170049, 0.0169457, 0.0169109, 0.0161717", \ + "0.0263906, 0.0245197, 0.0243179, 0.0239616, 0.0238942, 0.0239019, 0.0235972" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107485, 0.0108048, 0.0108436, 0.0108941, 0.0106977, 0.0105041, 0.0101482", \ + "0.0106953, 0.0107363, 0.0107709, 0.0108495, 0.0106843, 0.0105324, 0.0101549", \ + "0.0110002, 0.0107603, 0.0108274, 0.0110289, 0.0109356, 0.0105732, 0.0101471", \ + "0.0117694, 0.0113298, 0.0112847, 0.0112493, 0.0113629, 0.0111244, 0.01066", \ + "0.0137817, 0.0129283, 0.0129479, 0.0129737, 0.0127266, 0.0125479, 0.0128994", \ + "0.0181458, 0.016729, 0.016608, 0.0165491, 0.0164455, 0.0164986, 0.0157403", \ + "0.0270904, 0.0248034, 0.0244434, 0.0242314, 0.0240902, 0.0241188, 0.0242329" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(A1 * !A3 * S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00921369, 0.00948509, 0.00953229, 0.00952909, 0.00945969, 0.00920079, 0.00930649", \ + "0.00960989, 0.00994129, 0.00989829, 0.0100045, 0.00982339, 0.00973559, 0.00934889", \ + "0.00993803, 0.0100962, 0.0102043, 0.0101578, 0.0101274, 0.00987283, 0.00958503", \ + "0.0105035, 0.0106779, 0.0106826, 0.0106785, 0.0106937, 0.010317, 0.0100043", \ + "0.0115099, 0.0116407, 0.0116824, 0.0116909, 0.0114825, 0.0117733, 0.0110393", \ + "0.0138753, 0.0138134, 0.013885, 0.0139445, 0.01401, 0.0138173, 0.0137655", \ + "0.0188725, 0.0185921, 0.018489, 0.0184804, 0.0186475, 0.0187481, 0.01872" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0102296, 0.0104186, 0.0104828, 0.0105524, 0.0103796, 0.0102724, 0.00984065", \ + "0.0106128, 0.0108272, 0.0110271, 0.0108519, 0.0108677, 0.0106584, 0.0102959", \ + "0.0110168, 0.0111733, 0.0111899, 0.0113021, 0.0113956, 0.0111308, 0.0106515", \ + "0.0115407, 0.0117507, 0.011817, 0.0117488, 0.0116862, 0.011944, 0.0120376", \ + "0.0125081, 0.0126757, 0.0126856, 0.0127805, 0.0128146, 0.0124208, 0.0126289", \ + "0.0150277, 0.0149963, 0.0150042, 0.0151669, 0.015176, 0.0153102, 0.0150856", \ + "0.0200689, 0.0196952, 0.0196619, 0.0196831, 0.019593, 0.0198354, 0.0200874" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(A0 * !A2 * !S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101438, 0.0104053, 0.0104582, 0.0104705, 0.0103688, 0.0101281, 0.0102353", \ + "0.0105343, 0.0108774, 0.010844, 0.0109004, 0.0107604, 0.0106514, 0.0102842", \ + "0.0108928, 0.0110484, 0.0111759, 0.0111461, 0.0110877, 0.0107866, 0.010539", \ + "0.0114744, 0.0116848, 0.0116548, 0.0116649, 0.0117195, 0.011286, 0.0109767", \ + "0.0124564, 0.0125826, 0.0126302, 0.0126453, 0.0124152, 0.0126551, 0.0119528", \ + "0.0146981, 0.0146365, 0.0146857, 0.0147533, 0.0148442, 0.0146353, 0.0146933", \ + "0.0206072, 0.0203076, 0.0202987, 0.020275, 0.0203726, 0.0204664, 0.0204921" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101118, 0.0102745, 0.010335, 0.0103798, 0.0103565, 0.0101476, 0.00981345", \ + "0.0104858, 0.0107058, 0.010915, 0.0107757, 0.0107349, 0.0105477, 0.0101408", \ + "0.0108707, 0.0110268, 0.011034, 0.0111762, 0.0111241, 0.0109791, 0.010499", \ + "0.0113625, 0.01158, 0.011654, 0.0116114, 0.0115325, 0.0115601, 0.0118906", \ + "0.0124235, 0.0125569, 0.0125596, 0.0126596, 0.0127117, 0.012325, 0.0125432", \ + "0.0145634, 0.0145388, 0.0145547, 0.01474, 0.0147676, 0.0148965, 0.0146695", \ + "0.0199104, 0.0195405, 0.0195142, 0.0195759, 0.0193869, 0.019647, 0.0198874" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(!A1 * A3 * S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00580955, 0.00631505, 0.00631635, 0.00626715, 0.00611025, 0.00587005, 0.00591205", \ + "0.00575194, 0.00611264, 0.00614274, 0.00625704, 0.00603034, 0.00612394, 0.00572094", \ + "0.00595595, 0.00613835, 0.00622955, 0.00628995, 0.00612605, 0.00584575, 0.00585105", \ + "0.00658142, 0.00662102, 0.00668582, 0.00662432, 0.00680752, 0.00623642, 0.00650142", \ + "0.00833339, 0.00806599, 0.00806639, 0.00815439, 0.00803289, 0.00793629, 0.00740809", \ + "0.0121478, 0.0112436, 0.0111229, 0.0111816, 0.0111857, 0.011119, 0.0105764", \ + "0.0199137, 0.0181162, 0.0180105, 0.0177398, 0.0176806, 0.0175952, 0.0175584" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00655249, 0.00693529, 0.00692269, 0.00701179, 0.00679519, 0.00662769, 0.00628879", \ + "0.00650269, 0.00680379, 0.00695079, 0.00705989, 0.00673869, 0.00658179, 0.00619129", \ + "0.00685283, 0.00690483, 0.00696673, 0.00709523, 0.00696013, 0.00678363, 0.00636173", \ + "0.00771342, 0.00756292, 0.00760942, 0.00753972, 0.00756152, 0.00731202, 0.00690572", \ + "0.00955347, 0.00905007, 0.00908257, 0.00911197, 0.00910157, 0.00875207, 0.00948627", \ + "0.0138482, 0.0124521, 0.0125205, 0.0124951, 0.0125465, 0.0124617, 0.0118259", \ + "0.0223212, 0.0200273, 0.0198038, 0.0194621, 0.0195147, 0.0193831, 0.0195119" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(!A0 * A2 * !S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00579135, 0.00631775, 0.00631405, 0.00627735, 0.00613685, 0.00586405, 0.00590085", \ + "0.00575634, 0.00611194, 0.00611664, 0.00639754, 0.00598724, 0.00575154, 0.00568634", \ + "0.00599235, 0.00615675, 0.00625175, 0.00631995, 0.00616005, 0.00585985, 0.00590975", \ + "0.00660972, 0.00665862, 0.00671542, 0.00664512, 0.00670052, 0.00630092, 0.00665622", \ + "0.00831019, 0.00805999, 0.00806429, 0.00809279, 0.00794469, 0.00791209, 0.00734989", \ + "0.0121449, 0.0112503, 0.011209, 0.0111923, 0.011198, 0.0112729, 0.0105667", \ + "0.0199191, 0.0181445, 0.0180108, 0.0177818, 0.0177158, 0.017628, 0.01752" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00631759, 0.00670389, 0.00682389, 0.00678239, 0.00661989, 0.00642909, 0.00607359", \ + "0.00628649, 0.00657639, 0.00668189, 0.00679929, 0.00654079, 0.00635609, 0.00601489", \ + "0.00660933, 0.00667703, 0.00670573, 0.00688593, 0.00664903, 0.00653303, 0.00604913", \ + "0.00749522, 0.00734552, 0.00739372, 0.00729642, 0.00735152, 0.00711412, 0.00667482", \ + "0.00934207, 0.00880717, 0.00884397, 0.00886197, 0.00885437, 0.00846987, 0.00898047", \ + "0.0136024, 0.0122656, 0.0122271, 0.0122855, 0.0123543, 0.0121993, 0.0116338", \ + "0.021457, 0.0191728, 0.0189661, 0.0187144, 0.0187103, 0.0185218, 0.0187939" \ + ); + } + } + internal_power () { + related_pin : "S1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00921369, 0.00948509, 0.00953229, 0.00952909, 0.00945969, 0.00920079, 0.00930649", \ + "0.00960989, 0.00994129, 0.00989829, 0.0100045, 0.00982339, 0.00973559, 0.00934889", \ + "0.00993803, 0.0100962, 0.0102043, 0.0101578, 0.0101274, 0.00987283, 0.00958503", \ + "0.0105035, 0.0106779, 0.0106826, 0.0106785, 0.0106937, 0.010317, 0.0100043", \ + "0.0115099, 0.0116407, 0.0116824, 0.0116909, 0.0114825, 0.0117733, 0.0110393", \ + "0.0138753, 0.0138134, 0.013885, 0.0139445, 0.01401, 0.0138173, 0.0137655", \ + "0.0188725, 0.0185921, 0.018489, 0.0184804, 0.0186475, 0.0187481, 0.01872" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00655249, 0.00693529, 0.00692269, 0.00701179, 0.00679519, 0.00662769, 0.00628879", \ + "0.00650269, 0.00680379, 0.00695079, 0.00705989, 0.00673869, 0.00658179, 0.00619129", \ + "0.00685283, 0.00690483, 0.00696673, 0.00709523, 0.00696013, 0.00678363, 0.00636173", \ + "0.00771342, 0.00756292, 0.00760942, 0.00753972, 0.00756152, 0.00731202, 0.00690572", \ + "0.00955347, 0.00905007, 0.00908257, 0.00911197, 0.00910157, 0.00875207, 0.00948627", \ + "0.0138482, 0.0124521, 0.0125205, 0.0124951, 0.0125465, 0.0124617, 0.0118259", \ + "0.0223212, 0.0200273, 0.0198038, 0.0194621, 0.0195147, 0.0193831, 0.0195119" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00274826; + rise_capacitance : 0.00278814; + rise_capacitance_range (0.00278814, 0.00278814); + fall_capacitance : 0.00270838; + fall_capacitance_range (0.00270838, 0.00270838); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00272965; + rise_capacitance : 0.00276246; + rise_capacitance_range (0.00276246, 0.00276246); + fall_capacitance : 0.00269684; + fall_capacitance_range (0.00269684, 0.00269684); + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0027504; + rise_capacitance : 0.00278979; + rise_capacitance_range (0.00278979, 0.00278979); + fall_capacitance : 0.00271101; + fall_capacitance_range (0.00271101, 0.00271101); + } + pin (A3) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00283674; + rise_capacitance : 0.00287172; + rise_capacitance_range (0.00287172, 0.00287172); + fall_capacitance : 0.00280177; + fall_capacitance_range (0.00280177, 0.00280177); + } + pin (S0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00805236; + rise_capacitance : 0.009512; + rise_capacitance_range (0.009512, 0.009512); + fall_capacitance : 0.00659272; + fall_capacitance_range (0.00659272, 0.00659272); + internal_power () { + when : "(A2 * A3 * S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00956918, 0.00926944, 0.00974865, 0.0113294, 0.0151253, 0.0230643, 0.0403593" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0127595, 0.0132277, 0.013877, 0.0156416, 0.0194319, 0.0280561, 0.0456617" \ + ); + } + } + internal_power () { + when : "(A0 * A1 * !S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0101712, 0.00981004, 0.0102252, 0.0117749, 0.0152843, 0.0232933, 0.0403516" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0142956, 0.0158433, 0.0164618, 0.018132, 0.0218353, 0.0303977, 0.0477716" \ + ); + } + } + internal_power () { + when : "(!A2 * !A3 * S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00981058, 0.00954032, 0.0100146, 0.0116875, 0.0154516, 0.0234731, 0.0411193" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0150568, 0.0148456, 0.015049, 0.0157215, 0.0176655, 0.0221287, 0.0317504" \ + ); + } + } + internal_power () { + when : "(!A0 * !A1 * !S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0110413, 0.0106899, 0.0111087, 0.0126599, 0.016233, 0.0242509, 0.0413184" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0196849, 0.0229367, 0.0235896, 0.024355, 0.0262712, 0.0308326, 0.0406006" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00981058, 0.00954032, 0.0100146, 0.0116875, 0.0154516, 0.0234731, 0.0411193" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0142956, 0.0158433, 0.0164618, 0.018132, 0.0218353, 0.0303977, 0.0477716" \ + ); + } + } + } + pin (S1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00495409; + rise_capacitance : 0.00501891; + rise_capacitance_range (0.00501891, 0.00501891); + fall_capacitance : 0.00488927; + fall_capacitance_range (0.00488927, 0.00488927); + internal_power () { + when : "(A1 * A3 * S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00376502, 0.00370256, 0.0040473, 0.00497981, 0.00697997, 0.0114654, 0.0209095" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00367987, 0.00379679, 0.00420387, 0.00513628, 0.00731177, 0.012151, 0.02177" \ + ); + } + } + internal_power () { + when : "(A0 * A2 * !S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00376013, 0.00370005, 0.00404908, 0.00495771, 0.00698637, 0.0114631, 0.0208921" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00366738, 0.00379115, 0.00420515, 0.00512615, 0.00730866, 0.0121146, 0.0217745" \ + ); + } + } + internal_power () { + when : "(!A1 * !A3 * S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00500465, 0.00495236, 0.00530445, 0.00626658, 0.00826361, 0.012748, 0.0224398" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00478291, 0.00486261, 0.00528257, 0.00621908, 0.00841063, 0.0131404, 0.0230946" \ + ); + } + } + internal_power () { + when : "(!A0 * !A2 * !S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00509183, 0.00503329, 0.00538587, 0.0063284, 0.00833583, 0.0127921, 0.0222937" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0048328, 0.00494041, 0.00534694, 0.00631671, 0.00848275, 0.0131944, 0.0231645" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00500465, 0.00495236, 0.00530445, 0.00626658, 0.00826361, 0.012748, 0.0224398" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00478291, 0.00486261, 0.00528257, 0.00621908, 0.00841063, 0.0131404, 0.0230946" \ + ); + } + } + } + } + cell (sg13g2_nand2_1) { + area : 7.2576; + cell_footprint : "nand2"; + cell_leakage_power : 505.723; + leakage_power () { + value : 79.4722; + when : "!A&!B"; + } + leakage_power () { + value : 230.885; + when : "!A&B"; + } + leakage_power () { + value : 191.073; + when : "A*!B"; + } + leakage_power () { + value : 1521.46; + when : "A*B"; + } + pin (Y) { + direction : "output"; + function : "!(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0224991, 0.0905608, 0.136603, 0.212332, 0.339229, 0.55077, 0.903181", \ + "0.0405886, 0.128761, 0.175878, 0.2517, 0.378807, 0.590384, 0.942872", \ + "0.0497464, 0.160736, 0.21315, 0.291847, 0.419125, 0.630632, 0.982833", \ + "0.0611456, 0.208158, 0.272889, 0.36297, 0.49762, 0.710112, 1.0621", \ + "0.0740501, 0.273887, 0.359139, 0.474272, 0.633509, 0.865158, 1.22229", \ + "0.0882399, 0.355321, 0.469626, 0.623082, 0.832508, 1.11377, 1.5139", \ + "0.0939454, 0.454004, 0.610148, 0.815095, 1.09735, 1.47619, 1.97771" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0152846, 0.110717, 0.178078, 0.289218, 0.475679, 0.786414, 1.30423", \ + "0.0320727, 0.118564, 0.181425, 0.289876, 0.47568, 0.786415, 1.30424", \ + "0.0449275, 0.137105, 0.19578, 0.298046, 0.478123, 0.786416, 1.30425", \ + "0.0647023, 0.175323, 0.233335, 0.329863, 0.497119, 0.793199, 1.30426", \ + "0.0957376, 0.241248, 0.307778, 0.406062, 0.567138, 0.840471, 1.32529", \ + "0.155113, 0.339551, 0.423778, 0.544293, 0.717564, 0.985745, 1.43486", \ + "0.245988, 0.500636, 0.607203, 0.762339, 0.981676, 1.28487, 1.73996" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.030058, 0.129615, 0.197279, 0.308918, 0.495821, 0.807239, 1.32649", \ + "0.0485922, 0.166151, 0.234452, 0.346261, 0.533316, 0.845024, 1.36376", \ + "0.0590181, 0.19775, 0.270288, 0.383452, 0.570341, 0.881847, 1.40158", \ + "0.0745421, 0.246092, 0.330172, 0.453839, 0.645094, 0.956567, 1.47543", \ + "0.0946866, 0.314473, 0.418378, 0.564607, 0.77879, 1.10333, 1.62405", \ + "0.122812, 0.40952, 0.543714, 0.727653, 0.986494, 1.35999, 1.91493", \ + "0.162118, 0.535707, 0.712882, 0.953977, 1.28376, 1.74574, 2.3983" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0202228, 0.148458, 0.238646, 0.387676, 0.637146, 1.05317, 1.74764", \ + "0.0356178, 0.155201, 0.24122, 0.388685, 0.637147, 1.05426, 1.74765", \ + "0.0472266, 0.172074, 0.253446, 0.393834, 0.638702, 1.05427, 1.74813", \ + "0.065601, 0.207437, 0.2889, 0.421997, 0.654122, 1.05723, 1.74814", \ + "0.0952096, 0.270357, 0.356979, 0.49372, 0.71581, 1.09699, 1.76103", \ + "0.145486, 0.370728, 0.475535, 0.626669, 0.859636, 1.23127, 1.85686", \ + "0.232253, 0.528753, 0.666203, 0.84723, 1.11959, 1.51602, 2.14011" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0262251, 0.0937984, 0.14006, 0.215676, 0.342572, 0.554115, 0.906664", \ + "0.0484252, 0.132497, 0.179285, 0.255113, 0.382083, 0.593531, 0.946183", \ + "0.0608379, 0.165346, 0.216918, 0.295444, 0.422685, 0.633911, 0.990166", \ + "0.076806, 0.214563, 0.277967, 0.367232, 0.501449, 0.713707, 1.06558", \ + "0.0962029, 0.283113, 0.366209, 0.480066, 0.638171, 0.868963, 1.22573", \ + "0.122872, 0.369423, 0.480549, 0.631796, 0.839241, 1.11833, 1.51795", \ + "0.148261, 0.478163, 0.62847, 0.829369, 1.10759, 1.48432, 1.98336" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0188735, 0.114957, 0.182559, 0.293601, 0.480138, 0.790929, 1.30884", \ + "0.0355989, 0.12241, 0.185499, 0.294239, 0.480649, 0.79093, 1.30885", \ + "0.0490306, 0.140677, 0.199626, 0.302212, 0.482519, 0.791492, 1.31276", \ + "0.0694482, 0.17806, 0.236773, 0.333509, 0.501293, 0.797575, 1.31277", \ + "0.100081, 0.244638, 0.311189, 0.409498, 0.570989, 0.844756, 1.32975", \ + "0.154548, 0.341244, 0.427196, 0.546617, 0.721049, 0.989476, 1.43903", \ + "0.238896, 0.497267, 0.606645, 0.763245, 0.981987, 1.28944, 1.74372" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0345637, 0.133359, 0.200961, 0.312551, 0.499484, 0.811044, 1.32986", \ + "0.0529663, 0.164401, 0.232731, 0.344663, 0.531752, 0.843512, 1.36233", \ + "0.0629917, 0.190799, 0.262362, 0.375514, 0.562756, 0.874441, 1.39423", \ + "0.0766699, 0.23343, 0.313043, 0.43388, 0.624731, 0.937057, 1.45617", \ + "0.0946122, 0.296201, 0.39146, 0.528628, 0.735924, 1.05823, 1.57995", \ + "0.120685, 0.385136, 0.507597, 0.675233, 0.917441, 1.27312, 1.81996", \ + "0.154859, 0.504307, 0.668077, 0.8885, 1.18826, 1.61296, 2.2289" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0195493, 0.148751, 0.238548, 0.387911, 0.637139, 1.05393, 1.74641", \ + "0.0303027, 0.152626, 0.240268, 0.388565, 0.63714, 1.05394, 1.74652", \ + "0.0407996, 0.16381, 0.248091, 0.391829, 0.638059, 1.05478, 1.74653", \ + "0.0594044, 0.189146, 0.272074, 0.410332, 0.648343, 1.0555, 1.74654", \ + "0.089849, 0.238615, 0.323869, 0.460278, 0.689217, 1.08195, 1.75749", \ + "0.137018, 0.327661, 0.419341, 0.562336, 0.792801, 1.17324, 1.81903", \ + "0.216513, 0.46693, 0.587183, 0.749075, 0.993804, 1.37927, 2.01533" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00206651, 0.00261242, 0.00261751, 0.00253501, 0.00239587, 0.00214153, 0.00169795", \ + "0.00208667, 0.00238275, 0.00240191, 0.00239553, 0.00231164, 0.00207774, 0.00167184", \ + "0.00254001, 0.00235373, 0.00242207, 0.00234178, 0.00243044, 0.00206351, 0.00162079", \ + "0.00362824, 0.00278287, 0.00259334, 0.00254829, 0.00260383, 0.00203883, 0.00161379", \ + "0.00610514, 0.00415014, 0.00374649, 0.00332314, 0.00286347, 0.00265428, 0.00190773", \ + "0.0112803, 0.00775156, 0.00672023, 0.00580599, 0.00488527, 0.00380782, 0.00356785", \ + "0.0218155, 0.0162206, 0.0142958, 0.0121275, 0.0102166, 0.00826117, 0.0063707" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00304399, 0.00349401, 0.0034848, 0.00342497, 0.00329865, 0.00308802, 0.00274279", \ + "0.00299856, 0.00332054, 0.00332045, 0.0033544, 0.00321884, 0.00311043, 0.00261789", \ + "0.00337447, 0.00326986, 0.00333347, 0.00329804, 0.00322347, 0.00301503, 0.00275587", \ + "0.00441589, 0.00365716, 0.00349445, 0.0034401, 0.00344859, 0.00327597, 0.00258882", \ + "0.00672176, 0.00493627, 0.00453359, 0.00415177, 0.00370113, 0.00384855, 0.00337438", \ + "0.0116644, 0.00814477, 0.00722579, 0.00642349, 0.00564682, 0.00458969, 0.00399267", \ + "0.021914, 0.0161511, 0.0142724, 0.0122746, 0.0106777, 0.00893166, 0.00666252" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00223279, 0.00242175, 0.00242533, 0.00230498, 0.00214661, 0.00191296, 0.00145939", \ + "0.00221833, 0.00230783, 0.0022614, 0.00251412, 0.00217361, 0.00184827, 0.00142939", \ + "0.00260853, 0.00234256, 0.00237054, 0.00220813, 0.00225, 0.00192049, 0.00199962", \ + "0.00359969, 0.00281267, 0.00259798, 0.00245758, 0.00250921, 0.00190295, 0.00144501", \ + "0.00600034, 0.00427658, 0.0038372, 0.00334964, 0.00283625, 0.00277249, 0.00174081", \ + "0.0109719, 0.00792649, 0.00694179, 0.00591923, 0.00496244, 0.00375656, 0.00351563", \ + "0.0210553, 0.0165048, 0.0147119, 0.0126142, 0.0104851, 0.00864807, 0.00617454" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00515989, 0.00549073, 0.00544662, 0.00540585, 0.00525003, 0.00506785, 0.00458672", \ + "0.00489856, 0.00530965, 0.00536369, 0.00533216, 0.00519157, 0.00500501, 0.00457938", \ + "0.00504285, 0.0051815, 0.0052976, 0.00525959, 0.00516174, 0.00510871, 0.00463601", \ + "0.00573142, 0.00540176, 0.0053299, 0.00533265, 0.0052801, 0.00568073, 0.00458516", \ + "0.00770014, 0.00623786, 0.00608392, 0.00584024, 0.00546197, 0.00551699, 0.00530951", \ + "0.0123032, 0.00914409, 0.00823739, 0.00763999, 0.00710043, 0.00625748, 0.00562304", \ + "0.0220466, 0.0166445, 0.0148919, 0.0131443, 0.0113938, 0.00990162, 0.00828002" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00287996; + rise_capacitance : 0.00290716; + rise_capacitance_range (0.00290716, 0.00290716); + fall_capacitance : 0.00285275; + fall_capacitance_range (0.00285275, 0.00285275); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00297718; + rise_capacitance : 0.0031123; + rise_capacitance_range (0.0031123, 0.0031123); + fall_capacitance : 0.00284206; + fall_capacitance_range (0.00284206, 0.00284206); + } + } + cell (sg13g2_nand2_2) { + area : 10.8864; + cell_footprint : "nand2"; + cell_leakage_power : 1003; + leakage_power () { + value : 155.964; + when : "!A&!B"; + } + leakage_power () { + value : 446.235; + when : "!A&B"; + } + leakage_power () { + value : 370.091; + when : "A*!B"; + } + leakage_power () { + value : 3039.72; + when : "A*B"; + } + pin (Y) { + direction : "output"; + function : "!(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0201066, 0.0902615, 0.136344, 0.21234, 0.339698, 0.551836, 0.905204", \ + "0.0358997, 0.128487, 0.175644, 0.251779, 0.37918, 0.593793, 0.944988", \ + "0.0433024, 0.160332, 0.212916, 0.291869, 0.419524, 0.631537, 0.984946", \ + "0.0523924, 0.207578, 0.272617, 0.362974, 0.498163, 0.711265, 1.06447", \ + "0.0621685, 0.272741, 0.358447, 0.474174, 0.633652, 0.866094, 1.22427", \ + "0.0702967, 0.353311, 0.46826, 0.622383, 0.832398, 1.11424, 1.51563", \ + "0.0702977, 0.448739, 0.606388, 0.812568, 1.09612, 1.47621, 1.97911" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0129302, 0.110618, 0.178028, 0.289551, 0.476636, 0.788426, 1.30785", \ + "0.0288545, 0.118345, 0.181425, 0.290275, 0.477516, 0.790743, 1.30786", \ + "0.0405143, 0.13692, 0.195853, 0.298399, 0.478972, 0.790744, 1.30787", \ + "0.0589804, 0.174655, 0.233483, 0.329991, 0.498004, 0.795199, 1.30995", \ + "0.088121, 0.24125, 0.307977, 0.406518, 0.5682, 0.842427, 1.3292", \ + "0.145776, 0.340388, 0.425026, 0.544973, 0.718588, 0.987639, 1.43813", \ + "0.233662, 0.502295, 0.608829, 0.764189, 0.983458, 1.28874, 1.74359" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0273565, 0.133758, 0.204261, 0.320485, 0.515257, 0.839232, 1.37953", \ + "0.0443139, 0.17023, 0.241307, 0.357639, 0.552334, 0.87661, 1.41704", \ + "0.0538016, 0.202279, 0.277309, 0.39493, 0.58953, 0.913829, 1.45403", \ + "0.068207, 0.251477, 0.338103, 0.465732, 0.664135, 0.988293, 1.52853", \ + "0.0871002, 0.321093, 0.428111, 0.578339, 0.79917, 1.13544, 1.67695", \ + "0.11395, 0.41924, 0.556795, 0.744832, 1.01073, 1.39513, 1.96871", \ + "0.152773, 0.550525, 0.732057, 0.977555, 1.31516, 1.78869, 2.45988" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0173478, 0.154354, 0.248412, 0.403856, 0.663878, 1.09831, 1.82053", \ + "0.0319829, 0.160397, 0.250401, 0.405571, 0.664276, 1.09832, 1.82167", \ + "0.0425022, 0.176936, 0.262169, 0.40905, 0.666579, 1.09833, 1.82168", \ + "0.0597625, 0.212402, 0.297606, 0.436467, 0.679157, 1.10109, 1.82169", \ + "0.0875716, 0.274383, 0.365632, 0.507335, 0.739548, 1.13839, 1.83371", \ + "0.135634, 0.375758, 0.48477, 0.64083, 0.883056, 1.26962, 1.92524", \ + "0.21873, 0.536155, 0.67693, 0.863302, 1.14081, 1.55188, 2.20336" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.024505, 0.0942494, 0.140641, 0.216512, 0.343815, 0.555954, 0.909562", \ + "0.0454848, 0.132984, 0.179857, 0.255961, 0.383195, 0.597745, 0.948987", \ + "0.0569243, 0.165876, 0.217659, 0.296276, 0.423816, 0.635826, 0.99302", \ + "0.0715141, 0.214553, 0.277902, 0.367336, 0.501899, 0.71474, 1.06771", \ + "0.0888031, 0.283474, 0.366762, 0.48094, 0.639277, 0.870563, 1.22851", \ + "0.111471, 0.369108, 0.480658, 0.632302, 0.840279, 1.11987, 1.52007", \ + "0.130926, 0.475713, 0.627074, 0.828603, 1.10815, 1.48556, 1.98568" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0168475, 0.115932, 0.183156, 0.294619, 0.481783, 0.793629, 1.31324", \ + "0.0329341, 0.122701, 0.186116, 0.295155, 0.481784, 0.795629, 1.31325", \ + "0.0455978, 0.140881, 0.200133, 0.303078, 0.484082, 0.79563, 1.31704", \ + "0.0644131, 0.178755, 0.237705, 0.334726, 0.502953, 0.800211, 1.31705", \ + "0.0934205, 0.244836, 0.311401, 0.410714, 0.572397, 0.84722, 1.33408", \ + "0.146874, 0.342225, 0.428322, 0.547932, 0.722607, 0.991711, 1.44341", \ + "0.227051, 0.49933, 0.60869, 0.766138, 0.986488, 1.2924, 1.74715" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.033453, 0.138926, 0.209323, 0.325527, 0.520226, 0.844285, 1.38458", \ + "0.0514573, 0.170187, 0.241234, 0.357714, 0.552423, 0.876738, 1.41717", \ + "0.0606136, 0.196909, 0.270961, 0.388591, 0.583577, 0.908052, 1.44884", \ + "0.0728898, 0.240064, 0.322313, 0.447217, 0.645464, 0.970483, 1.51143", \ + "0.0890112, 0.304307, 0.401845, 0.543469, 0.757603, 1.0918, 1.63455", \ + "0.11368, 0.39577, 0.520995, 0.692807, 0.941587, 1.30946, 1.87596", \ + "0.147348, 0.518993, 0.686105, 0.911286, 1.21803, 1.65506, 2.29002" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0165741, 0.154057, 0.248364, 0.403828, 0.664413, 1.09771, 1.82062", \ + "0.0267567, 0.157938, 0.24947, 0.404197, 0.664414, 1.09772, 1.82167", \ + "0.0368178, 0.16863, 0.256971, 0.407449, 0.664862, 1.09773, 1.82192", \ + "0.0549502, 0.193893, 0.280802, 0.424698, 0.673681, 1.09951, 1.82193", \ + "0.085085, 0.243585, 0.331372, 0.473842, 0.713853, 1.12446, 1.83069", \ + "0.131949, 0.333287, 0.428527, 0.576264, 0.815843, 1.21354, 1.88866", \ + "0.209787, 0.474455, 0.597461, 0.762314, 1.01734, 1.41992, 2.08142" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00367897, 0.00493207, 0.00488849, 0.00472939, 0.00445162, 0.00396084, 0.00300531", \ + "0.00390023, 0.00447063, 0.00449577, 0.0045117, 0.00455437, 0.00458049, 0.00304106", \ + "0.00482192, 0.00438371, 0.00455035, 0.00440587, 0.00436199, 0.00408211, 0.00290199", \ + "0.00702114, 0.00521525, 0.0049023, 0.00474573, 0.00474698, 0.00384819, 0.00346011", \ + "0.0120944, 0.00789573, 0.00712198, 0.00629058, 0.0053606, 0.00555233, 0.00356321", \ + "0.0223684, 0.0149919, 0.0129552, 0.0111017, 0.0093317, 0.00687631, 0.00677946", \ + "0.0433595, 0.0314941, 0.0276617, 0.0234724, 0.0196829, 0.0160823, 0.011816" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00564193, 0.00673049, 0.00670687, 0.00658852, 0.00635276, 0.00593759, 0.00503323", \ + "0.00570648, 0.00637672, 0.0063323, 0.00655466, 0.00618942, 0.00573698, 0.00507308", \ + "0.00649089, 0.0062062, 0.00638183, 0.00642297, 0.00633754, 0.00569432, 0.00499324", \ + "0.00864038, 0.00698319, 0.00674275, 0.0065509, 0.00617854, 0.00653649, 0.00506048", \ + "0.0133093, 0.0093741, 0.00871838, 0.00786194, 0.00706275, 0.00702637, 0.00548254", \ + "0.0231204, 0.0157397, 0.0139634, 0.0123062, 0.0107258, 0.00837656, 0.00759153", \ + "0.0433675, 0.031416, 0.0277977, 0.0238535, 0.0203914, 0.0169073, 0.0121179" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00498252, 0.00551758, 0.00536993, 0.00513273, 0.00482992, 0.00436603, 0.00344592", \ + "0.00496734, 0.00515688, 0.00506771, 0.00518174, 0.00470176, 0.00490936, 0.00337677", \ + "0.00579242, 0.00520426, 0.00522876, 0.00493602, 0.00573874, 0.00423968, 0.00447534", \ + "0.0077341, 0.00612323, 0.00571034, 0.00538058, 0.0051165, 0.00420393, 0.00367835", \ + "0.0124944, 0.00893706, 0.0080614, 0.00724015, 0.00609052, 0.00606601, 0.00411595", \ + "0.0223147, 0.0160359, 0.0141043, 0.0121614, 0.0103309, 0.00786095, 0.00742032", \ + "0.0423423, 0.032774, 0.0291583, 0.0251445, 0.0211652, 0.0173723, 0.0126273" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00978454, 0.0105365, 0.010524, 0.0104057, 0.0101866, 0.00965614, 0.00892816", \ + "0.00931944, 0.0102367, 0.0101697, 0.0102161, 0.00998792, 0.00949645, 0.00888687", \ + "0.00958884, 0.00993749, 0.0101731, 0.0102248, 0.0099455, 0.00950885, 0.00891424", \ + "0.0109298, 0.0102865, 0.0102429, 0.0101403, 0.00996879, 0.00965183, 0.0090356", \ + "0.0148271, 0.0119016, 0.01152, 0.0111259, 0.0104615, 0.0105034, 0.0101217", \ + "0.0239883, 0.0174794, 0.0158334, 0.0145782, 0.013465, 0.0117434, 0.0110894", \ + "0.0433212, 0.0320463, 0.0286018, 0.0250621, 0.0218038, 0.019038, 0.015289" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0054569; + rise_capacitance : 0.00551929; + rise_capacitance_range (0.00551929, 0.00551929); + fall_capacitance : 0.00539452; + fall_capacitance_range (0.00539452, 0.00539452); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00563497; + rise_capacitance : 0.00590193; + rise_capacitance_range (0.00590193, 0.00590193); + fall_capacitance : 0.00536801; + fall_capacitance_range (0.00536801, 0.00536801); + } + } + cell (sg13g2_nand2b_1) { + area : 9.072; + cell_footprint : "nand2b1"; + cell_leakage_power : 860.142; + leakage_power () { + value : 1660.53; + when : "!A_N&B"; + } + leakage_power () { + value : 800.675; + when : "A_N&B"; + } + leakage_power () { + value : 649.242; + when : "A_N&!B"; + } + leakage_power () { + value : 330.122; + when : "!A_N&!B"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0533111, 0.122839, 0.169096, 0.245549, 0.373044, 0.585754, 0.939857", \ + "0.0814824, 0.151557, 0.197974, 0.274275, 0.402027, 0.615276, 0.969011", \ + "0.0983881, 0.168996, 0.215296, 0.291721, 0.419478, 0.632073, 0.98635", \ + "0.121963, 0.195662, 0.241716, 0.318184, 0.445835, 0.658456, 1.01274", \ + "0.152165, 0.231291, 0.277082, 0.353234, 0.481201, 0.693735, 1.04818", \ + "0.192626, 0.281042, 0.326878, 0.402302, 0.530055, 0.742612, 1.09663", \ + "0.245234, 0.350713, 0.400337, 0.477771, 0.60749, 0.819696, 1.17423" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0179742, 0.11325, 0.180848, 0.292885, 0.48022, 0.79262, 1.31332", \ + "0.0212231, 0.113618, 0.181085, 0.292886, 0.480606, 0.793654, 1.31333", \ + "0.0246994, 0.114246, 0.181459, 0.293112, 0.480607, 0.793655, 1.31334", \ + "0.0304131, 0.11559, 0.182118, 0.293662, 0.480706, 0.793656, 1.31819", \ + "0.0388634, 0.119322, 0.18403, 0.294859, 0.481712, 0.793657, 1.3182", \ + "0.051169, 0.12953, 0.190669, 0.299157, 0.484494, 0.79526, 1.31821", \ + "0.071028, 0.148439, 0.206763, 0.311466, 0.494648, 0.803348, 1.31973" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0623979, 0.164018, 0.231858, 0.343515, 0.530495, 0.841963, 1.36094", \ + "0.0907031, 0.192531, 0.260443, 0.37232, 0.559341, 0.870809, 1.3901", \ + "0.108457, 0.21039, 0.27828, 0.390328, 0.57754, 0.888828, 1.40792", \ + "0.13306, 0.235853, 0.303691, 0.41561, 0.602878, 0.914523, 1.43447", \ + "0.163867, 0.270274, 0.33755, 0.449544, 0.636553, 0.948025, 1.46719", \ + "0.208877, 0.322521, 0.389536, 0.500591, 0.68652, 0.997992, 1.51677", \ + "0.257694, 0.386203, 0.455489, 0.567216, 0.754597, 1.06479, 1.58278" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0234582, 0.151286, 0.241368, 0.390306, 0.639968, 1.05583, 1.74893", \ + "0.0257078, 0.151425, 0.241613, 0.390556, 0.639969, 1.05584, 1.74894", \ + "0.0284695, 0.152073, 0.241742, 0.390819, 0.640049, 1.05585, 1.75021", \ + "0.0337398, 0.153023, 0.24253, 0.390932, 0.640099, 1.05586, 1.75022", \ + "0.0423001, 0.155718, 0.243712, 0.392104, 0.640751, 1.05587, 1.75023", \ + "0.054685, 0.162554, 0.248065, 0.394516, 0.642588, 1.05742, 1.75024", \ + "0.075048, 0.180625, 0.261751, 0.404848, 0.649877, 1.06194, 1.75109" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0254635, 0.0938045, 0.139924, 0.216021, 0.343112, 0.55499, 0.907951", \ + "0.0478597, 0.132504, 0.17949, 0.255435, 0.382591, 0.596922, 0.94761", \ + "0.0603378, 0.165452, 0.21725, 0.29568, 0.423049, 0.634828, 0.991633", \ + "0.0764563, 0.214187, 0.277869, 0.367522, 0.501889, 0.71445, 1.06708", \ + "0.0961562, 0.283154, 0.366448, 0.48049, 0.638693, 0.869792, 1.22703", \ + "0.123105, 0.369705, 0.480908, 0.632204, 0.839881, 1.11963, 1.51954", \ + "0.149122, 0.478426, 0.628914, 0.830277, 1.10824, 1.48392, 1.98481" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0196049, 0.11562, 0.182892, 0.294408, 0.4812, 0.792529, 1.31109", \ + "0.0366169, 0.123001, 0.186174, 0.294953, 0.481201, 0.794796, 1.3111", \ + "0.0502241, 0.141266, 0.20018, 0.302882, 0.483558, 0.794797, 1.31519", \ + "0.0707326, 0.178963, 0.23754, 0.334255, 0.502256, 0.799122, 1.3152", \ + "0.101608, 0.245056, 0.311723, 0.410461, 0.571905, 0.846139, 1.33229", \ + "0.156611, 0.341812, 0.42771, 0.547634, 0.72189, 0.990881, 1.44078", \ + "0.240611, 0.497169, 0.607339, 0.764191, 0.982931, 1.28985, 1.74616" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0374469, 0.137645, 0.205403, 0.317157, 0.503981, 0.815296, 1.3342", \ + "0.0549213, 0.167326, 0.23582, 0.347904, 0.535052, 0.846802, 1.36567", \ + "0.0649294, 0.193299, 0.265008, 0.37843, 0.56589, 0.877788, 1.39744", \ + "0.0781754, 0.235396, 0.315283, 0.436361, 0.627611, 0.939977, 1.45956", \ + "0.0953484, 0.297757, 0.393125, 0.530762, 0.738675, 1.06048, 1.5826", \ + "0.121347, 0.386395, 0.50926, 0.676868, 0.919152, 1.2749, 1.82253", \ + "0.15587, 0.505327, 0.668818, 0.889026, 1.18908, 1.61472, 2.2315" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0222482, 0.151234, 0.241625, 0.39037, 0.64037, 1.0558, 1.74896", \ + "0.030744, 0.15513, 0.24303, 0.391667, 0.640371, 1.05581, 1.74918", \ + "0.0403427, 0.165848, 0.25047, 0.394155, 0.641126, 1.05651, 1.74919", \ + "0.0582007, 0.190595, 0.274052, 0.412453, 0.650593, 1.05932, 1.74936", \ + "0.0890244, 0.239379, 0.324959, 0.46145, 0.691975, 1.08418, 1.75784", \ + "0.136904, 0.32846, 0.42074, 0.563363, 0.79318, 1.17484, 1.82151", \ + "0.216318, 0.466754, 0.58801, 0.747158, 0.995362, 1.38055, 2.01724" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00256139, 0.00312479, 0.00311255, 0.00307529, 0.00290856, 0.00266477, 0.00236472", \ + "0.00240339, 0.00292662, 0.00296518, 0.00300416, 0.00286391, 0.0026941, 0.00224783", \ + "0.00237523, 0.00275014, 0.00280019, 0.00288373, 0.00268114, 0.0024195, 0.0021752", \ + "0.00245178, 0.0027954, 0.00283689, 0.00276769, 0.00296755, 0.00244027, 0.00261345", \ + "0.00261459, 0.00266589, 0.00269609, 0.00277689, 0.00272999, 0.00258709, 0.00221585", \ + "0.0029883, 0.002632, 0.0025002, 0.0024648, 0.0024785, 0.0024637, 0.0018029", \ + "0.0038096, 0.0026534, 0.0026332, 0.0025779, 0.0024812, 0.0021449, 0.0020965" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00528728, 0.00576657, 0.00576549, 0.00569844, 0.00558855, 0.00531848, 0.0050293", \ + "0.00513659, 0.00561667, 0.00561618, 0.00560167, 0.00549753, 0.00524155, 0.00497856", \ + "0.00514535, 0.00544124, 0.00554766, 0.00562063, 0.00540754, 0.00517228, 0.00492797", \ + "0.005192, 0.00542057, 0.00537313, 0.00548266, 0.00539609, 0.00512858, 0.00488451", \ + "0.00532752, 0.00534802, 0.00538612, 0.00541072, 0.00528512, 0.00560202, 0.00501142", \ + "0.00578584, 0.00539284, 0.00543024, 0.00540714, 0.00534744, 0.00499484, 0.00565494", \ + "0.0065333, 0.0054861, 0.0052678, 0.005222, 0.0051259, 0.005051, 0.0047295" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00220642, 0.00241737, 0.00237296, 0.00229385, 0.00213933, 0.0019088, 0.00146427", \ + "0.00222031, 0.00230836, 0.00226049, 0.00238956, 0.00209504, 0.00218998, 0.0014202", \ + "0.00262146, 0.00233291, 0.00234058, 0.00219103, 0.0020956, 0.00189982, 0.00197026", \ + "0.00362258, 0.00281069, 0.00258163, 0.00246352, 0.00242094, 0.00188861, 0.00144248", \ + "0.00601912, 0.00427146, 0.00382908, 0.00337075, 0.00277324, 0.00254111, 0.00179554", \ + "0.0109915, 0.00792655, 0.00693969, 0.00593074, 0.00499105, 0.00377305, 0.00356074", \ + "0.0210654, 0.0164898, 0.0146557, 0.0126394, 0.0104785, 0.00859073, 0.00621028" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00537218, 0.00569881, 0.00569428, 0.00561644, 0.00550123, 0.00523113, 0.00479832", \ + "0.00514043, 0.0055518, 0.00555885, 0.00557159, 0.00541576, 0.00518331, 0.00482462", \ + "0.00525576, 0.00540253, 0.00552193, 0.00547244, 0.00540832, 0.00522374, 0.0047853", \ + "0.00586646, 0.0056005, 0.00555668, 0.00554304, 0.00548318, 0.00594977, 0.00483437", \ + "0.00775611, 0.00639294, 0.0062424, 0.00604143, 0.00564909, 0.00563212, 0.00489671", \ + "0.0123519, 0.00923542, 0.00841149, 0.00778044, 0.0072011, 0.00637931, 0.00595177", \ + "0.0220821, 0.0166794, 0.0149382, 0.0130895, 0.0114737, 0.0100597, 0.00842536" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00228254; + rise_capacitance : 0.00231771; + rise_capacitance_range (0.00231771, 0.00231771); + fall_capacitance : 0.00224736; + fall_capacitance_range (0.00224736, 0.00224736); + internal_power () { + when : "!B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00484, 0.00477645, 0.00502577, 0.00575405, 0.00742011, 0.0110879, 0.0188378" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00276326, 0.00275673, 0.00303678, 0.00374556, 0.00554588, 0.00929246, 0.0172911" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00484, 0.00477645, 0.00502577, 0.00575405, 0.00742011, 0.0110879, 0.0188378" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00276326, 0.00275673, 0.00303678, 0.00374556, 0.00554588, 0.00929246, 0.0172911" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00308416; + rise_capacitance : 0.00324971; + rise_capacitance_range (0.00324971, 0.00324971); + fall_capacitance : 0.00291861; + fall_capacitance_range (0.00291861, 0.00291861); + } + } + cell (sg13g2_nand2b_2) { + area : 14.5152; + cell_footprint : "nand2b2"; + cell_leakage_power : 1357.38; + leakage_power () { + value : 3178.72; + when : "!A_N&B"; + } + leakage_power () { + value : 939.866; + when : "A_N&B"; + } + leakage_power () { + value : 725.709; + when : "A_N&!B"; + } + leakage_power () { + value : 585.232; + when : "!A_N&!B"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0704777, 0.142831, 0.189342, 0.26585, 0.39317, 0.60543, 0.958823", \ + "0.104257, 0.177279, 0.223693, 0.299904, 0.42747, 0.6397, 0.993311", \ + "0.126321, 0.200321, 0.24662, 0.322768, 0.45008, 0.662521, 1.016", \ + "0.157847, 0.234521, 0.280345, 0.356639, 0.483757, 0.695644, 1.04896", \ + "0.198297, 0.282625, 0.328923, 0.404311, 0.53091, 0.742498, 1.09599", \ + "0.253688, 0.347925, 0.394557, 0.470209, 0.596403, 0.807979, 1.16089", \ + "0.325623, 0.437824, 0.488912, 0.564588, 0.691376, 0.902891, 1.25635" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0227233, 0.118833, 0.186283, 0.297939, 0.485018, 0.796947, 1.31678", \ + "0.0257648, 0.119296, 0.186383, 0.298109, 0.485939, 0.796984, 1.31679", \ + "0.0294774, 0.120282, 0.186965, 0.298244, 0.48594, 0.797008, 1.31722", \ + "0.0359103, 0.122463, 0.188127, 0.298943, 0.485941, 0.797009, 1.32264", \ + "0.0460006, 0.12788, 0.191267, 0.300362, 0.486648, 0.797801, 1.32265", \ + "0.060194, 0.141059, 0.199979, 0.305668, 0.489343, 0.799546, 1.32266", \ + "0.080326, 0.167184, 0.221634, 0.320177, 0.500139, 0.806882, 1.32286" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0848325, 0.195, 0.265615, 0.382044, 0.576797, 0.901008, 1.44143", \ + "0.118499, 0.228884, 0.29973, 0.416144, 0.610921, 0.935632, 1.47608", \ + "0.142237, 0.253807, 0.324581, 0.441157, 0.636075, 0.961808, 1.50094", \ + "0.176145, 0.290089, 0.360749, 0.477486, 0.672252, 0.996768, 1.5374", \ + "0.220599, 0.339501, 0.410556, 0.527228, 0.721846, 1.04651, 1.58734", \ + "0.28124, 0.409264, 0.479504, 0.596435, 0.790961, 1.11533, 1.65622", \ + "0.353808, 0.496173, 0.56854, 0.685679, 0.880864, 1.20445, 1.74519" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.02173, 0.155939, 0.249649, 0.404917, 0.665787, 1.10003, 1.82327", \ + "0.0230452, 0.156068, 0.249666, 0.404918, 0.665788, 1.10004, 1.82336", \ + "0.0254082, 0.156397, 0.249751, 0.405383, 0.66762, 1.10052, 1.82337", \ + "0.0300493, 0.15751, 0.250169, 0.405384, 0.667621, 1.10053, 1.82338", \ + "0.0390765, 0.160124, 0.251555, 0.405633, 0.667622, 1.10054, 1.82339", \ + "0.05203, 0.166319, 0.254363, 0.40724, 0.667623, 1.10055, 1.8234", \ + "0.072265, 0.180452, 0.264025, 0.412757, 0.668946, 1.10123, 1.82341" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0199255, 0.0884435, 0.133786, 0.209252, 0.336111, 0.547694, 0.900649", \ + "0.0356857, 0.127681, 0.174199, 0.249599, 0.376181, 0.587649, 0.940812", \ + "0.0430663, 0.159921, 0.211978, 0.29016, 0.417013, 0.628621, 0.98107", \ + "0.0521456, 0.207525, 0.272277, 0.361337, 0.496316, 0.708665, 1.06092", \ + "0.0619439, 0.27242, 0.358156, 0.473451, 0.631659, 0.863029, 1.22042", \ + "0.0700639, 0.353201, 0.468116, 0.622596, 0.831801, 1.11315, 1.5138", \ + "0.0700649, 0.448677, 0.606001, 0.812442, 1.09582, 1.47495, 1.97784" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0128228, 0.110622, 0.177922, 0.28963, 0.476752, 0.788241, 1.30767", \ + "0.0287478, 0.118619, 0.181649, 0.290359, 0.476753, 0.788242, 1.30796", \ + "0.0403754, 0.137201, 0.196208, 0.298743, 0.479108, 0.78838, 1.30797", \ + "0.0586416, 0.174807, 0.233796, 0.331039, 0.498585, 0.795424, 1.30937", \ + "0.0879933, 0.241001, 0.308004, 0.407225, 0.569334, 0.843479, 1.32949", \ + "0.145415, 0.339382, 0.424418, 0.544077, 0.718227, 0.988123, 1.43888", \ + "0.233602, 0.499582, 0.608274, 0.76371, 0.981632, 1.28846, 1.74396" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0272004, 0.134518, 0.205098, 0.321295, 0.516182, 0.840202, 1.38059", \ + "0.044177, 0.170784, 0.241952, 0.35849, 0.553236, 0.877613, 1.41806", \ + "0.0536267, 0.202645, 0.277866, 0.395652, 0.590306, 0.915104, 1.45505", \ + "0.0679794, 0.251826, 0.338556, 0.466429, 0.665032, 0.989318, 1.5296", \ + "0.0869241, 0.321543, 0.428534, 0.579037, 0.800285, 1.13674, 1.67867", \ + "0.113925, 0.419488, 0.5567, 0.745275, 1.01185, 1.39626, 1.97059", \ + "0.15294, 0.550621, 0.732596, 0.978026, 1.31526, 1.78951, 2.4604" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0176887, 0.155548, 0.249861, 0.404922, 0.665354, 1.09983, 1.82217", \ + "0.0321863, 0.161505, 0.251835, 0.40564, 0.665355, 1.09984, 1.82331", \ + "0.0428131, 0.177779, 0.263301, 0.410345, 0.666178, 1.09985, 1.82332", \ + "0.060091, 0.213081, 0.297984, 0.437381, 0.681122, 1.10356, 1.82333", \ + "0.0877589, 0.274919, 0.366173, 0.508931, 0.740483, 1.13944, 1.83428", \ + "0.135931, 0.376093, 0.484831, 0.641647, 0.883607, 1.27018, 1.92655", \ + "0.218696, 0.536007, 0.677241, 0.864169, 1.14159, 1.55412, 2.20669" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00498253, 0.00546213, 0.00545183, 0.00539143, 0.00510243, 0.00456993, 0.00380313", \ + "0.00488791, 0.00537811, 0.00528351, 0.00580151, 0.00511861, 0.00445831, 0.00385171", \ + "0.00504682, 0.00521342, 0.00526502, 0.00530422, 0.00486982, 0.00443702, 0.00387732", \ + "0.00523218, 0.00526738, 0.00525018, 0.00501318, 0.00514738, 0.00410228, 0.00462608", \ + "0.00579, 0.0053851, 0.0055258, 0.0054768, 0.005001, 0.0047067, 0.0038374", \ + "0.0070515, 0.0057182, 0.0055774, 0.0054964, 0.0053656, 0.0051968, 0.0037987", \ + "0.0093038, 0.0064909, 0.0063646, 0.0057857, 0.0057069, 0.0051169, 0.0050337" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0109431, 0.01195, 0.0119661, 0.0118805, 0.0116818, 0.0112227, 0.0105108", \ + "0.0108522, 0.0117633, 0.0122092, 0.0117395, 0.0115694, 0.0111586, 0.0106082", \ + "0.0108181, 0.0116071, 0.0120332, 0.0117144, 0.0118895, 0.0113079, 0.0104013", \ + "0.0108883, 0.011578, 0.0115729, 0.0119477, 0.0115037, 0.0111788, 0.0104192", \ + "0.0111851, 0.0114852, 0.0117058, 0.0115876, 0.0115016, 0.0113546, 0.0109934", \ + "0.0119958, 0.0115517, 0.011564, 0.0118313, 0.011837, 0.011058, 0.0129557", \ + "0.0136844, 0.011669, 0.0114128, 0.0116505, 0.0118329, 0.0117438, 0.0107475" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00648732, 0.0065345, 0.00600678, 0.00548563, 0.00492367, 0.00416401, 0.00305233", \ + "0.00669155, 0.00679095, 0.00634082, 0.0063361, 0.00526233, 0.00435923, 0.00329183", \ + "0.00762486, 0.00700258, 0.00677206, 0.00612797, 0.00610177, 0.00460094, 0.00334925", \ + "0.00983543, 0.00800676, 0.00751026, 0.00694203, 0.00668998, 0.00502788, 0.0040714", \ + "0.0148431, 0.0105579, 0.0097449, 0.00881541, 0.00750226, 0.00659315, 0.00448032", \ + "0.0249996, 0.0175087, 0.0155338, 0.013825, 0.012061, 0.00936162, 0.00854877", \ + "0.0463289, 0.0340911, 0.0309676, 0.0262374, 0.0228997, 0.0194139, 0.0147801" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.008322, 0.00945107, 0.00944499, 0.00928733, 0.00908991, 0.00864068, 0.00773709", \ + "0.0083943, 0.00909592, 0.00905645, 0.00908748, 0.0088926, 0.00844726, 0.00777164", \ + "0.0092064, 0.00892071, 0.00912928, 0.00913497, 0.00882261, 0.00846627, 0.00763993", \ + "0.0113484, 0.00964989, 0.0093636, 0.00925289, 0.00894027, 0.0105901, 0.00775795", \ + "0.0158428, 0.0119248, 0.0112456, 0.0106733, 0.00976854, 0.0101521, 0.00812772", \ + "0.025663, 0.0183338, 0.0165257, 0.0148412, 0.0134009, 0.011068, 0.0105339", \ + "0.0459875, 0.0340888, 0.0305468, 0.0265147, 0.0230495, 0.0196985, 0.0152742" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0021711; + rise_capacitance : 0.0022054; + rise_capacitance_range (0.0022054, 0.0022054); + fall_capacitance : 0.0021368; + fall_capacitance_range (0.0021368, 0.0021368); + internal_power () { + when : "!B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00816577, 0.00801089, 0.00809898, 0.00865362, 0.0100851, 0.0133772, 0.0206311" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00727694, 0.00713186, 0.0073275, 0.00787784, 0.00949528, 0.0129714, 0.020568" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00816577, 0.00801089, 0.00809898, 0.00865362, 0.0100851, 0.0133772, 0.0206311" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00727694, 0.00713186, 0.0073275, 0.00787784, 0.00949528, 0.0129714, 0.020568" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00526875; + rise_capacitance : 0.0071303; + rise_capacitance_range (0.0071303, 0.0071303); + fall_capacitance : 0.00340719; + fall_capacitance_range (0.00340719, 0.00340719); + } + } + cell (sg13g2_nand3_1) { + area : 9.072; + cell_footprint : "nand3"; + cell_leakage_power : 412.191; + leakage_power () { + value : 79.7638; + when : "!A&!B&!C"; + } + leakage_power () { + value : 104.665; + when : "!A&!B&C"; + } + leakage_power () { + value : 83.9351; + when : "!A&B&!C"; + } + leakage_power () { + value : 256.089; + when : "!A&B&C"; + } + leakage_power () { + value : 82.5757; + when : "A&!B&!C"; + } + leakage_power () { + value : 216.297; + when : "A&!B&C"; + } + leakage_power () { + value : 191.899; + when : "A&B&!C"; + } + leakage_power () { + value : 2282.3; + when : "A&B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.026046, 0.0936249, 0.139895, 0.215516, 0.342591, 0.554264, 0.907177", \ + "0.0468539, 0.132197, 0.179109, 0.255024, 0.382122, 0.596352, 0.946903", \ + "0.0576223, 0.164617, 0.216552, 0.295122, 0.422624, 0.634256, 0.987006", \ + "0.0707416, 0.212789, 0.276851, 0.366591, 0.500899, 0.713568, 1.06614", \ + "0.0844232, 0.278932, 0.363487, 0.478333, 0.637247, 0.868834, 1.22622", \ + "0.0972424, 0.359494, 0.47351, 0.627197, 0.83614, 1.11707, 1.51774", \ + "0.0972434, 0.451906, 0.609054, 0.81631, 1.09893, 1.47775, 1.98104" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0191284, 0.115211, 0.182699, 0.293821, 0.480382, 0.79145, 1.30965", \ + "0.0364845, 0.122399, 0.185592, 0.294332, 0.480383, 0.793766, 1.30985", \ + "0.0509731, 0.140658, 0.199588, 0.302212, 0.482589, 0.793767, 1.30986", \ + "0.0737195, 0.178653, 0.237007, 0.333477, 0.501489, 0.79807, 1.30987", \ + "0.108962, 0.246584, 0.311862, 0.41023, 0.571407, 0.845128, 1.3308", \ + "0.174366, 0.349298, 0.431716, 0.549385, 0.722134, 0.990287, 1.44001", \ + "0.274148, 0.518135, 0.623185, 0.773724, 0.988746, 1.29231, 1.74686" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0444597, 0.184409, 0.28019, 0.438119, 0.702592, 1.14329, 1.87788", \ + "0.0663024, 0.217167, 0.313062, 0.471412, 0.73625, 1.17706, 1.91173", \ + "0.080373, 0.248897, 0.34664, 0.504856, 0.769449, 1.21031, 1.94604", \ + "0.100671, 0.30092, 0.408728, 0.572237, 0.8373, 1.2775, 2.01179", \ + "0.129413, 0.377695, 0.50419, 0.688705, 0.96895, 1.41305, 2.14603", \ + "0.172143, 0.489043, 0.644575, 0.867483, 1.18997, 1.67073, 2.41921", \ + "0.236261, 0.643262, 0.844574, 1.12201, 1.51829, 2.0835, 2.91586" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0366583, 0.219972, 0.347852, 0.559531, 0.913599, 1.5037, 2.48481", \ + "0.0506919, 0.222805, 0.348296, 0.559532, 0.9136, 1.50371, 2.48493", \ + "0.0635322, 0.235341, 0.355428, 0.560773, 0.916094, 1.50372, 2.48776", \ + "0.0845466, 0.268717, 0.383747, 0.579105, 0.920208, 1.50411, 2.48777", \ + "0.117659, 0.329456, 0.449764, 0.640637, 0.963441, 1.52345, 2.49618", \ + "0.17209, 0.433344, 0.569284, 0.770449, 1.09428, 1.62593, 2.54455", \ + "0.264966, 0.603996, 0.7635, 0.997847, 1.34484, 1.89332, 2.77693" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0300781, 0.0975072, 0.143668, 0.219546, 0.346626, 0.558339, 0.911317", \ + "0.0545029, 0.13635, 0.183034, 0.259019, 0.38619, 0.600237, 0.950927", \ + "0.0683642, 0.169551, 0.220912, 0.299239, 0.426622, 0.638359, 0.991086", \ + "0.0857829, 0.219454, 0.282097, 0.371065, 0.505127, 0.717713, 1.07007", \ + "0.105943, 0.288363, 0.371043, 0.484354, 0.642172, 0.873178, 1.23031", \ + "0.129966, 0.373938, 0.48433, 0.635489, 0.843313, 1.12265, 1.52228", \ + "0.146587, 0.476145, 0.62791, 0.829609, 1.10965, 1.48713, 1.98681" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0230415, 0.119488, 0.18716, 0.298414, 0.485193, 0.796285, 1.31485", \ + "0.0396649, 0.126387, 0.189937, 0.298897, 0.485194, 0.798728, 1.31486", \ + "0.0550647, 0.144355, 0.203596, 0.306638, 0.48727, 0.798729, 1.31499", \ + "0.0784652, 0.181908, 0.240889, 0.33747, 0.505941, 0.803088, 1.315", \ + "0.113385, 0.250184, 0.315453, 0.413694, 0.575353, 0.849425, 1.3356", \ + "0.175463, 0.351695, 0.435239, 0.552982, 0.726182, 0.994238, 1.44445", \ + "0.269922, 0.516614, 0.623291, 0.77722, 0.993571, 1.2965, 1.74952" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0535326, 0.192707, 0.288327, 0.446324, 0.71079, 1.15149, 1.88607", \ + "0.0741086, 0.221951, 0.318071, 0.476358, 0.741288, 1.18201, 1.91739", \ + "0.0873025, 0.249052, 0.346754, 0.505484, 0.770539, 1.21137, 1.94718", \ + "0.105533, 0.29506, 0.400099, 0.563221, 0.829296, 1.27048, 2.00546", \ + "0.131729, 0.365931, 0.486095, 0.664584, 0.942243, 1.38715, 2.12248", \ + "0.172755, 0.472221, 0.618698, 0.828218, 1.13739, 1.60942, 2.35754", \ + "0.233833, 0.6217, 0.811391, 1.07239, 1.4421, 1.98101, 2.78887" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0365302, 0.220032, 0.34762, 0.559036, 0.912793, 1.50381, 2.48523", \ + "0.0466872, 0.221851, 0.3482, 0.559499, 0.91346, 1.50382, 2.487", \ + "0.0581738, 0.230363, 0.352935, 0.560401, 0.913461, 1.50383, 2.48756", \ + "0.0790716, 0.254838, 0.37265, 0.573128, 0.917279, 1.50384, 2.48757", \ + "0.113201, 0.304501, 0.421729, 0.616132, 0.9468, 1.51604, 2.49342", \ + "0.166426, 0.396092, 0.52144, 0.71782, 1.04143, 1.58675, 2.52776", \ + "0.252179, 0.548208, 0.698669, 0.910818, 1.24181, 1.78685, 2.68756" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.032271, 0.10085, 0.147074, 0.223128, 0.350265, 0.561828, 0.914495", \ + "0.0595517, 0.139821, 0.186601, 0.262608, 0.38966, 0.601267, 0.953955", \ + "0.0758828, 0.173733, 0.224705, 0.302819, 0.430048, 0.641512, 0.993987", \ + "0.0972163, 0.225216, 0.28714, 0.375477, 0.509129, 0.721298, 1.07362", \ + "0.123064, 0.29697, 0.377869, 0.489893, 0.646577, 0.876911, 1.2336", \ + "0.157267, 0.386901, 0.495144, 0.644556, 0.848895, 1.12769, 1.52587", \ + "0.191128, 0.498901, 0.645824, 0.843362, 1.11953, 1.49449, 1.99205" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0268882, 0.123914, 0.191105, 0.302468, 0.489098, 0.799919, 1.31834", \ + "0.042778, 0.130199, 0.193922, 0.302996, 0.489099, 0.800076, 1.31835", \ + "0.0580949, 0.147819, 0.207259, 0.310535, 0.49132, 0.800077, 1.31836", \ + "0.0827625, 0.185078, 0.243979, 0.340945, 0.509548, 0.806644, 1.31837", \ + "0.119159, 0.253123, 0.31846, 0.416741, 0.578531, 0.852787, 1.33829", \ + "0.179698, 0.35423, 0.437984, 0.556482, 0.729001, 0.997186, 1.44707", \ + "0.273019, 0.516775, 0.624681, 0.778965, 0.996379, 1.29934, 1.75262" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0580625, 0.197279, 0.292826, 0.45098, 0.715285, 1.15606, 1.89063", \ + "0.0779296, 0.222677, 0.318745, 0.477188, 0.741987, 1.18275, 1.91722", \ + "0.0901553, 0.24425, 0.341478, 0.500101, 0.765166, 1.20602, 1.94188", \ + "0.106201, 0.280769, 0.383129, 0.545145, 0.81079, 1.252, 1.98752", \ + "0.125827, 0.338031, 0.451521, 0.624514, 0.898661, 1.34262, 2.07752", \ + "0.156262, 0.425956, 0.560451, 0.754569, 1.0528, 1.5165, 2.26127", \ + "0.201839, 0.549961, 0.720843, 0.958351, 1.3015, 1.81291, 2.60111" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0364489, 0.220066, 0.347976, 0.559554, 0.912656, 1.50158, 2.48523", \ + "0.0427899, 0.221168, 0.348036, 0.559573, 0.912949, 1.5024, 2.4872", \ + "0.0509231, 0.227083, 0.351478, 0.560533, 0.913157, 1.50242, 2.4877", \ + "0.0681039, 0.244811, 0.365797, 0.568991, 0.916292, 1.50432, 2.48771", \ + "0.101888, 0.284156, 0.40339, 0.601886, 0.938494, 1.51314, 2.48899", \ + "0.158408, 0.363437, 0.483735, 0.679883, 1.01153, 1.56784, 2.51594", \ + "0.246307, 0.503531, 0.637901, 0.840622, 1.17242, 1.71772, 2.64196" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00252278, 0.0029535, 0.0029564, 0.00284161, 0.00270398, 0.00244072, 0.00194779", \ + "0.00239237, 0.00277778, 0.00278043, 0.00304336, 0.00266659, 0.00278741, 0.00203791", \ + "0.00272686, 0.00269945, 0.00279792, 0.00271461, 0.00285348, 0.00248281, 0.00195289", \ + "0.00366791, 0.00305147, 0.00292091, 0.00289297, 0.00287814, 0.00239852, 0.00196905", \ + "0.00581656, 0.00424698, 0.00390454, 0.0035738, 0.00312665, 0.00321307, 0.00230748", \ + "0.0106405, 0.00749899, 0.0065481, 0.00571023, 0.00490467, 0.00390578, 0.00380247", \ + "0.0203922, 0.0153013, 0.0134558, 0.0115114, 0.00972341, 0.00811981, 0.00613262" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00470826, 0.00503603, 0.00502541, 0.00498553, 0.00485142, 0.00463142, 0.00417344", \ + "0.00451196, 0.0048032, 0.00487977, 0.0048462, 0.00477613, 0.0045599, 0.00423559", \ + "0.00479886, 0.004806, 0.00483145, 0.00500602, 0.00494984, 0.00452408, 0.00426825", \ + "0.00568448, 0.00504711, 0.00497436, 0.00508254, 0.00482936, 0.00456862, 0.00417977", \ + "0.00767856, 0.00620818, 0.00588983, 0.00544267, 0.00530985, 0.00485261, 0.00465539", \ + "0.012191, 0.00914582, 0.00839521, 0.00762978, 0.00665323, 0.00589482, 0.00538784", \ + "0.0216407, 0.0164654, 0.0148423, 0.0131036, 0.011384, 0.00955817, 0.00765984" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00280198, 0.00295651, 0.00294064, 0.00283837, 0.00268368, 0.00244426, 0.0020073", \ + "0.00260748, 0.00284539, 0.00280655, 0.00289781, 0.00263743, 0.00276281, 0.00197986", \ + "0.00290205, 0.00279761, 0.00284695, 0.0027354, 0.00317319, 0.00239067, 0.00197258", \ + "0.00377724, 0.00316279, 0.00302097, 0.00294386, 0.00296362, 0.00241337, 0.00194097", \ + "0.00584579, 0.00443602, 0.00407916, 0.00368566, 0.00318308, 0.00299909, 0.00225353", \ + "0.0104238, 0.00771706, 0.00679782, 0.0059109, 0.0050916, 0.00394406, 0.0035719", \ + "0.0197805, 0.0155693, 0.0138638, 0.0119359, 0.0102304, 0.00838434, 0.00619103" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00684923, 0.00706909, 0.00704378, 0.00698135, 0.00684967, 0.0066334, 0.0062505", \ + "0.00650961, 0.0068836, 0.00688425, 0.0068842, 0.00679839, 0.00654933, 0.00633526", \ + "0.00656379, 0.00679483, 0.0068531, 0.00704716, 0.00671195, 0.00653464, 0.00631767", \ + "0.00706792, 0.00686717, 0.00683845, 0.00698046, 0.006899, 0.00654256, 0.00619803", \ + "0.0087272, 0.00762229, 0.00744251, 0.00716478, 0.00710019, 0.00682899, 0.00662293", \ + "0.0128434, 0.0101197, 0.0095054, 0.00894161, 0.00818226, 0.0075224, 0.00741324", \ + "0.0218222, 0.0168415, 0.0154604, 0.0137756, 0.0122346, 0.0108227, 0.00904675" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00327382, 0.00331355, 0.00324693, 0.00314417, 0.00301302, 0.00273859, 0.00233093", \ + "0.00311208, 0.0031875, 0.00313927, 0.00324002, 0.00291788, 0.00267346, 0.0021933", \ + "0.00337493, 0.00321932, 0.00323402, 0.0030727, 0.00301788, 0.00266815, 0.00224399", \ + "0.00419379, 0.00359899, 0.00341229, 0.00328756, 0.00313022, 0.0027551, 0.00225488", \ + "0.00636461, 0.00490955, 0.00452587, 0.00408043, 0.00355367, 0.00340214, 0.00260218", \ + "0.0110143, 0.00829367, 0.00738569, 0.00651617, 0.00555734, 0.00442592, 0.00404498", \ + "0.0206914, 0.0164337, 0.0147151, 0.0126439, 0.0109515, 0.0089694, 0.00648996" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00866717, 0.00887572, 0.00885739, 0.00881557, 0.00864089, 0.00838061, 0.00803923", \ + "0.0082781, 0.00867356, 0.00873824, 0.0086899, 0.0085844, 0.00835706, 0.00807513", \ + "0.00826017, 0.00854692, 0.00863017, 0.00868799, 0.00855642, 0.00832498, 0.00811055", \ + "0.00856849, 0.00855967, 0.00855788, 0.00873075, 0.00857408, 0.0083814, 0.00805341", \ + "0.00994877, 0.00917372, 0.0090597, 0.00887348, 0.00882919, 0.00869709, 0.00817002", \ + "0.0140137, 0.0115295, 0.0109516, 0.0104185, 0.00993452, 0.00926539, 0.00982742", \ + "0.0232836, 0.0184424, 0.0168856, 0.0152581, 0.0139389, 0.012491, 0.010854" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00284566; + rise_capacitance : 0.00286057; + rise_capacitance_range (0.00286057, 0.00286057); + fall_capacitance : 0.00283075; + fall_capacitance_range (0.00283075, 0.00283075); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00298253; + rise_capacitance : 0.00309415; + rise_capacitance_range (0.00309415, 0.00309415); + fall_capacitance : 0.0028709; + fall_capacitance_range (0.0028709, 0.0028709); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00294241; + rise_capacitance : 0.00308144; + rise_capacitance_range (0.00308144, 0.00308144); + fall_capacitance : 0.00280338; + fall_capacitance_range (0.00280338, 0.00280338); + } + } + cell (sg13g2_nand3b_1) { + area : 12.7008; + cell_footprint : "nand3b1"; + cell_leakage_power : 766.5; + leakage_power () { + value : 221.515; + when : "!A_N&!B&!C"; + } + leakage_power () { + value : 355.26; + when : "!A_N&!B&C"; + } + leakage_power () { + value : 330.858; + when : "!A_N&B&!C"; + } + leakage_power () { + value : 2421.24; + when : "!A_N&B&C"; + } + leakage_power () { + value : 649.436; + when : "A_N&!B&!C"; + } + leakage_power () { + value : 674.342; + when : "A_N&!B&C"; + } + leakage_power () { + value : 653.593; + when : "A_N&B&!C"; + } + leakage_power () { + value : 825.754; + when : "A_N&B&C"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0565557, 0.125149, 0.171238, 0.247285, 0.374443, 0.586159, 0.938833", \ + "0.0847559, 0.153976, 0.200159, 0.276126, 0.403181, 0.615701, 0.968231", \ + "0.101923, 0.17136, 0.217322, 0.293334, 0.4204, 0.632161, 0.985027", \ + "0.125999, 0.197809, 0.243837, 0.319743, 0.446974, 0.658705, 1.01158", \ + "0.157212, 0.233211, 0.279272, 0.355145, 0.481662, 0.693833, 1.04698", \ + "0.197173, 0.282827, 0.329008, 0.40398, 0.531105, 0.742583, 1.09611", \ + "0.251419, 0.35182, 0.402056, 0.479375, 0.608317, 0.819555, 1.17258" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0215818, 0.116864, 0.184268, 0.295644, 0.482312, 0.793376, 1.31142", \ + "0.0244121, 0.117233, 0.184354, 0.295681, 0.483603, 0.794349, 1.31185", \ + "0.0276733, 0.117769, 0.184825, 0.295941, 0.483604, 0.79435, 1.31191", \ + "0.0335295, 0.119023, 0.185403, 0.296532, 0.483605, 0.794351, 1.31653", \ + "0.0422361, 0.122616, 0.187456, 0.297585, 0.483606, 0.794352, 1.31654", \ + "0.055414, 0.132002, 0.193773, 0.30187, 0.486335, 0.796027, 1.31655", \ + "0.076165, 0.152027, 0.209547, 0.313835, 0.496793, 0.804376, 1.31838" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0761566, 0.217431, 0.313284, 0.471408, 0.735937, 1.17676, 1.91131", \ + "0.103599, 0.244936, 0.340991, 0.499311, 0.763965, 1.20477, 1.93952", \ + "0.121013, 0.262114, 0.358091, 0.516353, 0.781637, 1.22228, 1.95656", \ + "0.145506, 0.286289, 0.382425, 0.540896, 0.805713, 1.2468, 1.98129", \ + "0.177404, 0.318395, 0.414208, 0.572463, 0.83732, 1.27902, 2.01351", \ + "0.223745, 0.367793, 0.463026, 0.62076, 0.885344, 1.32594, 2.06085", \ + "0.274305, 0.429405, 0.525344, 0.684195, 0.946948, 1.3875, 2.1224" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0388163, 0.222101, 0.350177, 0.561106, 0.914934, 1.50422, 2.48732", \ + "0.0401586, 0.222159, 0.350178, 0.561174, 0.914935, 1.50579, 2.48733", \ + "0.0420739, 0.222395, 0.350179, 0.563067, 0.915311, 1.5058, 2.48734", \ + "0.0461972, 0.223054, 0.35043, 0.563068, 0.915312, 1.50581, 2.48735", \ + "0.0534972, 0.224206, 0.351463, 0.563069, 0.915313, 1.50582, 2.48749", \ + "0.065474, 0.22852, 0.353751, 0.563706, 0.916269, 1.50583, 2.4875", \ + "0.085297, 0.241749, 0.363732, 0.570823, 0.922089, 1.50699, 2.48751" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0292752, 0.0973068, 0.143554, 0.21941, 0.346544, 0.558271, 0.911336", \ + "0.053832, 0.136161, 0.182904, 0.258954, 0.386053, 0.600178, 0.950826", \ + "0.0676431, 0.16938, 0.22082, 0.299208, 0.426563, 0.638236, 0.991231", \ + "0.0852023, 0.219076, 0.282029, 0.370975, 0.505077, 0.717645, 1.07024", \ + "0.105474, 0.288191, 0.370865, 0.484167, 0.642061, 0.87314, 1.23039", \ + "0.129707, 0.373781, 0.484235, 0.635372, 0.843267, 1.12262, 1.52233", \ + "0.146204, 0.47574, 0.627258, 0.82954, 1.1096, 1.48708, 1.98678" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0237161, 0.11984, 0.187376, 0.298614, 0.485268, 0.796503, 1.31471", \ + "0.0404383, 0.126665, 0.190123, 0.299051, 0.485269, 0.798777, 1.31472", \ + "0.0559128, 0.144554, 0.203739, 0.306782, 0.487485, 0.798778, 1.31515", \ + "0.0794241, 0.182332, 0.241006, 0.337615, 0.505992, 0.802736, 1.31516", \ + "0.114554, 0.250419, 0.315616, 0.413673, 0.575334, 0.84947, 1.33575", \ + "0.176214, 0.351911, 0.435284, 0.552899, 0.726251, 0.994305, 1.44408", \ + "0.270775, 0.516184, 0.624236, 0.777298, 0.99357, 1.29652, 1.74951" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0568136, 0.196681, 0.292298, 0.450555, 0.714945, 1.15557, 1.89009", \ + "0.0765562, 0.224938, 0.321182, 0.479599, 0.744618, 1.18529, 1.92039", \ + "0.0894489, 0.251673, 0.349578, 0.508459, 0.773622, 1.21456, 1.94971", \ + "0.107171, 0.297156, 0.402574, 0.565823, 0.832127, 1.2734, 2.00837", \ + "0.132291, 0.36749, 0.488093, 0.666592, 0.944392, 1.38978, 2.12515", \ + "0.172672, 0.473258, 0.619476, 0.829308, 1.1391, 1.61171, 2.35998", \ + "0.233855, 0.621858, 0.811594, 1.07278, 1.44347, 1.98241, 2.7911" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0383468, 0.222026, 0.350186, 0.561566, 0.915638, 1.50422, 2.48732", \ + "0.0469765, 0.223822, 0.350293, 0.56169, 0.915639, 1.50585, 2.48934", \ + "0.0574511, 0.231861, 0.355127, 0.562996, 0.91564, 1.50586, 2.48935", \ + "0.0775936, 0.255728, 0.374041, 0.574387, 0.9202, 1.50624, 2.48936", \ + "0.11203, 0.305302, 0.422982, 0.617431, 0.948582, 1.51951, 2.49597", \ + "0.165981, 0.396154, 0.521711, 0.718034, 1.04264, 1.58861, 2.5271", \ + "0.251848, 0.550854, 0.697416, 0.910837, 1.24271, 1.78798, 2.68964" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0319607, 0.100721, 0.146999, 0.223144, 0.350213, 0.561809, 0.914496", \ + "0.0593493, 0.13975, 0.186545, 0.262591, 0.389631, 0.601343, 0.954216", \ + "0.0756145, 0.173716, 0.224732, 0.302896, 0.430187, 0.641726, 0.994143", \ + "0.0970635, 0.225144, 0.287037, 0.375414, 0.509054, 0.721302, 1.07354", \ + "0.122895, 0.296941, 0.377836, 0.490091, 0.64654, 0.876889, 1.23344", \ + "0.157224, 0.386809, 0.495401, 0.644494, 0.848782, 1.12763, 1.52595", \ + "0.190968, 0.498876, 0.645772, 0.844, 1.11919, 1.49446, 1.99187" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0278389, 0.124229, 0.19142, 0.302791, 0.489273, 0.80019, 1.31808", \ + "0.043729, 0.13047, 0.194081, 0.303138, 0.489274, 0.800225, 1.31847", \ + "0.0591519, 0.147958, 0.207406, 0.310616, 0.491245, 0.800226, 1.31848", \ + "0.083692, 0.185365, 0.24417, 0.341063, 0.509632, 0.806393, 1.31993", \ + "0.120172, 0.253498, 0.31861, 0.41703, 0.578599, 0.852761, 1.3387", \ + "0.181141, 0.354369, 0.438413, 0.556637, 0.728474, 0.997094, 1.4472", \ + "0.27404, 0.516937, 0.625254, 0.77885, 0.99476, 1.29938, 1.75192" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0626683, 0.202379, 0.298116, 0.456257, 0.720448, 1.16129, 1.89579", \ + "0.0827749, 0.227876, 0.324053, 0.482422, 0.74732, 1.18805, 1.92342", \ + "0.0951918, 0.249166, 0.346445, 0.505187, 0.770132, 1.21112, 1.94697", \ + "0.111516, 0.285264, 0.387777, 0.55006, 0.815906, 1.25711, 1.99239", \ + "0.13003, 0.341858, 0.455674, 0.628766, 0.903046, 1.34735, 2.0826", \ + "0.157206, 0.42848, 0.563162, 0.759283, 1.05657, 1.52066, 2.26607", \ + "0.202366, 0.551096, 0.722463, 0.961253, 1.30383, 1.81692, 2.6052" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.038298, 0.222088, 0.34987, 0.561615, 0.915018, 1.50461, 2.48576", \ + "0.0433926, 0.223038, 0.350135, 0.561711, 0.915574, 1.50585, 2.48899", \ + "0.0501396, 0.228594, 0.353295, 0.562048, 0.915575, 1.50586, 2.48984", \ + "0.0654562, 0.24585, 0.36709, 0.570569, 0.918132, 1.50652, 2.48985", \ + "0.0976554, 0.283783, 0.403565, 0.603159, 0.940179, 1.51507, 2.48986", \ + "0.156399, 0.362682, 0.48352, 0.680981, 1.01204, 1.56906, 2.51774", \ + "0.245117, 0.499922, 0.637459, 0.84167, 1.1713, 1.72016, 2.64327" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00278371, 0.00321469, 0.0032054, 0.00313303, 0.00299945, 0.00272715, 0.00235201", \ + "0.00258264, 0.00305552, 0.00305662, 0.0031051, 0.00303106, 0.00279261, 0.00236112", \ + "0.00251661, 0.00290752, 0.00296314, 0.00297462, 0.00283751, 0.00254433, 0.00228529", \ + "0.0025293, 0.00293844, 0.00297863, 0.0029082, 0.00307544, 0.00258866, 0.00270966", \ + "0.00260833, 0.00280033, 0.00289213, 0.00297173, 0.00276843, 0.00273413, 0.00233196", \ + "0.0028891, 0.0026771, 0.0026534, 0.0025857, 0.0026294, 0.002586, 0.001947", \ + "0.0035263, 0.0027745, 0.0027438, 0.0026683, 0.0025799, 0.0022807, 0.0021803" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00709421, 0.00748157, 0.00749317, 0.00741999, 0.00729915, 0.0070276, 0.00674964", \ + "0.00689274, 0.00733027, 0.00733982, 0.00731508, 0.00719615, 0.00702075, 0.00670694", \ + "0.00688454, 0.00728548, 0.00726928, 0.00733388, 0.00718668, 0.00690889, 0.00669625", \ + "0.00693885, 0.00713105, 0.00720585, 0.00717185, 0.00711365, 0.00682865, 0.00657965", \ + "0.00698501, 0.00709001, 0.00715591, 0.00706641, 0.00726221, 0.00698301, 0.00663041", \ + "0.00729555, 0.00710065, 0.00711775, 0.00712545, 0.00698545, 0.00714035, 0.00652055", \ + "0.007871, 0.0071677, 0.0070633, 0.0070832, 0.0069938, 0.0068215, 0.0063216" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00280864, 0.00298291, 0.0029698, 0.00286947, 0.0027084, 0.00246468, 0.00197499", \ + "0.00264542, 0.00286919, 0.00283333, 0.00293604, 0.00267602, 0.00279778, 0.00200349", \ + "0.002943, 0.0028263, 0.00289158, 0.00276994, 0.00311686, 0.00246673, 0.00200931", \ + "0.00382764, 0.00322485, 0.00307002, 0.00295476, 0.00294763, 0.00244108, 0.00201325", \ + "0.00592414, 0.00447157, 0.00410721, 0.00371217, 0.00319542, 0.00331294, 0.00230305", \ + "0.0104804, 0.0077537, 0.00682542, 0.00592404, 0.00515216, 0.00397602, 0.00386053", \ + "0.019838, 0.015599, 0.01388, 0.0119686, 0.010317, 0.00830703, 0.00599243" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00698045, 0.00718853, 0.00717414, 0.00711695, 0.00698133, 0.00669046, 0.00635344", \ + "0.00664086, 0.00701819, 0.00700943, 0.00700864, 0.00689723, 0.00669119, 0.00639764", \ + "0.00667874, 0.006912, 0.00694645, 0.00698457, 0.00682155, 0.00663509, 0.00636218", \ + "0.00711475, 0.00696929, 0.00694228, 0.00699196, 0.00692781, 0.00669328, 0.00635184", \ + "0.00869071, 0.00768303, 0.00752264, 0.00722096, 0.00724975, 0.00708879, 0.00674298", \ + "0.0127841, 0.0101234, 0.00950138, 0.008944, 0.00828006, 0.00762218, 0.00742514", \ + "0.0217441, 0.0168373, 0.0153628, 0.0137411, 0.0122242, 0.0108378, 0.00912847" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00326085, 0.0033064, 0.00324789, 0.00315969, 0.00301662, 0.00276649, 0.00234609", \ + "0.00311626, 0.00320618, 0.00314752, 0.00324655, 0.00290736, 0.00267866, 0.00231273", \ + "0.0034049, 0.00319727, 0.00321294, 0.00306651, 0.00337849, 0.00268448, 0.00224999", \ + "0.00421059, 0.00360423, 0.003413, 0.0032868, 0.00329206, 0.00273596, 0.00246244", \ + "0.00637996, 0.00491856, 0.00452032, 0.00408044, 0.00355307, 0.0034643, 0.00261788", \ + "0.0110328, 0.00829005, 0.00740714, 0.00652166, 0.00550916, 0.00444896, 0.00404507", \ + "0.0207003, 0.0164379, 0.0147402, 0.0127265, 0.0108903, 0.00897097, 0.00659295" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00906866, 0.00927922, 0.00925623, 0.00920944, 0.0090535, 0.00876834, 0.0084018", \ + "0.00869217, 0.00907795, 0.00908909, 0.00909473, 0.00901288, 0.00878052, 0.00853209", \ + "0.008659, 0.00896485, 0.00902852, 0.00923629, 0.00897946, 0.00875584, 0.00848145", \ + "0.00889315, 0.00893535, 0.00895078, 0.0091607, 0.00907152, 0.00877063, 0.00846749", \ + "0.0100858, 0.00945725, 0.00937716, 0.00922115, 0.00922023, 0.00889252, 0.00847352", \ + "0.0139567, 0.0116514, 0.011141, 0.0107136, 0.0102361, 0.0096074, 0.00937241", \ + "0.0232058, 0.01832, 0.0168911, 0.0154056, 0.0140058, 0.0127606, 0.0110881" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00220832; + rise_capacitance : 0.00224341; + rise_capacitance_range (0.00224341, 0.00224341); + fall_capacitance : 0.00217323; + fall_capacitance_range (0.00217323, 0.00217323); + internal_power () { + when : "(B * !C) + (!B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00491383, 0.00486299, 0.00508667, 0.00583576, 0.00749297, 0.0111716, 0.0189172" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00256573, 0.00255874, 0.00284122, 0.00356165, 0.00533829, 0.00910315, 0.0171061" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00491383, 0.00486299, 0.00508667, 0.00583576, 0.00749297, 0.0111716, 0.0189172" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00256573, 0.00255874, 0.00284122, 0.00356165, 0.00533829, 0.00910315, 0.0171061" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00297416; + rise_capacitance : 0.00311436; + rise_capacitance_range (0.00311436, 0.00311436); + fall_capacitance : 0.00283397; + fall_capacitance_range (0.00283397, 0.00283397); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00297692; + rise_capacitance : 0.00314727; + rise_capacitance_range (0.00314727, 0.00314727); + fall_capacitance : 0.00280657; + fall_capacitance_range (0.00280657, 0.00280657); + } + } + cell (sg13g2_nand4_1) { + area : 10.8864; + cell_footprint : "nand4"; + cell_leakage_power : 314.776; + leakage_power () { + value : 90.6776; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 104.819; + when : "!A&!B&!C&D&Y"; + } + leakage_power () { + value : 91.2147; + when : "!A&!B&C&!D&Y"; + } + leakage_power () { + value : 129.712; + when : "!A&!B&C&D&Y"; + } + leakage_power () { + value : 82.1149; + when : "!A&B&!C&!D&Y"; + } + leakage_power () { + value : 108.932; + when : "!A&B&!C&D&Y"; + } + leakage_power () { + value : 87.9354; + when : "!A&B&C&!D&Y"; + } + leakage_power () { + value : 281.076; + when : "!A&B&C&D&Y"; + } + leakage_power () { + value : 83.3869; + when : "A&!B&!C&!D&Y"; + } + leakage_power () { + value : 107.656; + when : "A&!B&!C&D&Y"; + } + leakage_power () { + value : 87.2409; + when : "A&!B&C&!D&Y"; + } + leakage_power () { + value : 241.365; + when : "A&!B&C&D&Y"; + } + leakage_power () { + value : 86.394; + when : "A&B&!C&!D&Y"; + } + leakage_power () { + value : 216.904; + when : "A&B&!C&D&Y"; + } + leakage_power () { + value : 193.993; + when : "A&B&C&!D&Y"; + } + leakage_power () { + value : 3042.99; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0273067, 0.0947292, 0.14067, 0.216598, 0.343744, 0.555423, 0.908359", \ + "0.0493171, 0.133476, 0.180343, 0.25635, 0.383507, 0.597536, 0.948332", \ + "0.0605925, 0.166134, 0.217904, 0.296432, 0.4239, 0.635552, 0.988417", \ + "0.0738287, 0.214685, 0.278449, 0.367983, 0.502437, 0.715082, 1.06741", \ + "0.0867328, 0.28055, 0.365072, 0.479787, 0.638483, 0.869995, 1.2275", \ + "0.0956679, 0.359316, 0.473745, 0.627888, 0.837157, 1.11814, 1.51879", \ + "0.0956689, 0.445911, 0.604863, 0.813066, 1.09793, 1.4777, 1.98149" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0206818, 0.116928, 0.184055, 0.295596, 0.48215, 0.793127, 1.31159", \ + "0.0379988, 0.123838, 0.18715, 0.296018, 0.482151, 0.795336, 1.31165", \ + "0.053113, 0.141978, 0.20101, 0.303894, 0.484301, 0.795337, 1.31166", \ + "0.0770942, 0.180003, 0.238229, 0.334872, 0.503022, 0.799852, 1.31167", \ + "0.114424, 0.248917, 0.314008, 0.411794, 0.57267, 0.846625, 1.33259", \ + "0.183708, 0.354051, 0.435887, 0.552257, 0.724168, 0.992017, 1.44167", \ + "0.288816, 0.530472, 0.631502, 0.781803, 0.995669, 1.29589, 1.74927" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0561944, 0.236893, 0.360524, 0.564986, 0.906657, 1.47662, 2.42616", \ + "0.078901, 0.266374, 0.390478, 0.595661, 0.93747, 1.50714, 2.45652", \ + "0.0950478, 0.296785, 0.421191, 0.625699, 0.967797, 1.53773, 2.48713", \ + "0.119164, 0.35088, 0.482202, 0.688636, 1.03025, 1.60008, 2.54986", \ + "0.153862, 0.433721, 0.582374, 0.805639, 1.15519, 1.72448, 2.67239", \ + "0.207045, 0.556514, 0.735418, 0.9947, 1.3826, 1.97541, 2.92653", \ + "0.28972, 0.734023, 0.958168, 1.27307, 1.73368, 2.40599, 3.42067" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.053076, 0.291149, 0.456249, 0.730266, 1.18749, 1.95178, 3.22432", \ + "0.0649723, 0.291934, 0.456275, 0.730267, 1.18829, 1.95179, 3.22433", \ + "0.0775096, 0.300812, 0.459614, 0.730268, 1.1883, 1.9518, 3.22434", \ + "0.0990136, 0.330185, 0.481728, 0.741121, 1.18985, 1.95181, 3.22435", \ + "0.13428, 0.389133, 0.54301, 0.791451, 1.21983, 1.9593, 3.22642", \ + "0.191925, 0.494379, 0.658695, 0.915421, 1.33346, 2.03619, 3.25353", \ + "0.287728, 0.668389, 0.855322, 1.13923, 1.57553, 2.27627, 3.43681" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0316524, 0.0989775, 0.145094, 0.221021, 0.348092, 0.559719, 0.91277", \ + "0.0570672, 0.137941, 0.184687, 0.260616, 0.387716, 0.599598, 0.953105", \ + "0.0713665, 0.17139, 0.222612, 0.300882, 0.428224, 0.639886, 0.992585", \ + "0.0890135, 0.221358, 0.283979, 0.372771, 0.506792, 0.719321, 1.07198", \ + "0.10842, 0.290301, 0.37276, 0.486028, 0.643615, 0.874668, 1.23188", \ + "0.12843, 0.373836, 0.484889, 0.637066, 0.844076, 1.1239, 1.52361", \ + "0.134856, 0.469329, 0.623372, 0.827189, 1.10726, 1.48692, 1.98725" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0247911, 0.121288, 0.188812, 0.300252, 0.487046, 0.7983, 1.3165", \ + "0.0412387, 0.127961, 0.19164, 0.300737, 0.487047, 0.798301, 1.31705", \ + "0.0569775, 0.145631, 0.205104, 0.308296, 0.489089, 0.798302, 1.31706", \ + "0.0821096, 0.183557, 0.242195, 0.339054, 0.507445, 0.804458, 1.31707", \ + "0.119417, 0.252464, 0.317296, 0.415218, 0.576812, 0.851157, 1.33737", \ + "0.186096, 0.356915, 0.439577, 0.555437, 0.727951, 0.996036, 1.44606", \ + "0.287089, 0.528759, 0.633642, 0.785047, 0.998203, 1.29994, 1.75191" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0702186, 0.249877, 0.373498, 0.577678, 0.919785, 1.48948, 2.43897", \ + "0.0907991, 0.277159, 0.401324, 0.60623, 0.9484, 1.51835, 2.46794", \ + "0.105467, 0.303651, 0.428605, 0.633505, 0.975792, 1.54568, 2.49529", \ + "0.126979, 0.351141, 0.481739, 0.688963, 1.03183, 1.60226, 2.55254", \ + "0.158791, 0.427602, 0.572047, 0.792051, 1.14189, 1.71366, 2.66336", \ + "0.210671, 0.545924, 0.716491, 0.96609, 1.34499, 1.93482, 2.88979", \ + "0.290918, 0.720054, 0.934217, 1.23417, 1.67426, 2.32359, 3.32626" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0532568, 0.290748, 0.456249, 0.729621, 1.1883, 1.95199, 3.22439", \ + "0.0624666, 0.291803, 0.456672, 0.729901, 1.18835, 1.952, 3.2244", \ + "0.0737233, 0.298079, 0.458832, 0.731152, 1.18836, 1.95201, 3.22441", \ + "0.095553, 0.319402, 0.47419, 0.737825, 1.18931, 1.95202, 3.22442", \ + "0.132164, 0.368082, 0.520498, 0.773559, 1.20925, 1.95629, 3.22443", \ + "0.189254, 0.46075, 0.619034, 0.870715, 1.29179, 2.00979, 3.24386", \ + "0.280838, 0.618528, 0.794948, 1.06368, 1.48794, 2.18932, 3.37111" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0340824, 0.102588, 0.148823, 0.224992, 0.352298, 0.564175, 0.917626", \ + "0.0619595, 0.14164, 0.188341, 0.264505, 0.39184, 0.603584, 0.956835", \ + "0.0785344, 0.175635, 0.226592, 0.304785, 0.432183, 0.64397, 0.996996", \ + "0.0998616, 0.227126, 0.288874, 0.377151, 0.510982, 0.723352, 1.0761", \ + "0.124724, 0.298582, 0.379715, 0.491729, 0.648577, 0.879016, 1.23615", \ + "0.154275, 0.386802, 0.495265, 0.645533, 0.850659, 1.12951, 1.52833", \ + "0.176506, 0.491373, 0.640015, 0.840283, 1.11791, 1.49313, 1.99384" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0286064, 0.125498, 0.192998, 0.30465, 0.491439, 0.802936, 1.32139", \ + "0.0441493, 0.131734, 0.195766, 0.304963, 0.49144, 0.802937, 1.3214", \ + "0.0599073, 0.149056, 0.208754, 0.312399, 0.493301, 0.802938, 1.32151", \ + "0.0857798, 0.186593, 0.245652, 0.342546, 0.511634, 0.809223, 1.32152", \ + "0.124996, 0.255201, 0.320534, 0.418508, 0.58022, 0.854977, 1.3418", \ + "0.190628, 0.360242, 0.442401, 0.559697, 0.731319, 0.999374, 1.4502", \ + "0.291188, 0.529722, 0.63662, 0.787191, 1.00279, 1.30274, 1.75664" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0784969, 0.258218, 0.381749, 0.586124, 0.928023, 1.49776, 2.44725", \ + "0.0983346, 0.282776, 0.407002, 0.611728, 0.953929, 1.52337, 2.47349", \ + "0.111541, 0.304232, 0.429014, 0.633926, 0.976122, 1.54606, 2.49569", \ + "0.130546, 0.342545, 0.471508, 0.678178, 1.02097, 1.5915, 2.54176", \ + "0.155699, 0.405637, 0.544842, 0.761115, 1.10902, 1.68025, 2.62978", \ + "0.196534, 0.507017, 0.665677, 0.904229, 1.27254, 1.85886, 2.81141", \ + "0.264409, 0.657913, 0.855403, 1.13339, 1.54748, 2.17669, 3.16466" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0531874, 0.291002, 0.456253, 0.730176, 1.18833, 1.95185, 3.22432", \ + "0.0592869, 0.29152, 0.457405, 0.730177, 1.18836, 1.95186, 3.22438", \ + "0.0677242, 0.295959, 0.457941, 0.733179, 1.18837, 1.95187, 3.22439", \ + "0.086336, 0.311945, 0.469579, 0.735889, 1.18892, 1.95188, 3.2244", \ + "0.122357, 0.35051, 0.504589, 0.76319, 1.20481, 1.95515, 3.22503", \ + "0.183589, 0.432266, 0.585363, 0.838283, 1.26813, 1.99537, 3.23859", \ + "0.277285, 0.580885, 0.745653, 1.00233, 1.42442, 2.13567, 3.33865" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0349025, 0.105122, 0.151718, 0.228146, 0.355656, 0.567464, 0.920243", \ + "0.0648328, 0.144311, 0.191222, 0.267602, 0.395043, 0.606829, 0.959696", \ + "0.0831997, 0.178927, 0.229731, 0.307944, 0.435424, 0.647154, 0.999845", \ + "0.1077, 0.231901, 0.293113, 0.380878, 0.514423, 0.726852, 1.07913", \ + "0.137134, 0.305458, 0.385484, 0.496705, 0.652708, 0.882405, 1.2392", \ + "0.175023, 0.397577, 0.504336, 0.652792, 0.855846, 1.13393, 1.53204", \ + "0.212455, 0.510903, 0.655395, 0.851531, 1.12704, 1.4999, 1.99865" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0319059, 0.129446, 0.196902, 0.308386, 0.495088, 0.806138, 1.32407", \ + "0.0471453, 0.135223, 0.199417, 0.308794, 0.495089, 0.806139, 1.32408", \ + "0.063051, 0.152238, 0.212115, 0.315882, 0.496942, 0.80614, 1.32409", \ + "0.0897543, 0.189711, 0.248604, 0.345725, 0.51488, 0.812161, 1.32429", \ + "0.129863, 0.259075, 0.323275, 0.421878, 0.583169, 0.858156, 1.34464", \ + "0.195628, 0.362795, 0.44526, 0.562416, 0.733831, 1.00223, 1.45275", \ + "0.297131, 0.531061, 0.638421, 0.789985, 1.00491, 1.30496, 1.75871" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0826316, 0.262336, 0.38595, 0.590102, 0.932164, 1.50149, 2.45138", \ + "0.102302, 0.285551, 0.409811, 0.614409, 0.956687, 1.52626, 2.47629", \ + "0.114842, 0.303876, 0.4285, 0.633443, 0.975681, 1.54556, 2.49519", \ + "0.132118, 0.334971, 0.462994, 0.669211, 1.01196, 1.58218, 2.53276", \ + "0.152276, 0.385193, 0.520828, 0.734754, 1.08182, 1.65291, 2.60229", \ + "0.180194, 0.46521, 0.617271, 0.848237, 1.21097, 1.79448, 2.74595", \ + "0.230066, 0.587192, 0.770574, 1.03436, 1.42998, 2.04754, 3.0277" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0531879, 0.290836, 0.456304, 0.729619, 1.18832, 1.95042, 3.22435", \ + "0.0571782, 0.291098, 0.457684, 0.729786, 1.18833, 1.95043, 3.22439", \ + "0.0630693, 0.294533, 0.457685, 0.73098, 1.18834, 1.95044, 3.2244", \ + "0.0767718, 0.307092, 0.466579, 0.734504, 1.18835, 1.95237, 3.22441", \ + "0.107008, 0.337589, 0.494889, 0.757065, 1.20162, 1.95369, 3.229", \ + "0.168385, 0.404396, 0.561364, 0.817786, 1.25421, 1.98792, 3.23628", \ + "0.263892, 0.53803, 0.700288, 0.95485, 1.38246, 2.10513, 3.3199" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00242064, 0.00280418, 0.00275954, 0.00269543, 0.00256553, 0.00230424, 0.00184192", \ + "0.00229054, 0.00268006, 0.00266792, 0.00267083, 0.00255346, 0.002646, 0.00189344", \ + "0.00255717, 0.00261488, 0.00271187, 0.00263197, 0.00276375, 0.00232254, 0.00188839", \ + "0.00335873, 0.00292679, 0.00280255, 0.00278329, 0.00283751, 0.00229582, 0.00191788", \ + "0.00536389, 0.00399258, 0.00371127, 0.0033798, 0.00299245, 0.00313192, 0.00212085", \ + "0.009866, 0.00691273, 0.00609037, 0.00534798, 0.0046486, 0.00364369, 0.00351646", \ + "0.0189926, 0.0142799, 0.0124664, 0.010671, 0.00922772, 0.0075037, 0.00555623" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00561231, 0.00591539, 0.00589879, 0.00585677, 0.00571869, 0.0055018, 0.00524743", \ + "0.00536736, 0.00566019, 0.00571883, 0.00573663, 0.00565068, 0.00539968, 0.00517787", \ + "0.00562265, 0.00573409, 0.00566734, 0.00564915, 0.00557382, 0.00533644, 0.00515827", \ + "0.0064144, 0.00586038, 0.00588576, 0.00584532, 0.00559879, 0.00542017, 0.00512173", \ + "0.00823339, 0.00695896, 0.00657891, 0.00629466, 0.00614922, 0.00562116, 0.0055118", \ + "0.0124244, 0.00974344, 0.00899795, 0.00826287, 0.00728975, 0.00692898, 0.00614154", \ + "0.0212685, 0.0165279, 0.0149258, 0.0133533, 0.0117416, 0.0097131, 0.00848143" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00283861, 0.00296029, 0.00293749, 0.0028391, 0.00268928, 0.00243098, 0.00203424", \ + "0.00262069, 0.00289025, 0.00287063, 0.00296281, 0.00266939, 0.00245307, 0.00205852", \ + "0.00283294, 0.00282654, 0.0028909, 0.00278559, 0.00273799, 0.00244592, 0.00202549", \ + "0.00360223, 0.00316013, 0.00301811, 0.00296382, 0.00281651, 0.00245645, 0.0020133", \ + "0.00546415, 0.0042536, 0.00395122, 0.00359585, 0.0031482, 0.00309335, 0.00234288", \ + "0.00973794, 0.00722379, 0.00641409, 0.0056374, 0.00484882, 0.00374017, 0.00359488", \ + "0.0184565, 0.014492, 0.0128993, 0.0111355, 0.00951504, 0.00783801, 0.00577343" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00774199, 0.00793424, 0.00792126, 0.00785172, 0.00774334, 0.00747804, 0.00721324", \ + "0.00738564, 0.00773042, 0.00777441, 0.00776056, 0.00767921, 0.00744856, 0.0072394", \ + "0.00741329, 0.0077169, 0.00773173, 0.00773511, 0.00761215, 0.00741008, 0.00718789", \ + "0.00781019, 0.00766598, 0.00775074, 0.00765114, 0.00755423, 0.00739621, 0.00713965", \ + "0.00925208, 0.00836841, 0.00816606, 0.00796792, 0.00781772, 0.00748708, 0.00730739", \ + "0.0130573, 0.0106233, 0.0100944, 0.0094901, 0.00871131, 0.00853383, 0.00787828", \ + "0.0214153, 0.0168311, 0.0154215, 0.0140411, 0.0126399, 0.010834, 0.00992035" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00325197, 0.00325275, 0.00320518, 0.00312822, 0.00297135, 0.00272882, 0.00229781", \ + "0.00299704, 0.00317149, 0.00311543, 0.00321905, 0.00289521, 0.00266639, 0.00220011", \ + "0.00318837, 0.00311728, 0.0031415, 0.00302224, 0.00331264, 0.0026464, 0.00219549", \ + "0.00392718, 0.003461, 0.00330496, 0.00319455, 0.00318505, 0.00273306, 0.00216111", \ + "0.00581686, 0.00457888, 0.00427344, 0.0039077, 0.00341894, 0.00352264, 0.00251661", \ + "0.0101358, 0.0076643, 0.00682286, 0.00607417, 0.00518107, 0.00418912, 0.00380891", \ + "0.0191687, 0.0151221, 0.0135192, 0.0116268, 0.0100704, 0.00814036, 0.00618508" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00962824, 0.00980401, 0.00977174, 0.00972232, 0.00960148, 0.00934464, 0.00910709", \ + "0.00922116, 0.0096024, 0.00967232, 0.00961158, 0.00952937, 0.00927596, 0.00906705", \ + "0.00918162, 0.00948157, 0.00953114, 0.00965891, 0.00945584, 0.00925616, 0.00905187", \ + "0.00939529, 0.00941889, 0.0095202, 0.009565, 0.0093939, 0.00921984, 0.0089711", \ + "0.010548, 0.00995388, 0.00978476, 0.00969361, 0.00966316, 0.00927215, 0.00916148", \ + "0.0142395, 0.0120443, 0.0115062, 0.0110692, 0.0103968, 0.0103081, 0.00983762", \ + "0.0228385, 0.0182945, 0.0169231, 0.0155005, 0.0142018, 0.0125439, 0.0116364" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00355912, 0.00351246, 0.00346626, 0.00336316, 0.00321685, 0.00295927, 0.00250482", \ + "0.00336319, 0.00341355, 0.00337542, 0.00336308, 0.0031149, 0.00285041, 0.00241019", \ + "0.00356035, 0.00341658, 0.00343163, 0.00324634, 0.00372615, 0.00287965, 0.00237046", \ + "0.00424099, 0.00377209, 0.00359295, 0.00347655, 0.00343491, 0.00292477, 0.00243195", \ + "0.00623487, 0.00494923, 0.00459782, 0.00419617, 0.00367873, 0.0034736, 0.00278334", \ + "0.0106272, 0.0080965, 0.00724615, 0.00644765, 0.00552295, 0.00435084, 0.0043706", \ + "0.0200377, 0.0159013, 0.0141779, 0.0124135, 0.0105898, 0.00858308, 0.00630339" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114095, 0.011596, 0.0115658, 0.0115062, 0.0113978, 0.0111202, 0.0108569", \ + "0.0110061, 0.0113973, 0.0114722, 0.0113995, 0.0113134, 0.0110744, 0.0108682", \ + "0.0109539, 0.0113364, 0.0113578, 0.0113463, 0.01125, 0.0110498, 0.010855", \ + "0.0110716, 0.0111595, 0.0112875, 0.0113158, 0.0111617, 0.0111098, 0.0107684", \ + "0.012006, 0.0116176, 0.0115354, 0.0114354, 0.0116362, 0.0109967, 0.0111229", \ + "0.0154813, 0.0134718, 0.0131045, 0.0126996, 0.0121001, 0.0120481, 0.0116215", \ + "0.024375, 0.0198372, 0.0185352, 0.0171669, 0.0158966, 0.0144575, 0.0134637" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00280996; + rise_capacitance : 0.00281648; + rise_capacitance_range (0.00281648, 0.00281648); + fall_capacitance : 0.00280344; + fall_capacitance_range (0.00280344, 0.00280344); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00293964; + rise_capacitance : 0.00303533; + rise_capacitance_range (0.00303533, 0.00303533); + fall_capacitance : 0.00284396; + fall_capacitance_range (0.00284396, 0.00284396); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0029537; + rise_capacitance : 0.0030717; + rise_capacitance_range (0.0030717, 0.0030717); + fall_capacitance : 0.00283569; + fall_capacitance_range (0.00283569, 0.00283569); + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00293524; + rise_capacitance : 0.00307654; + rise_capacitance_range (0.00307654, 0.00307654); + fall_capacitance : 0.00279394; + fall_capacitance_range (0.00279394, 0.00279394); + } + } + cell (sg13g2_nor2_1) { + area : 7.2576; + cell_footprint : "nor2"; + cell_leakage_power : 645.139; + leakage_power () { + value : 982.709; + when : "A&!B"; + } + leakage_power () { + value : 411.408; + when : "!A&!B"; + } + leakage_power () { + value : 778.487; + when : "!A&B"; + } + leakage_power () { + value : 407.953; + when : "A&B"; + } + pin (Y) { + direction : "output"; + function : "!(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0417385, 0.177906, 0.271244, 0.425761, 0.683587, 1.11395, 1.83095", \ + "0.0607102, 0.203887, 0.297609, 0.452177, 0.711087, 1.14102, 1.85907", \ + "0.0707897, 0.228105, 0.322701, 0.477419, 0.736166, 1.16688, 1.8833", \ + "0.0838603, 0.26919, 0.369673, 0.527464, 0.786061, 1.2165, 1.93357", \ + "0.102566, 0.332925, 0.447903, 0.619067, 0.886221, 1.31799, 2.03509", \ + "0.135283, 0.424085, 0.560356, 0.760589, 1.05531, 1.50858, 2.23157", \ + "0.174613, 0.550369, 0.723203, 0.964578, 1.31719, 1.82945, 2.60117" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0290837, 0.219468, 0.352025, 0.571001, 0.937897, 1.54947, 2.56872", \ + "0.0389642, 0.220418, 0.352266, 0.571002, 0.93887, 1.54958, 2.56899", \ + "0.0502627, 0.227465, 0.355243, 0.571582, 0.938871, 1.55004, 2.569", \ + "0.0707277, 0.249258, 0.371943, 0.58039, 0.939991, 1.55005, 2.56939", \ + "0.104129, 0.297996, 0.418397, 0.618552, 0.963124, 1.55747, 2.57154", \ + "0.159218, 0.377487, 0.506376, 0.711494, 1.04653, 1.61363, 2.59428", \ + "0.244148, 0.513839, 0.6564, 0.8834, 1.23378, 1.79492, 2.72944" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0256973, 0.0848199, 0.124656, 0.190389, 0.300463, 0.483498, 0.788675", \ + "0.0471786, 0.125843, 0.16792, 0.234211, 0.344087, 0.527119, 0.832326", \ + "0.0585281, 0.156493, 0.205042, 0.27591, 0.387391, 0.570326, 0.875355", \ + "0.0738813, 0.201695, 0.261745, 0.345738, 0.468853, 0.656699, 0.962004", \ + "0.0919445, 0.263214, 0.34123, 0.448394, 0.597239, 0.810709, 1.13101", \ + "0.113373, 0.342873, 0.450366, 0.592549, 0.786794, 1.05146, 1.4262", \ + "0.135082, 0.440164, 0.586386, 0.783312, 1.04598, 1.39541, 1.86997" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0163903, 0.0913478, 0.144417, 0.232331, 0.379278, 0.624514, 1.03291", \ + "0.0322337, 0.103629, 0.1518, 0.235094, 0.380762, 0.624515, 1.03292", \ + "0.0445283, 0.123648, 0.170385, 0.248701, 0.38628, 0.625705, 1.03293", \ + "0.0643842, 0.16049, 0.210176, 0.288076, 0.417095, 0.642159, 1.03642", \ + "0.0953022, 0.220646, 0.279025, 0.363969, 0.49705, 0.710483, 1.08041", \ + "0.146885, 0.319509, 0.393654, 0.496635, 0.64377, 0.86983, 1.22722", \ + "0.234141, 0.472625, 0.577806, 0.714357, 0.898929, 1.1546, 1.54413" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0353257, 0.172993, 0.266468, 0.420951, 0.679039, 1.10927, 1.82627", \ + "0.0557804, 0.204165, 0.297816, 0.452457, 0.711505, 1.14199, 1.85824", \ + "0.0681578, 0.236556, 0.33138, 0.485654, 0.744028, 1.17543, 1.89194", \ + "0.0858981, 0.290977, 0.393734, 0.552646, 0.810406, 1.24013, 1.95688", \ + "0.111029, 0.371492, 0.496488, 0.674257, 0.944182, 1.3746, 2.09003", \ + "0.152937, 0.48005, 0.636887, 0.856657, 1.16883, 1.6302, 2.3532", \ + "0.209291, 0.630971, 0.828415, 1.10752, 1.50328, 2.05215, 2.84953" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0293205, 0.219382, 0.352069, 0.570778, 0.937898, 1.54946, 2.56874", \ + "0.0451658, 0.221155, 0.353185, 0.571406, 0.93886, 1.54947, 2.56969", \ + "0.0579627, 0.232523, 0.357374, 0.573284, 0.939482, 1.55104, 2.5697", \ + "0.07785, 0.265229, 0.383267, 0.585648, 0.941045, 1.55105, 2.56971", \ + "0.108963, 0.330633, 0.450064, 0.644615, 0.977212, 1.56173, 2.56972", \ + "0.164445, 0.430686, 0.570312, 0.776404, 1.10185, 1.64857, 2.609", \ + "0.254811, 0.585922, 0.756346, 1.00371, 1.36429, 1.91302, 2.81435" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0221603, 0.0814886, 0.121233, 0.186869, 0.29686, 0.479873, 0.785013", \ + "0.038882, 0.122047, 0.164369, 0.23076, 0.340572, 0.525161, 0.828681", \ + "0.0468504, 0.151649, 0.20085, 0.27228, 0.383881, 0.566914, 0.871922", \ + "0.0570779, 0.19485, 0.256222, 0.341102, 0.46485, 0.653152, 0.958428", \ + "0.0667035, 0.253011, 0.333282, 0.442067, 0.592199, 0.806606, 1.12731", \ + "0.0728272, 0.325805, 0.437675, 0.583166, 0.779399, 1.04581, 1.42166", \ + "0.0728282, 0.409449, 0.562404, 0.766377, 1.03386, 1.38672, 1.86335" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0125147, 0.0867988, 0.139803, 0.227655, 0.374583, 0.619173, 1.02712", \ + "0.0276497, 0.0993951, 0.147395, 0.230553, 0.375016, 0.620872, 1.02767", \ + "0.0388928, 0.11946, 0.166191, 0.244324, 0.381146, 0.620873, 1.0278", \ + "0.0583182, 0.155873, 0.205364, 0.284176, 0.412494, 0.637661, 1.03202", \ + "0.0891814, 0.21581, 0.275341, 0.359704, 0.492345, 0.706044, 1.07506", \ + "0.141249, 0.3155, 0.390078, 0.492891, 0.639044, 0.86565, 1.22272", \ + "0.230084, 0.470639, 0.576907, 0.713913, 0.89494, 1.15268, 1.53913" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00532664, 0.00558736, 0.00557022, 0.00550042, 0.00534714, 0.00529615, 0.00521305", \ + "0.00512694, 0.00535308, 0.00539679, 0.00536731, 0.00530757, 0.00504749, 0.00525882", \ + "0.00523224, 0.00532706, 0.00531565, 0.00527933, 0.00524678, 0.00505053, 0.00515221", \ + "0.00583033, 0.00541619, 0.00544471, 0.00531757, 0.00549627, 0.00499854, 0.00520942", \ + "0.00782045, 0.00638703, 0.00609564, 0.0058224, 0.00560102, 0.00517883, 0.00537485", \ + "0.0125856, 0.00935878, 0.0085519, 0.00787069, 0.00690467, 0.00653389, 0.00585663", \ + "0.0223742, 0.0169606, 0.0152405, 0.0135769, 0.011846, 0.00977779, 0.00829107" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00266046, 0.00274638, 0.00270799, 0.00264695, 0.00251876, 0.0023356, 0.00198662", \ + "0.00250127, 0.00261189, 0.00265413, 0.00257202, 0.00260429, 0.00225771, 0.00193975", \ + "0.00282053, 0.00271916, 0.00262436, 0.00262923, 0.00251071, 0.00227442, 0.00192275", \ + "0.00374431, 0.0031117, 0.00300375, 0.00279915, 0.00260974, 0.00289408, 0.00263593", \ + "0.00578149, 0.00427234, 0.00391302, 0.0036005, 0.00324503, 0.00252563, 0.00281919", \ + "0.0104006, 0.00742307, 0.00657394, 0.00565495, 0.0048719, 0.00424222, 0.00284815", \ + "0.0200232, 0.0154536, 0.0136553, 0.0116756, 0.00976966, 0.00801871, 0.0065274" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00265255, 0.00314789, 0.00315177, 0.00309219, 0.00294408, 0.00265393, 0.00275864", \ + "0.00270975, 0.00285533, 0.00295943, 0.00296004, 0.00289243, 0.00262799, 0.00279181", \ + "0.00313146, 0.00290606, 0.00287634, 0.00290983, 0.00287826, 0.00263722, 0.00283443", \ + "0.00409417, 0.00323088, 0.00315725, 0.00295051, 0.0029998, 0.00254736, 0.00268808", \ + "0.0064064, 0.00464198, 0.00416108, 0.00378802, 0.00336573, 0.00283132, 0.00282747", \ + "0.011201, 0.00795435, 0.00705836, 0.00611494, 0.00501812, 0.00441242, 0.00387519", \ + "0.0212719, 0.0158725, 0.0140205, 0.0122583, 0.0103752, 0.00808943, 0.00660296" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00243855, 0.00298318, 0.00289587, 0.00283851, 0.0027438, 0.00251596, 0.00212607", \ + "0.00229408, 0.00272363, 0.0028345, 0.00276501, 0.00271989, 0.00278446, 0.00218097", \ + "0.00261944, 0.00276201, 0.00276566, 0.00279494, 0.00275981, 0.00256381, 0.00224547", \ + "0.0035636, 0.00305029, 0.00301127, 0.0029387, 0.00276558, 0.00293477, 0.00252533", \ + "0.00585818, 0.00413385, 0.00385872, 0.00362147, 0.0033281, 0.0027305, 0.00299717", \ + "0.0105208, 0.00708285, 0.00629992, 0.00548409, 0.0047487, 0.0042386, 0.00308339", \ + "0.0201413, 0.0148789, 0.0130216, 0.0112012, 0.00927134, 0.00795463, 0.00641822" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00298594; + rise_capacitance : 0.00293795; + rise_capacitance_range (0.00293795, 0.00293795); + fall_capacitance : 0.00303394; + fall_capacitance_range (0.00303394, 0.00303394); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00286647; + rise_capacitance : 0.0029508; + rise_capacitance_range (0.0029508, 0.0029508); + fall_capacitance : 0.00278214; + fall_capacitance_range (0.00278214, 0.00278214); + } + } + cell (sg13g2_nor2_2) { + area : 10.8864; + cell_footprint : "nor2"; + cell_leakage_power : 1290.27; + leakage_power () { + value : 1965.37; + when : "A&!B"; + } + leakage_power () { + value : 822.763; + when : "!A&!B"; + } + leakage_power () { + value : 1556.99; + when : "!A&B"; + } + leakage_power () { + value : 815.944; + when : "A&B"; + } + pin (Y) { + direction : "output"; + function : "!(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0391547, 0.108658, 0.155799, 0.233436, 0.363261, 0.579402, 0.939556", \ + "0.0577696, 0.134399, 0.182188, 0.260128, 0.390325, 0.606562, 0.966809", \ + "0.0667858, 0.155062, 0.205534, 0.285032, 0.41538, 0.631855, 0.992172", \ + "0.0777643, 0.187089, 0.244248, 0.330121, 0.464712, 0.682024, 1.0424", \ + "0.0930532, 0.233433, 0.303253, 0.402737, 0.551356, 0.779499, 1.14373", \ + "0.122223, 0.299859, 0.385808, 0.505961, 0.681521, 0.938588, 1.32683", \ + "0.157201, 0.389891, 0.501567, 0.655469, 0.868348, 1.1786, 1.62802" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0237327, 0.119484, 0.186147, 0.296246, 0.480818, 0.788413, 1.30103", \ + "0.0333507, 0.12319, 0.187754, 0.296558, 0.480819, 0.788414, 1.30104", \ + "0.0442305, 0.133794, 0.195823, 0.301124, 0.482368, 0.788637, 1.30135", \ + "0.0642386, 0.157989, 0.218452, 0.319765, 0.493362, 0.792433, 1.30176", \ + "0.0976129, 0.204252, 0.266688, 0.36748, 0.535019, 0.821398, 1.31457", \ + "0.152831, 0.274981, 0.343707, 0.45278, 0.627074, 0.909625, 1.38109", \ + "0.237503, 0.392068, 0.475852, 0.597841, 0.790757, 1.09439, 1.56758" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0240471, 0.0551096, 0.0753189, 0.108437, 0.163711, 0.255592, 0.408673", \ + "0.0445566, 0.0908819, 0.11523, 0.151147, 0.207403, 0.299379, 0.452172", \ + "0.0552277, 0.114356, 0.143936, 0.185984, 0.247902, 0.342307, 0.495463", \ + "0.0698625, 0.147422, 0.185564, 0.238428, 0.312701, 0.420603, 0.580899", \ + "0.0866273, 0.189852, 0.241531, 0.311065, 0.407066, 0.539005, 0.72709", \ + "0.106354, 0.242975, 0.313007, 0.409286, 0.538252, 0.711775, 0.948551", \ + "0.125452, 0.307127, 0.400028, 0.529515, 0.70765, 0.946138, 1.25974" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149304, 0.0520122, 0.0784759, 0.122695, 0.196299, 0.319135, 0.524292", \ + "0.0299928, 0.0686641, 0.0922335, 0.131696, 0.200605, 0.32017, 0.524293", \ + "0.0416203, 0.0865434, 0.11169, 0.15114, 0.216059, 0.329203, 0.526937", \ + "0.0604659, 0.117651, 0.146835, 0.189361, 0.256407, 0.364009, 0.549264", \ + "0.0902866, 0.167844, 0.204548, 0.255799, 0.329447, 0.443436, 0.622921", \ + "0.139159, 0.247054, 0.297666, 0.365175, 0.456044, 0.585007, 0.780249", \ + "0.22358, 0.372245, 0.442843, 0.537051, 0.66298, 0.824768, 1.05459" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0306803, 0.101475, 0.148954, 0.226638, 0.356545, 0.572789, 0.933048", \ + "0.0489706, 0.131948, 0.180025, 0.25793, 0.388, 0.604547, 0.964794", \ + "0.0597171, 0.159082, 0.211333, 0.291335, 0.42134, 0.637875, 0.997838", \ + "0.0752619, 0.19979, 0.262238, 0.351459, 0.487255, 0.703879, 1.06375", \ + "0.097639, 0.257628, 0.335737, 0.445693, 0.602382, 0.835186, 1.19872", \ + "0.135812, 0.337216, 0.435439, 0.572861, 0.769636, 1.04487, 1.44571", \ + "0.187633, 0.447538, 0.573209, 0.749059, 0.99533, 1.34803, 1.83597" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0240134, 0.119541, 0.186194, 0.296245, 0.481111, 0.788399, 1.30103", \ + "0.0392626, 0.126382, 0.189001, 0.296783, 0.481112, 0.7884, 1.30104", \ + "0.0506469, 0.143202, 0.202343, 0.304291, 0.483109, 0.78844, 1.30105", \ + "0.0686818, 0.175599, 0.235936, 0.333415, 0.500939, 0.79494, 1.30245", \ + "0.0974415, 0.230524, 0.298526, 0.401124, 0.564338, 0.839803, 1.32133", \ + "0.150847, 0.309302, 0.391832, 0.514207, 0.692575, 0.969987, 1.42378", \ + "0.237773, 0.440295, 0.539169, 0.687591, 0.906138, 1.22132, 1.69324" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0197592, 0.0512318, 0.0713251, 0.104352, 0.15954, 0.251487, 0.404788", \ + "0.0341449, 0.0853508, 0.11044, 0.146847, 0.203266, 0.295367, 0.448609", \ + "0.0409015, 0.106855, 0.137783, 0.180685, 0.243381, 0.338415, 0.491841", \ + "0.0490497, 0.136548, 0.176964, 0.231381, 0.307044, 0.415854, 0.576914", \ + "0.055685, 0.173385, 0.228531, 0.30121, 0.399058, 0.533019, 0.722161", \ + "0.0581771, 0.217138, 0.292098, 0.392924, 0.52654, 0.702459, 0.942471", \ + "0.0581781, 0.263542, 0.363334, 0.499748, 0.686193, 0.931113, 1.24937" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0104994, 0.0469728, 0.0733636, 0.117418, 0.191272, 0.314213, 0.519192", \ + "0.0247719, 0.0639301, 0.08762, 0.12692, 0.195541, 0.315344, 0.519193", \ + "0.0350348, 0.0817111, 0.107074, 0.14673, 0.211367, 0.32438, 0.52195", \ + "0.0536597, 0.112478, 0.141859, 0.184463, 0.251857, 0.35933, 0.544457", \ + "0.0823058, 0.162256, 0.199479, 0.250918, 0.324687, 0.438981, 0.618621", \ + "0.131365, 0.241127, 0.292662, 0.36128, 0.451659, 0.580787, 0.775738", \ + "0.216761, 0.368076, 0.439288, 0.535592, 0.660416, 0.82185, 1.05363" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107708, 0.01133, 0.0113894, 0.0113642, 0.0112429, 0.011013, 0.010566", \ + "0.0104113, 0.0108177, 0.0109122, 0.0110017, 0.0109653, 0.0108061, 0.0104589", \ + "0.0106092, 0.0106644, 0.0108034, 0.010771, 0.0109989, 0.0107248, 0.0103921", \ + "0.0118006, 0.0112195, 0.0110551, 0.0109512, 0.010921, 0.0107924, 0.01035", \ + "0.0157652, 0.0135578, 0.0129703, 0.0124542, 0.0118141, 0.0115649, 0.01072", \ + "0.0254275, 0.0207096, 0.0191715, 0.0176011, 0.0161182, 0.0145499, 0.0135991", \ + "0.0451317, 0.0377817, 0.0348812, 0.0316774, 0.0281038, 0.0249515, 0.0211962" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00544303, 0.00570953, 0.00563747, 0.0056175, 0.00548183, 0.00528136, 0.004977", \ + "0.00512355, 0.00537641, 0.00534457, 0.00538288, 0.00563461, 0.00525316, 0.00473393", \ + "0.00572408, 0.00557648, 0.00557345, 0.00546397, 0.00530246, 0.00571287, 0.00474491", \ + "0.00764777, 0.00655846, 0.00629073, 0.00609626, 0.00591127, 0.00531496, 0.00562806", \ + "0.0117852, 0.00965274, 0.00893101, 0.00810937, 0.00741062, 0.00684738, 0.00588056", \ + "0.0210102, 0.0171246, 0.0154728, 0.0137631, 0.0119324, 0.0104471, 0.00902385", \ + "0.0402546, 0.0346136, 0.0320084, 0.0286591, 0.0247453, 0.0210547, 0.0174822" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00535905, 0.00635165, 0.0064845, 0.00648962, 0.00643982, 0.00617205, 0.00574937", \ + "0.00563225, 0.00577462, 0.00587464, 0.00599134, 0.00607904, 0.00591276, 0.00557257", \ + "0.00652972, 0.00598926, 0.0060126, 0.00592374, 0.00613315, 0.00582839, 0.00550575", \ + "0.00864281, 0.00720239, 0.00682615, 0.00652235, 0.00641874, 0.00589629, 0.00567947", \ + "0.0133394, 0.0105871, 0.00977514, 0.00896886, 0.00791291, 0.00732795, 0.00625503", \ + "0.0231423, 0.0183149, 0.0167414, 0.0150662, 0.0132215, 0.0110741, 0.00983135", \ + "0.0431032, 0.035629, 0.0327462, 0.0295786, 0.0259537, 0.0222572, 0.0182562" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00374241, 0.0049134, 0.00491497, 0.00490222, 0.00482915, 0.00459242, 0.00427794", \ + "0.00367211, 0.00436499, 0.00451709, 0.00469366, 0.00501798, 0.00504797, 0.00425369", \ + "0.00442925, 0.00442388, 0.00462793, 0.00471526, 0.00466485, 0.00484648, 0.00426497", \ + "0.0064756, 0.00534214, 0.00516936, 0.00511932, 0.00506333, 0.00463447, 0.00495258", \ + "0.0111617, 0.00813896, 0.00760287, 0.00692434, 0.00643407, 0.00604407, 0.00486889", \ + "0.0204363, 0.0156119, 0.0139554, 0.012273, 0.0104609, 0.00923866, 0.00811056", \ + "0.0401117, 0.0328998, 0.030028, 0.0267164, 0.0227634, 0.0191472, 0.016159" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00573895; + rise_capacitance : 0.00566031; + rise_capacitance_range (0.00566031, 0.00566031); + fall_capacitance : 0.00581759; + fall_capacitance_range (0.00581759, 0.00581759); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00551782; + rise_capacitance : 0.00569453; + rise_capacitance_range (0.00569453, 0.00569453); + fall_capacitance : 0.00534111; + fall_capacitance_range (0.00534111, 0.00534111); + } + } + cell (sg13g2_nor2b_1) { + area : 9.072; + cell_footprint : "nor2b"; + cell_leakage_power : 999.466; + leakage_power () { + value : 1121.67; + when : "!A*!B_N"; + } + leakage_power () { + value : 981.101; + when : "!A*B_N"; + } + leakage_power () { + value : 546.912; + when : "A*!B_N"; + } + leakage_power () { + value : 1348.18; + when : "A*B_N"; + } + pin (Y) { + direction : "output"; + function : "!(A+!B_N)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0351925, 0.173175, 0.266713, 0.421322, 0.679599, 1.11007, 1.82753", \ + "0.0557994, 0.204338, 0.298105, 0.452833, 0.711887, 1.14261, 1.86086", \ + "0.0681849, 0.236745, 0.331596, 0.486029, 0.744572, 1.17613, 1.8932", \ + "0.0858597, 0.291062, 0.393959, 0.553011, 0.811028, 1.24064, 1.95777", \ + "0.111069, 0.371649, 0.496648, 0.674554, 0.944619, 1.37537, 2.0915", \ + "0.153021, 0.480289, 0.637102, 0.85728, 1.1693, 1.63092, 2.35427", \ + "0.209438, 0.631211, 0.828722, 1.10788, 1.5038, 2.05297, 2.85068" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0295844, 0.219808, 0.352629, 0.571465, 0.938844, 1.55073, 2.57061", \ + "0.045279, 0.221607, 0.352736, 0.572097, 0.939156, 1.55074, 2.57087", \ + "0.0580632, 0.232984, 0.357847, 0.573816, 0.940247, 1.55227, 2.57147", \ + "0.0778862, 0.265533, 0.38379, 0.58615, 0.94206, 1.55228, 2.57148", \ + "0.109084, 0.331253, 0.450364, 0.645154, 0.97798, 1.56305, 2.57149", \ + "0.164564, 0.430872, 0.571281, 0.776508, 1.10265, 1.64965, 2.60984", \ + "0.254962, 0.586152, 0.756641, 1.0037, 1.36493, 1.91335, 2.81591" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0220975, 0.0815028, 0.121226, 0.186884, 0.296871, 0.479911, 0.785052", \ + "0.0388144, 0.122042, 0.16438, 0.230721, 0.340597, 0.523641, 0.828733", \ + "0.0467286, 0.151655, 0.200776, 0.272294, 0.383768, 0.566803, 0.871763", \ + "0.0570303, 0.19485, 0.256228, 0.341115, 0.464862, 0.653205, 0.95847", \ + "0.0666836, 0.253013, 0.333289, 0.44208, 0.592231, 0.806637, 1.12734", \ + "0.0730039, 0.325807, 0.437652, 0.583012, 0.77955, 1.0458, 1.42155", \ + "0.0730049, 0.409546, 0.562887, 0.766291, 1.03394, 1.38611, 1.86341" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0125071, 0.0868125, 0.139809, 0.227669, 0.374609, 0.619214, 1.02719", \ + "0.0276516, 0.0994115, 0.147395, 0.230491, 0.375029, 0.619215, 1.02771", \ + "0.0390322, 0.119328, 0.166214, 0.244258, 0.381223, 0.620914, 1.0287", \ + "0.058298, 0.155881, 0.205658, 0.284192, 0.412509, 0.637792, 1.03233", \ + "0.0891435, 0.215818, 0.275352, 0.359718, 0.49282, 0.706079, 1.07565", \ + "0.141063, 0.315512, 0.390122, 0.492794, 0.639396, 0.865718, 1.22319", \ + "0.230156, 0.470788, 0.576635, 0.714054, 0.894917, 1.15205, 1.53957" \ + ); + } + } + timing () { + related_pin : "B_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0729661, 0.209598, 0.302954, 0.457427, 0.715831, 1.1462, 1.86361", \ + "0.100977, 0.237704, 0.331353, 0.485794, 0.744444, 1.17453, 1.89274", \ + "0.118122, 0.254985, 0.348604, 0.503125, 0.76154, 1.19194, 1.91151", \ + "0.142217, 0.280064, 0.373938, 0.528473, 0.78691, 1.21742, 1.938", \ + "0.172221, 0.313339, 0.406969, 0.561591, 0.820058, 1.25055, 1.96776", \ + "0.213389, 0.359565, 0.45323, 0.608012, 0.866628, 1.29693, 2.01432", \ + "0.269016, 0.425052, 0.519467, 0.674987, 0.934262, 1.36508, 2.08223" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0299103, 0.21978, 0.35236, 0.571666, 0.9388, 1.55073, 2.57049", \ + "0.0309367, 0.219781, 0.352966, 0.572314, 0.938866, 1.55074, 2.57168", \ + "0.0323617, 0.219913, 0.352967, 0.572315, 0.938867, 1.55075, 2.57215", \ + "0.0356886, 0.220025, 0.352968, 0.572316, 0.938868, 1.55222, 2.57422", \ + "0.0416778, 0.220519, 0.352969, 0.572317, 0.938869, 1.55223, 2.57423", \ + "0.050855, 0.223449, 0.354064, 0.572767, 0.939448, 1.55224, 2.57424", \ + "0.065478, 0.230923, 0.358845, 0.576207, 0.94208, 1.55272, 2.57425" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0595879, 0.121151, 0.161443, 0.227614, 0.338148, 0.522043, 0.828441", \ + "0.0886293, 0.151235, 0.191494, 0.257573, 0.36806, 0.552032, 0.858327", \ + "0.106863, 0.170352, 0.2106, 0.276705, 0.387081, 0.570926, 0.877624", \ + "0.132131, 0.197835, 0.23811, 0.304111, 0.414635, 0.598425, 0.904777", \ + "0.163717, 0.234884, 0.274901, 0.340835, 0.450809, 0.635039, 0.94113", \ + "0.209493, 0.289111, 0.330133, 0.39662, 0.506508, 0.689469, 0.994967", \ + "0.258109, 0.353074, 0.39867, 0.467157, 0.57983, 0.763284, 1.07001" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0200881, 0.0947581, 0.148013, 0.236111, 0.383811, 0.629871, 1.03993", \ + "0.022371, 0.0954361, 0.148158, 0.236112, 0.383919, 0.629968, 1.03994", \ + "0.0251373, 0.0965308, 0.148889, 0.236465, 0.383921, 0.629969, 1.04024", \ + "0.0303537, 0.0986196, 0.150207, 0.237461, 0.384278, 0.62997, 1.04025", \ + "0.038777, 0.103884, 0.153206, 0.23889, 0.385567, 0.630619, 1.04026", \ + "0.051006, 0.11417, 0.160585, 0.243985, 0.388444, 0.632824, 1.04136", \ + "0.070389, 0.136477, 0.180404, 0.259124, 0.400044, 0.641376, 1.04743" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00264766, 0.00314258, 0.00315098, 0.00310018, 0.00293586, 0.00265646, 0.00234505", \ + "0.00271091, 0.00285003, 0.00292063, 0.00295007, 0.00285447, 0.00262241, 0.00236415", \ + "0.00313066, 0.00294379, 0.00286267, 0.00290784, 0.00287765, 0.00266966, 0.00238414", \ + "0.00408825, 0.00322592, 0.0031494, 0.0029999, 0.00299208, 0.00253779, 0.00230442", \ + "0.00640429, 0.00464692, 0.00415798, 0.0037882, 0.00351699, 0.00282216, 0.00246903", \ + "0.0112037, 0.00793967, 0.00708638, 0.00609862, 0.00506276, 0.0042876, 0.0037378", \ + "0.0212628, 0.015868, 0.0140151, 0.0122294, 0.0103579, 0.00808794, 0.00662775" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00244694, 0.00299423, 0.00289834, 0.00284299, 0.00274797, 0.00250622, 0.00212761", \ + "0.00229863, 0.00272822, 0.00283315, 0.0028043, 0.00270236, 0.00251947, 0.00218103", \ + "0.00263056, 0.00276624, 0.00273652, 0.00281894, 0.0026981, 0.00255459, 0.00236825", \ + "0.00356635, 0.00305244, 0.00303392, 0.00293781, 0.00276623, 0.00306451, 0.00256832", \ + "0.00586252, 0.00413747, 0.00386305, 0.00362481, 0.00338994, 0.00273284, 0.00301917", \ + "0.0104707, 0.00708466, 0.00631923, 0.0054696, 0.00475148, 0.00424155, 0.00326814", \ + "0.0201482, 0.0149175, 0.0131114, 0.0112262, 0.00926492, 0.00783722, 0.00638632" \ + ); + } + } + internal_power () { + related_pin : "B_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00599611, 0.00622361, 0.00620851, 0.00612471, 0.00597941, 0.00568511, 0.00547185", \ + "0.00587286, 0.00611396, 0.00614746, 0.00610196, 0.00593916, 0.00565676, 0.00566446", \ + "0.00583, 0.006095, 0.006069, 0.0060429, 0.0058881, 0.0056614, 0.005648", \ + "0.0057844, 0.0059957, 0.0060387, 0.006134, 0.0058757, 0.0057184, 0.0057476", \ + "0.00577897, 0.00600407, 0.00603517, 0.00597147, 0.00611677, 0.00569467, 0.00566597", \ + "0.0058888, 0.0059578, 0.0059812, 0.0060686, 0.0059394, 0.0057847, 0.0059332", \ + "0.0063252, 0.0059622, 0.0058874, 0.005937, 0.0059953, 0.0059456, 0.0055435" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00307211, 0.00318441, 0.00317527, 0.00312129, 0.00301145, 0.00281024, 0.0024299", \ + "0.002902, 0.00307152, 0.00314871, 0.00312592, 0.00293763, 0.0027206, 0.0023418", \ + "0.00294315, 0.00309355, 0.00301449, 0.00307691, 0.00283401, 0.00261577, 0.00235417", \ + "0.00292641, 0.00303829, 0.00306989, 0.0028562, 0.00276455, 0.00245922, 0.00208711", \ + "0.00309346, 0.00311406, 0.00310126, 0.00306746, 0.00298286, 0.00260004, 0.00332936", \ + "0.003506, 0.0032283, 0.0030443, 0.0031007, 0.0030174, 0.0027545, 0.001834", \ + "0.0041087, 0.0032646, 0.0031513, 0.0028014, 0.0026106, 0.0023052, 0.0023953" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00286795; + rise_capacitance : 0.00295192; + rise_capacitance_range (0.00295192, 0.00295192); + fall_capacitance : 0.00278397; + fall_capacitance_range (0.00278397, 0.00278397); + } + pin (B_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00224593; + rise_capacitance : 0.00227994; + rise_capacitance_range (0.00227994, 0.00227994); + fall_capacitance : 0.00221191; + fall_capacitance_range (0.00221191, 0.00221191); + internal_power () { + when : "A"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00451779, 0.00439864, 0.0046174, 0.005349, 0.00691943, 0.0105549, 0.0182118" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0045629, 0.00454088, 0.00475787, 0.0055075, 0.00719354, 0.0109098, 0.0188237" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00451779, 0.00439864, 0.0046174, 0.005349, 0.00691943, 0.0105549, 0.0182118" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0045629, 0.00454088, 0.00475787, 0.0055075, 0.00719354, 0.0109098, 0.0188237" \ + ); + } + } + } + } + cell (sg13g2_nor2b_2) { + area : 12.7008; + cell_footprint : "nor2b"; + cell_leakage_power : 1706.27; + leakage_power () { + value : 2134.04; + when : "!A*!B_N"; + } + leakage_power () { + value : 1474.23; + when : "!A*B_N"; + } + leakage_power () { + value : 982.854; + when : "A*!B_N"; + } + leakage_power () { + value : 2233.97; + when : "A*B_N"; + } + pin (Y) { + direction : "output"; + function : "!(A+!B_N)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0303473, 0.171931, 0.265563, 0.420018, 0.678185, 1.10856, 1.82584", \ + "0.0486099, 0.203188, 0.296872, 0.451587, 0.710734, 1.1409, 1.85917", \ + "0.0591782, 0.235452, 0.330404, 0.484911, 0.743588, 1.17475, 1.89167", \ + "0.0743117, 0.289192, 0.39285, 0.551248, 0.809113, 1.23946, 1.95615", \ + "0.0958344, 0.369485, 0.494727, 0.672944, 0.943092, 1.37382, 2.08987", \ + "0.132109, 0.476587, 0.634093, 0.854748, 1.1671, 1.62795, 2.35248", \ + "0.18008, 0.625116, 0.823603, 1.10324, 1.50012, 2.04975, 2.84817" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.023885, 0.218653, 0.351342, 0.570591, 0.937908, 1.55007, 2.57033", \ + "0.0393664, 0.220571, 0.352014, 0.571091, 0.938881, 1.55008, 2.57058", \ + "0.0506474, 0.231821, 0.356737, 0.572678, 0.938882, 1.55167, 2.57059", \ + "0.0687713, 0.264733, 0.382666, 0.585343, 0.941504, 1.55168, 2.5706", \ + "0.0976396, 0.33022, 0.449491, 0.644236, 0.977244, 1.56265, 2.57084", \ + "0.151478, 0.429896, 0.56983, 0.775169, 1.10185, 1.64949, 2.60941", \ + "0.238446, 0.585758, 0.756831, 1.00594, 1.36484, 1.91385, 2.81573" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0200397, 0.0839111, 0.125328, 0.193757, 0.308301, 0.499264, 0.816796", \ + "0.0347334, 0.124638, 0.168517, 0.237556, 0.351961, 0.542686, 0.860768", \ + "0.041753, 0.154692, 0.205427, 0.279207, 0.395146, 0.5858, 0.903658", \ + "0.0504622, 0.19866, 0.261671, 0.349264, 0.476851, 0.672284, 0.990311", \ + "0.0582621, 0.258064, 0.340388, 0.452062, 0.606407, 0.827371, 1.15963", \ + "0.0626654, 0.333463, 0.447771, 0.596301, 0.79728, 1.07097, 1.45752", \ + "0.0626664, 0.421564, 0.57818, 0.785875, 1.05817, 1.41886, 1.90747" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0106985, 0.0898527, 0.145172, 0.236562, 0.389757, 0.64501, 1.07025", \ + "0.0251024, 0.102095, 0.15231, 0.239372, 0.389939, 0.645086, 1.07026", \ + "0.0354464, 0.122031, 0.170881, 0.252506, 0.395842, 0.645719, 1.07061", \ + "0.0538425, 0.158761, 0.210578, 0.291695, 0.426333, 0.661591, 1.07422", \ + "0.0827657, 0.219171, 0.280502, 0.367582, 0.505269, 0.728583, 1.1151", \ + "0.131917, 0.319971, 0.395598, 0.501536, 0.653859, 0.888812, 1.26068", \ + "0.217445, 0.475872, 0.58442, 0.724476, 0.911395, 1.17508, 1.57924" \ + ); + } + } + timing () { + related_pin : "B_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0798335, 0.220727, 0.314275, 0.468707, 0.727016, 1.1574, 1.87462", \ + "0.111841, 0.253071, 0.346833, 0.501205, 0.760103, 1.18974, 1.90845", \ + "0.132281, 0.274048, 0.367788, 0.522339, 0.781033, 1.21129, 1.93021", \ + "0.161483, 0.304897, 0.398581, 0.553209, 0.811638, 1.24212, 1.96068", \ + "0.198678, 0.346412, 0.439901, 0.594617, 0.852875, 1.28303, 2.00019", \ + "0.24954, 0.404795, 0.498377, 0.65307, 0.911916, 1.34195, 2.05843", \ + "0.316557, 0.484484, 0.578358, 0.73385, 0.991736, 1.42264, 2.14057" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0257394, 0.218614, 0.351263, 0.570649, 0.937888, 1.55007, 2.57032", \ + "0.0273333, 0.218662, 0.352575, 0.571095, 0.938301, 1.55008, 2.57059", \ + "0.0295222, 0.218732, 0.352576, 0.571096, 0.938302, 1.55071, 2.5716", \ + "0.0337478, 0.218973, 0.352577, 0.571097, 0.938303, 1.55117, 2.57317", \ + "0.0412917, 0.220231, 0.352578, 0.571098, 0.938304, 1.55118, 2.57318", \ + "0.052916, 0.223757, 0.353326, 0.571725, 0.938715, 1.55119, 2.57319", \ + "0.070139, 0.233731, 0.359362, 0.575221, 0.940909, 1.5512, 2.5732" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0702801, 0.138269, 0.17972, 0.247672, 0.361175, 0.550186, 0.864635", \ + "0.102598, 0.171468, 0.213054, 0.280952, 0.394303, 0.583082, 0.897381", \ + "0.124691, 0.194912, 0.236417, 0.304392, 0.417782, 0.606621, 0.921298", \ + "0.15588, 0.228499, 0.269777, 0.337451, 0.450436, 0.638927, 0.953648", \ + "0.195762, 0.274954, 0.316901, 0.38404, 0.497344, 0.685304, 0.999281", \ + "0.251292, 0.339635, 0.382694, 0.450356, 0.562949, 0.749894, 1.06384", \ + "0.313599, 0.419131, 0.465873, 0.536805, 0.651194, 0.838351, 1.1523" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0218224, 0.0994798, 0.153722, 0.243834, 0.39533, 0.647889, 1.06864", \ + "0.0239892, 0.100215, 0.153985, 0.244034, 0.39547, 0.64789, 1.06865", \ + "0.0273106, 0.101517, 0.154776, 0.24432, 0.395471, 0.647968, 1.06866", \ + "0.0331419, 0.104502, 0.156705, 0.245629, 0.396056, 0.648104, 1.06902", \ + "0.042995, 0.111269, 0.161018, 0.247791, 0.39726, 0.648765, 1.06903", \ + "0.056577, 0.12265, 0.169557, 0.25315, 0.400373, 0.650884, 1.06957", \ + "0.078154, 0.148315, 0.191921, 0.270556, 0.412892, 0.658394, 1.07505" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00524547, 0.00637577, 0.00639491, 0.0062797, 0.00594581, 0.00540344, 0.0047843", \ + "0.00554533, 0.00582637, 0.00598678, 0.00602243, 0.0058651, 0.0053278, 0.00485836", \ + "0.00641952, 0.00593789, 0.00584555, 0.00592885, 0.00564261, 0.00540591, 0.004693", \ + "0.00841354, 0.0065563, 0.00639965, 0.0060607, 0.00585608, 0.00519383, 0.00462164", \ + "0.0131135, 0.00930422, 0.00835784, 0.00760619, 0.00723733, 0.00576293, 0.00507391", \ + "0.0227265, 0.0157776, 0.014031, 0.0120881, 0.0101253, 0.00917822, 0.00746897", \ + "0.0427031, 0.0313639, 0.027696, 0.0242556, 0.0203955, 0.0159599, 0.0130809" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00380247, 0.00497106, 0.00493781, 0.00483251, 0.00462615, 0.00425591, 0.00331977", \ + "0.00370215, 0.00460318, 0.00483368, 0.00474931, 0.0045134, 0.0042368, 0.00343772", \ + "0.00444834, 0.00466388, 0.0046488, 0.00476244, 0.00450843, 0.0041503, 0.00348964", \ + "0.0064541, 0.00524377, 0.00518217, 0.00488419, 0.00467745, 0.00484436, 0.00486199", \ + "0.0110828, 0.00730466, 0.00684709, 0.00629426, 0.00564321, 0.00464417, 0.00536779", \ + "0.0202517, 0.0131096, 0.0115413, 0.00992416, 0.00865243, 0.00766256, 0.00532855", \ + "0.0396029, 0.0284666, 0.0248199, 0.0212215, 0.017426, 0.014486, 0.0118712" \ + ); + } + } + internal_power () { + related_pin : "B_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0115863, 0.0121987, 0.0122014, 0.0120335, 0.0117843, 0.0111969, 0.0108167", \ + "0.011389, 0.0119553, 0.0121147, 0.0120043, 0.0117469, 0.0111794, 0.0108574", \ + "0.0113449, 0.01193, 0.0119224, 0.0122753, 0.0116081, 0.0111417, 0.0110174", \ + "0.0113347, 0.0117547, 0.0118842, 0.011845, 0.0115268, 0.0111988, 0.0112519", \ + "0.0114889, 0.011828, 0.011818, 0.0117901, 0.0119919, 0.0112597, 0.0107677", \ + "0.0119567, 0.0117323, 0.0118332, 0.0119881, 0.011803, 0.0114017, 0.0118602", \ + "0.0132968, 0.0119057, 0.0117332, 0.0119002, 0.0118152, 0.0116911, 0.0110695" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00564638, 0.00603938, 0.00599068, 0.00585818, 0.00561678, 0.00535998, 0.00462008", \ + "0.0054876, 0.0059017, 0.0059772, 0.0058024, 0.0056716, 0.0050806, 0.0042566", \ + "0.00556055, 0.00582955, 0.00576395, 0.00597405, 0.00549515, 0.00524955, 0.00441805", \ + "0.00576336, 0.00579056, 0.00588026, 0.00549196, 0.00525216, 0.00468386, 0.00398926", \ + "0.0062564, 0.0060066, 0.0059724, 0.005864, 0.0057981, 0.0047285, 0.004895", \ + "0.007536, 0.0061117, 0.0060336, 0.0060204, 0.0058421, 0.0052454, 0.0039568", \ + "0.0093126, 0.006529, 0.0065088, 0.0059733, 0.0057466, 0.0049608, 0.0051814" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0055587; + rise_capacitance : 0.00573376; + rise_capacitance_range (0.00573376, 0.00573376); + fall_capacitance : 0.00538364; + fall_capacitance_range (0.00538364, 0.00538364); + } + pin (B_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00265454; + rise_capacitance : 0.00270204; + rise_capacitance_range (0.00270204, 0.00270204); + fall_capacitance : 0.00260704; + fall_capacitance_range (0.00260704, 0.00260704); + internal_power () { + when : "A"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00782511, 0.00767483, 0.00784077, 0.00859981, 0.0103223, 0.0145478, 0.0235615" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00773492, 0.0076106, 0.00783965, 0.00857724, 0.0105547, 0.0147132, 0.0240602" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00782511, 0.00767483, 0.00784077, 0.00859981, 0.0103223, 0.0145478, 0.0235615" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00773492, 0.0076106, 0.00783965, 0.00857724, 0.0105547, 0.0147132, 0.0240602" \ + ); + } + } + } + } + cell (sg13g2_nor3_1) { + area : 9.072; + cell_footprint : "nor3"; + cell_leakage_power : 750.304; + leakage_power () { + value : 1275.16; + when : "A&!B&!C"; + } + leakage_power () { + value : 648.302; + when : "!A&!B&!C"; + } + leakage_power () { + value : 1001.7; + when : "!A&B&!C"; + } + leakage_power () { + value : 797.4; + when : "!A&!B&C"; + } + leakage_power () { + value : 716.476; + when : "A&B&!C"; + } + leakage_power () { + value : 385.236; + when : "B&C"; + } + leakage_power () { + value : 427.857; + when : "A&!B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0763554, 0.281673, 0.422592, 0.655448, 1.04507, 1.69504, 2.77623", \ + "0.0963892, 0.303651, 0.445052, 0.678661, 1.06848, 1.71806, 2.80027", \ + "0.107722, 0.321325, 0.46289, 0.696654, 1.08674, 1.73678, 2.82043", \ + "0.122463, 0.352923, 0.496461, 0.730139, 1.12038, 1.77042, 2.85303", \ + "0.141435, 0.404975, 0.557377, 0.796828, 1.18858, 1.83806, 2.92002", \ + "0.178495, 0.487454, 0.654133, 0.91071, 1.31667, 1.97188, 3.05227", \ + "0.234069, 0.61609, 0.807551, 1.09422, 1.53593, 2.22188, 3.32087" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.057298, 0.341662, 0.539387, 0.866574, 1.41431, 2.32632, 3.84862", \ + "0.0620553, 0.342077, 0.540471, 0.866575, 1.41432, 2.32706, 3.84863", \ + "0.070093, 0.344057, 0.540472, 0.86699, 1.41438, 2.32767, 3.84864", \ + "0.0869708, 0.356212, 0.546768, 0.868435, 1.41439, 2.32768, 3.84865", \ + "0.12342, 0.391315, 0.576199, 0.887974, 1.42207, 2.32891, 3.84866", \ + "0.186818, 0.458632, 0.643532, 0.950362, 1.46898, 2.35082, 3.86767", \ + "0.282685, 0.582801, 0.77404, 1.0864, 1.60277, 2.46112, 3.9167" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.028789, 0.0872541, 0.125891, 0.189253, 0.295128, 0.470592, 0.76316", \ + "0.0546738, 0.128516, 0.169031, 0.232987, 0.338488, 0.514197, 0.806824", \ + "0.0690616, 0.159893, 0.206511, 0.274557, 0.381754, 0.55728, 0.849797", \ + "0.0875332, 0.20603, 0.26337, 0.344121, 0.462705, 0.643481, 0.936245", \ + "0.109276, 0.268505, 0.342916, 0.446128, 0.589655, 0.795924, 1.10454", \ + "0.133681, 0.347733, 0.450985, 0.588152, 0.776159, 1.03256, 1.3962", \ + "0.156258, 0.43971, 0.580927, 0.772585, 1.02842, 1.36861, 1.83061" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.021711, 0.0946327, 0.145465, 0.229624, 0.370849, 0.604854, 0.996001", \ + "0.0383945, 0.10633, 0.152563, 0.232506, 0.371454, 0.605261, 0.996552", \ + "0.0524448, 0.126284, 0.171184, 0.246089, 0.377381, 0.606543, 0.996553", \ + "0.0751037, 0.162696, 0.210563, 0.285559, 0.409098, 0.624647, 1.00078", \ + "0.11189, 0.224772, 0.28056, 0.361811, 0.488541, 0.693984, 1.04655", \ + "0.171716, 0.326974, 0.39699, 0.494728, 0.635551, 0.853723, 1.19669", \ + "0.275111, 0.489097, 0.587309, 0.716813, 0.890757, 1.13774, 1.51234" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0716362, 0.27697, 0.418112, 0.650769, 1.04076, 1.6896, 2.77152", \ + "0.0921565, 0.300875, 0.442363, 0.675999, 1.06587, 1.7161, 2.79866", \ + "0.10491, 0.324205, 0.465771, 0.699512, 1.08984, 1.73981, 2.82312", \ + "0.12449, 0.368147, 0.512945, 0.746696, 1.13734, 1.78657, 2.87093", \ + "0.154901, 0.442748, 0.599324, 0.841787, 1.23378, 1.88332, 2.96522", \ + "0.211423, 0.554773, 0.736755, 1.00381, 1.41548, 2.07234, 3.15325", \ + "0.293588, 0.726025, 0.941334, 1.25795, 1.72361, 2.42757, 3.53307" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0573433, 0.341663, 0.539231, 0.866638, 1.41377, 2.32718, 3.84862", \ + "0.0655198, 0.342873, 0.539816, 0.866639, 1.41448, 2.32738, 3.84863", \ + "0.0776649, 0.345231, 0.540022, 0.866834, 1.41449, 2.32753, 3.84864", \ + "0.0999277, 0.362556, 0.549755, 0.869257, 1.4145, 2.32754, 3.84865", \ + "0.137759, 0.408668, 0.589116, 0.894878, 1.42458, 2.32755, 3.84866", \ + "0.195955, 0.492758, 0.678818, 0.97947, 1.4845, 2.35695, 3.87356", \ + "0.289614, 0.631075, 0.838257, 1.15714, 1.66382, 2.49894, 3.93569" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0282804, 0.084971, 0.123299, 0.186559, 0.292579, 0.46852, 0.762126", \ + "0.0513855, 0.126014, 0.166571, 0.230408, 0.336119, 0.512306, 0.806019", \ + "0.0633513, 0.156526, 0.203415, 0.271903, 0.379413, 0.555484, 0.848981", \ + "0.0782777, 0.201088, 0.259281, 0.340721, 0.460082, 0.641553, 0.93533", \ + "0.0941815, 0.260718, 0.336924, 0.441308, 0.586127, 0.793498, 1.10343", \ + "0.107581, 0.334379, 0.44095, 0.580837, 0.770917, 1.02922, 1.39455", \ + "0.108096, 0.415201, 0.561784, 0.758921, 1.01909, 1.36218, 1.82746" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0185192, 0.0908108, 0.141888, 0.226388, 0.368118, 0.603134, 0.995812", \ + "0.0343751, 0.102873, 0.149213, 0.22924, 0.368119, 0.603323, 0.995813", \ + "0.0477009, 0.122664, 0.167921, 0.243095, 0.374896, 0.604526, 0.995814", \ + "0.0696637, 0.159119, 0.206969, 0.282973, 0.40656, 0.622892, 1.00165", \ + "0.104302, 0.220619, 0.276536, 0.35833, 0.485953, 0.692392, 1.04622", \ + "0.163127, 0.323044, 0.392782, 0.491589, 0.633178, 0.851915, 1.19638", \ + "0.2615, 0.482915, 0.58236, 0.7134, 0.888969, 1.13686, 1.51375" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0554663, 0.262822, 0.404164, 0.636913, 1.02638, 1.67585, 2.7578", \ + "0.0781902, 0.288585, 0.43025, 0.663944, 1.05428, 1.70442, 2.78584", \ + "0.0950661, 0.318064, 0.459283, 0.69253, 1.08277, 1.73317, 2.81497", \ + "0.120061, 0.374459, 0.518648, 0.750756, 1.14059, 1.7936, 2.87393", \ + "0.157956, 0.466933, 0.628082, 0.872178, 1.2619, 1.90897, 2.98928", \ + "0.221133, 0.596491, 0.791862, 1.07155, 1.49098, 2.14667, 3.22251", \ + "0.315449, 0.784109, 1.02268, 1.3682, 1.86366, 2.58832, 3.69958" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0571946, 0.341484, 0.539475, 0.866507, 1.41332, 2.32718, 3.84883", \ + "0.0697718, 0.341913, 0.539703, 0.866508, 1.41451, 2.32719, 3.84884", \ + "0.082915, 0.347151, 0.540302, 0.866509, 1.41452, 2.32767, 3.84885", \ + "0.103611, 0.372027, 0.55494, 0.87067, 1.41657, 2.32931, 3.84886", \ + "0.138726, 0.432166, 0.610927, 0.908954, 1.42951, 2.33024, 3.84887", \ + "0.195221, 0.53426, 0.725202, 1.02465, 1.51842, 2.37319, 3.8669", \ + "0.291185, 0.690949, 0.918664, 1.25094, 1.76071, 2.57884, 3.9832" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0244169, 0.0809497, 0.119184, 0.182332, 0.288218, 0.464165, 0.757725", \ + "0.0434839, 0.121779, 0.162453, 0.226376, 0.332069, 0.508141, 0.802143", \ + "0.0520707, 0.151159, 0.198737, 0.267645, 0.375307, 0.551366, 0.844758", \ + "0.0623416, 0.193886, 0.253332, 0.335635, 0.455562, 0.637367, 0.931396", \ + "0.0700456, 0.249962, 0.328573, 0.434539, 0.580711, 0.788943, 1.09917", \ + "0.0700466, 0.317428, 0.428059, 0.571265, 0.763353, 1.0233, 1.38913", \ + "0.0700476, 0.386193, 0.539443, 0.741945, 1.00715, 1.35323, 1.82145" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145762, 0.0862245, 0.137191, 0.221592, 0.363106, 0.598326, 0.990898", \ + "0.0300128, 0.0987203, 0.144959, 0.224691, 0.363358, 0.598716, 0.991007", \ + "0.0423082, 0.118547, 0.163673, 0.238679, 0.370443, 0.599851, 0.991008", \ + "0.0634638, 0.155082, 0.202694, 0.278224, 0.402083, 0.617671, 0.995988", \ + "0.0978396, 0.215797, 0.272836, 0.353732, 0.482392, 0.687666, 1.04165", \ + "0.156023, 0.317948, 0.388999, 0.487787, 0.628656, 0.847447, 1.19112", \ + "0.254244, 0.479559, 0.580214, 0.712239, 0.884544, 1.13467, 1.50738" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00910216, 0.00933656, 0.00931975, 0.00924523, 0.00909696, 0.00881408, 0.00865286", \ + "0.00883987, 0.00910437, 0.00918274, 0.00911293, 0.00902687, 0.00878653, 0.00857683", \ + "0.00882338, 0.00899863, 0.00904052, 0.00904929, 0.00895425, 0.00877331, 0.0086436", \ + "0.00904842, 0.00900887, 0.00895647, 0.0090673, 0.00886097, 0.00868723, 0.00862929", \ + "0.0103542, 0.00955693, 0.00937666, 0.0093872, 0.00904733, 0.00878772, 0.00865066", \ + "0.0146161, 0.0120623, 0.0114147, 0.0107213, 0.0102224, 0.0094086, 0.00957799", \ + "0.024125, 0.0192375, 0.0176474, 0.0160628, 0.0143752, 0.0126974, 0.0121622" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00347933, 0.00344925, 0.00340911, 0.00333397, 0.00325682, 0.00295593, 0.00254576", \ + "0.00327704, 0.00329268, 0.00330784, 0.00321232, 0.00313591, 0.00289672, 0.00256815", \ + "0.00344021, 0.00339971, 0.00330338, 0.00324904, 0.00319148, 0.00289604, 0.00247418", \ + "0.00418126, 0.00371651, 0.00362862, 0.00342343, 0.00320555, 0.00348637, 0.00291452", \ + "0.00601033, 0.00476965, 0.0044624, 0.0041919, 0.00382491, 0.00321404, 0.00316775", \ + "0.0103084, 0.00766892, 0.00688969, 0.00604314, 0.00528771, 0.00478942, 0.00376982", \ + "0.0196757, 0.01527, 0.0135948, 0.0117668, 0.00986821, 0.00832467, 0.00692928" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00681309, 0.00705213, 0.00702346, 0.00697279, 0.00681168, 0.0065502, 0.00638816", \ + "0.00655264, 0.00684033, 0.00685277, 0.00682412, 0.00674631, 0.00655306, 0.00634943", \ + "0.0065824, 0.00670342, 0.00673002, 0.00675563, 0.00664111, 0.00646607, 0.0063829", \ + "0.00699952, 0.0068024, 0.00672994, 0.00683864, 0.0066178, 0.00640784, 0.00636954", \ + "0.00857154, 0.00751338, 0.00724598, 0.00709697, 0.00702363, 0.00646553, 0.00635008", \ + "0.0126746, 0.0100851, 0.00945869, 0.00867768, 0.00808188, 0.00721684, 0.00747369", \ + "0.0213514, 0.0167138, 0.0153198, 0.0138433, 0.0120864, 0.0103702, 0.00931052" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00321297, 0.00328049, 0.0032438, 0.00316601, 0.00310129, 0.00280225, 0.00239872", \ + "0.00297396, 0.00316378, 0.00321787, 0.00312254, 0.00300043, 0.00280464, 0.00248868", \ + "0.00312839, 0.00323602, 0.00317654, 0.00317925, 0.00316597, 0.00341687, 0.00239355", \ + "0.00387053, 0.00350775, 0.00345621, 0.00335793, 0.00313288, 0.00333075, 0.00297877", \ + "0.00560232, 0.00448678, 0.00423003, 0.00399754, 0.00372405, 0.00310128, 0.00319969", \ + "0.00966675, 0.00718059, 0.00648082, 0.00576694, 0.00502246, 0.00456317, 0.00334053", \ + "0.018264, 0.014239, 0.0127176, 0.0110661, 0.00931559, 0.00792571, 0.00670343" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00420754, 0.0046622, 0.00467598, 0.00460166, 0.00444431, 0.00420594, 0.00400863", \ + "0.00413712, 0.00435739, 0.00443232, 0.00441633, 0.00436602, 0.0041837, 0.00398483", \ + "0.00448709, 0.00434737, 0.00435355, 0.0043423, 0.0042736, 0.00410736, 0.00394646", \ + "0.00531141, 0.00469103, 0.00451911, 0.0045889, 0.00439625, 0.00424814, 0.0039896", \ + "0.00730777, 0.0058519, 0.00547134, 0.005321, 0.00496995, 0.00436978, 0.00406434", \ + "0.0115345, 0.00885182, 0.00804149, 0.00708968, 0.00655395, 0.00527565, 0.0050737", \ + "0.020663, 0.0160143, 0.0146069, 0.0127941, 0.0107883, 0.00909371, 0.00810727" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00265316, 0.00302731, 0.00298122, 0.00291711, 0.00284411, 0.00255827, 0.00219208", \ + "0.00250938, 0.00293331, 0.00300698, 0.00296929, 0.00287571, 0.00275793, 0.00237809", \ + "0.00270829, 0.00298794, 0.00295879, 0.00296142, 0.00297207, 0.00269714, 0.00232449", \ + "0.00347934, 0.0032169, 0.00319631, 0.00305182, 0.0029191, 0.00307449, 0.0027189", \ + "0.00544218, 0.00410348, 0.00393986, 0.00371881, 0.00357341, 0.00293583, 0.00296201", \ + "0.00954199, 0.00670913, 0.00608397, 0.00536861, 0.00481743, 0.00433686, 0.00331426", \ + "0.0183489, 0.0137281, 0.0122363, 0.0104557, 0.00883161, 0.00766145, 0.00634462" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00300584; + rise_capacitance : 0.00294095; + rise_capacitance_range (0.00294095, 0.00294095); + fall_capacitance : 0.00307074; + fall_capacitance_range (0.00307074, 0.00307074); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00300042; + rise_capacitance : 0.0029849; + rise_capacitance_range (0.0029849, 0.0029849); + fall_capacitance : 0.00301594; + fall_capacitance_range (0.00301594, 0.00301594); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00285962; + rise_capacitance : 0.00295517; + rise_capacitance_range (0.00295517, 0.00295517); + fall_capacitance : 0.00276406; + fall_capacitance_range (0.00276406, 0.00276406); + } + } + cell (sg13g2_nor3_2) { + area : 16.3296; + cell_footprint : "nor3"; + cell_leakage_power : 1487.97; + leakage_power () { + value : 2547.71; + when : "A&!B&!C"; + } + leakage_power () { + value : 1234.14; + when : "!A&!B&!C"; + } + leakage_power () { + value : 2000.75; + when : "!A&B&!C"; + } + leakage_power () { + value : 1592.25; + when : "!A&!B&C"; + } + leakage_power () { + value : 1427.71; + when : "A&B&!C"; + } + leakage_power () { + value : 762.665; + when : "B&C"; + } + leakage_power () { + value : 850.563; + when : "A&!B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0696821, 0.281089, 0.422849, 0.656601, 1.04816, 1.7006, 2.78797", \ + "0.0896362, 0.303363, 0.445388, 0.68015, 1.07213, 1.72526, 2.81206", \ + "0.100409, 0.32113, 0.463429, 0.698314, 1.09039, 1.74362, 2.83218", \ + "0.113186, 0.352551, 0.496995, 0.731722, 1.12402, 1.7769, 2.86519", \ + "0.127862, 0.403325, 0.556623, 0.798023, 1.19157, 1.84446, 2.93236", \ + "0.157499, 0.483089, 0.65216, 0.910278, 1.31932, 1.97658, 3.06279", \ + "0.203122, 0.608832, 0.800971, 1.09049, 1.53741, 2.22457, 3.32842" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0468383, 0.339105, 0.537952, 0.86704, 1.41676, 2.33566, 3.86519", \ + "0.0519451, 0.339127, 0.539193, 0.867041, 1.41717, 2.33567, 3.86546", \ + "0.0597817, 0.341416, 0.539194, 0.867328, 1.41792, 2.33597, 3.86573", \ + "0.076366, 0.353843, 0.545292, 0.868907, 1.41889, 2.33598, 3.86574", \ + "0.112445, 0.388164, 0.57427, 0.888081, 1.42516, 2.34289, 3.86575", \ + "0.178289, 0.455632, 0.642079, 0.951429, 1.47273, 2.35895, 3.88838", \ + "0.273717, 0.582664, 0.773616, 1.08779, 1.60836, 2.46929, 3.93319" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0270618, 0.0901969, 0.130597, 0.196831, 0.30729, 0.490732, 0.796255", \ + "0.0523893, 0.131758, 0.173877, 0.240501, 0.350696, 0.53404, 0.839477", \ + "0.0663098, 0.163716, 0.211827, 0.282423, 0.39399, 0.577289, 0.882557", \ + "0.0843903, 0.211027, 0.269919, 0.353326, 0.475849, 0.663655, 0.969263", \ + "0.105969, 0.275371, 0.351856, 0.457711, 0.605507, 0.818259, 1.13834", \ + "0.131306, 0.358199, 0.464033, 0.604092, 0.796713, 1.06029, 1.43435", \ + "0.155919, 0.456625, 0.600735, 0.79625, 1.05723, 1.40568, 1.87901" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0200581, 0.0981329, 0.151484, 0.239477, 0.386692, 0.6323, 1.04133", \ + "0.0363221, 0.109404, 0.158115, 0.24207, 0.388126, 0.632301, 1.04134", \ + "0.0497743, 0.129392, 0.175931, 0.254988, 0.393123, 0.633198, 1.04196", \ + "0.0717136, 0.165455, 0.215947, 0.293931, 0.423313, 0.649803, 1.04555", \ + "0.106585, 0.228329, 0.286541, 0.370657, 0.502559, 0.71745, 1.08737", \ + "0.164173, 0.33249, 0.403369, 0.504503, 0.65121, 0.87675, 1.23481", \ + "0.26273, 0.494254, 0.595928, 0.72822, 0.909598, 1.1628, 1.55213" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0646919, 0.276046, 0.417712, 0.651666, 1.04319, 1.69564, 2.78292", \ + "0.0847403, 0.300353, 0.442523, 0.677321, 1.06904, 1.72246, 2.80927", \ + "0.096228, 0.323552, 0.465836, 0.700802, 1.09304, 1.74581, 2.83467", \ + "0.112426, 0.367484, 0.512958, 0.747919, 1.14005, 1.793, 2.88279", \ + "0.137749, 0.441132, 0.598719, 0.84257, 1.23655, 1.88942, 2.97669", \ + "0.187791, 0.550897, 0.734495, 1.00362, 1.41769, 2.07844, 3.16488", \ + "0.259659, 0.718752, 0.935754, 1.25549, 1.72379, 2.43095, 3.54435" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.046872, 0.339227, 0.538159, 0.867039, 1.41767, 2.33566, 3.86544", \ + "0.0556147, 0.340339, 0.538498, 0.86704, 1.41779, 2.336, 3.86549", \ + "0.067269, 0.342798, 0.538776, 0.867239, 1.4178, 2.33601, 3.86558", \ + "0.0891763, 0.359992, 0.548347, 0.869722, 1.42345, 2.33602, 3.86559", \ + "0.126918, 0.406589, 0.587573, 0.895256, 1.42781, 2.3366, 3.8656", \ + "0.184723, 0.491113, 0.678161, 0.980076, 1.48785, 2.36562, 3.88007", \ + "0.277064, 0.631114, 0.83645, 1.16057, 1.66774, 2.50626, 3.95279" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0267067, 0.0874858, 0.127403, 0.193203, 0.303318, 0.486378, 0.791763", \ + "0.0488287, 0.128812, 0.170755, 0.237078, 0.347009, 0.53017, 0.835309", \ + "0.060127, 0.15986, 0.208158, 0.27877, 0.390214, 0.573253, 0.878317", \ + "0.0745372, 0.20546, 0.26511, 0.348859, 0.47177, 0.659702, 0.965027", \ + "0.0895918, 0.266974, 0.344679, 0.451647, 0.600454, 0.813841, 1.134", \ + "0.102834, 0.343843, 0.452557, 0.594774, 0.789462, 1.05415, 1.42895", \ + "0.104299, 0.429941, 0.580148, 0.780895, 1.04581, 1.39645, 1.87178" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0167316, 0.09369, 0.146817, 0.234833, 0.381894, 0.626998, 1.03532", \ + "0.0320568, 0.10532, 0.153787, 0.237408, 0.383759, 0.62721, 1.03614", \ + "0.0445925, 0.125328, 0.17204, 0.250832, 0.388581, 0.628346, 1.03695", \ + "0.0653295, 0.161638, 0.211776, 0.289665, 0.41911, 0.644715, 1.0407", \ + "0.0984764, 0.223508, 0.281282, 0.366036, 0.499073, 0.712728, 1.0825", \ + "0.154094, 0.326082, 0.398661, 0.50016, 0.646554, 0.872824, 1.23047", \ + "0.247255, 0.487355, 0.590115, 0.723037, 0.905669, 1.15931, 1.54789" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.045814, 0.259438, 0.401252, 0.635287, 1.0269, 1.67938, 2.76673", \ + "0.0672674, 0.285244, 0.427653, 0.662577, 1.05473, 1.70809, 2.79622", \ + "0.0819377, 0.314768, 0.456685, 0.691019, 1.08329, 1.7367, 2.8246", \ + "0.103827, 0.370761, 0.516206, 0.749934, 1.14113, 1.79318, 2.88118", \ + "0.136786, 0.462648, 0.624864, 0.870274, 1.26215, 1.9123, 2.998", \ + "0.193646, 0.590174, 0.787286, 1.06873, 1.49065, 2.14969, 3.23113", \ + "0.27692, 0.773537, 1.01449, 1.36336, 1.86152, 2.58974, 3.70623" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0464229, 0.339054, 0.537912, 0.866963, 1.41778, 2.33566, 3.86546", \ + "0.0591275, 0.33944, 0.537913, 0.866964, 1.41798, 2.33567, 3.86547", \ + "0.070744, 0.34477, 0.539065, 0.866965, 1.41799, 2.33614, 3.86548", \ + "0.0901416, 0.369878, 0.553652, 0.871225, 1.418, 2.33615, 3.86578", \ + "0.122222, 0.430056, 0.609581, 0.909005, 1.43292, 2.33788, 3.86579", \ + "0.17704, 0.53284, 0.724438, 1.02552, 1.52175, 2.38056, 3.87831", \ + "0.269377, 0.688605, 0.918363, 1.25245, 1.76482, 2.58748, 3.99917" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0220839, 0.0827422, 0.122498, 0.188193, 0.298216, 0.481449, 0.786658", \ + "0.0390948, 0.123726, 0.165952, 0.232299, 0.342155, 0.525288, 0.830589", \ + "0.0464558, 0.153651, 0.202637, 0.273796, 0.385403, 0.56844, 0.873556", \ + "0.0550135, 0.197225, 0.258303, 0.342987, 0.466554, 0.654945, 0.960301", \ + "0.0605179, 0.254968, 0.335331, 0.444064, 0.594024, 0.808366, 1.12902", \ + "0.0605189, 0.325166, 0.438019, 0.5839, 0.780746, 1.04744, 1.42345", \ + "0.0605199, 0.398858, 0.555581, 0.762441, 1.03171, 1.38623, 1.86423" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0123159, 0.0884053, 0.141554, 0.229318, 0.376512, 0.621383, 1.02975", \ + "0.0269311, 0.100706, 0.148959, 0.232295, 0.377881, 0.62151, 1.03046", \ + "0.0381906, 0.120483, 0.167449, 0.245833, 0.383004, 0.622495, 1.03129", \ + "0.058302, 0.157145, 0.206578, 0.285042, 0.414195, 0.639769, 1.03479", \ + "0.0905523, 0.218169, 0.276577, 0.361296, 0.493944, 0.707779, 1.07748", \ + "0.144816, 0.320819, 0.393584, 0.496479, 0.64111, 0.867724, 1.22563", \ + "0.237824, 0.483066, 0.585992, 0.721483, 0.900632, 1.15569, 1.54286" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0176208, 0.0181518, 0.0181152, 0.0179624, 0.0176624, 0.017699, 0.0177518", \ + "0.0171629, 0.017687, 0.0178688, 0.0177106, 0.0175124, 0.0176433, 0.0177256", \ + "0.0171484, 0.0175193, 0.0175642, 0.0176066, 0.017426, 0.0174927, 0.0174977", \ + "0.0175778, 0.017518, 0.0174251, 0.0176794, 0.0174026, 0.0171767, 0.0172852", \ + "0.0201532, 0.0184404, 0.0181176, 0.0182725, 0.0177787, 0.01782, 0.0173072", \ + "0.0285456, 0.0231253, 0.0219737, 0.0206834, 0.0198401, 0.0186316, 0.0191976", \ + "0.0473196, 0.0371031, 0.0339427, 0.0309055, 0.0277944, 0.0244589, 0.0231405" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00680685, 0.00675885, 0.00672432, 0.00654624, 0.00629878, 0.00584822, 0.00520511", \ + "0.00638615, 0.00645363, 0.00652358, 0.00648154, 0.00631099, 0.00557831, 0.00475702", \ + "0.00672956, 0.00664477, 0.00640458, 0.00634326, 0.00601907, 0.00561108, 0.00524507", \ + "0.00821964, 0.00720505, 0.00708074, 0.00662371, 0.00618839, 0.00672176, 0.0051389", \ + "0.0118499, 0.00922451, 0.00877174, 0.00815994, 0.00740057, 0.00624219, 0.0061347", \ + "0.0203734, 0.0149788, 0.0133559, 0.0117834, 0.010328, 0.00909977, 0.00711616", \ + "0.0386501, 0.029719, 0.0264315, 0.0229065, 0.0191547, 0.0160905, 0.013344" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0130482, 0.0135885, 0.0135541, 0.0134051, 0.013116, 0.0131382, 0.0132001", \ + "0.0125978, 0.0131935, 0.0132185, 0.0131578, 0.0129543, 0.0130739, 0.0131542", \ + "0.0126724, 0.0129148, 0.0129767, 0.0130293, 0.0127576, 0.0129023, 0.0132369", \ + "0.0134655, 0.0130835, 0.0129441, 0.013257, 0.0131885, 0.0128509, 0.0128086", \ + "0.0166016, 0.0143663, 0.0138997, 0.0138468, 0.013345, 0.012953, 0.0127713", \ + "0.0248067, 0.0192568, 0.0180513, 0.0166083, 0.0158292, 0.0144144, 0.0142128", \ + "0.0419895, 0.0322042, 0.0293279, 0.0266656, 0.023059, 0.0199418, 0.018869" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00608209, 0.00623098, 0.00617272, 0.00603726, 0.00579127, 0.00534301, 0.00454782", \ + "0.00558163, 0.00599872, 0.00610756, 0.00608971, 0.00607624, 0.00537012, 0.00471049", \ + "0.00591019, 0.00616163, 0.00599886, 0.00604104, 0.00572951, 0.00526728, 0.00490824", \ + "0.00737894, 0.00666254, 0.00658449, 0.00618027, 0.00584723, 0.00571033, 0.00542505", \ + "0.010888, 0.00852261, 0.00799216, 0.00762733, 0.00709953, 0.00576568, 0.00611197", \ + "0.0189242, 0.0138879, 0.012589, 0.0109846, 0.00966011, 0.00864899, 0.00671439", \ + "0.0358675, 0.0276711, 0.0245286, 0.0212838, 0.0179927, 0.015226, 0.0127404" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00767621, 0.00870318, 0.00869608, 0.00856742, 0.00829872, 0.00833337, 0.00839966", \ + "0.00770108, 0.00811867, 0.00821155, 0.00821901, 0.00811995, 0.00825952, 0.00801745", \ + "0.00846675, 0.00807023, 0.00812538, 0.00811459, 0.00797859, 0.00811591, 0.00783725", \ + "0.0101928, 0.00877277, 0.00842398, 0.00860728, 0.00801454, 0.00803519, 0.00780415", \ + "0.0142325, 0.0110626, 0.0102696, 0.00990909, 0.00888789, 0.00863821, 0.00846503", \ + "0.0227446, 0.0169213, 0.015303, 0.0134403, 0.0123753, 0.0105918, 0.00968288", \ + "0.0408097, 0.03087, 0.0280662, 0.0245234, 0.0205765, 0.0170839, 0.0149263" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00414887, 0.00512457, 0.00504953, 0.00490244, 0.00473796, 0.00425752, 0.00347885", \ + "0.0039987, 0.00493977, 0.00514076, 0.00508103, 0.00508349, 0.00444809, 0.00382567", \ + "0.00451116, 0.00502373, 0.00497924, 0.00509034, 0.00486523, 0.00439096, 0.00415365", \ + "0.0061352, 0.00551867, 0.00546448, 0.00526055, 0.00495838, 0.00506233, 0.00457614", \ + "0.0100986, 0.00725318, 0.00684266, 0.00653988, 0.00591595, 0.00487306, 0.00537751", \ + "0.0183605, 0.0123179, 0.0110098, 0.00969987, 0.00844371, 0.00771266, 0.00549273", \ + "0.0358699, 0.0261554, 0.0227608, 0.0196728, 0.0162961, 0.0138801, 0.0114435" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00569384; + rise_capacitance : 0.00558067; + rise_capacitance_range (0.00558067, 0.00558067); + fall_capacitance : 0.005807; + fall_capacitance_range (0.005807, 0.005807); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00564671; + rise_capacitance : 0.00563233; + rise_capacitance_range (0.00563233, 0.00563233); + fall_capacitance : 0.0056611; + fall_capacitance_range (0.0056611, 0.0056611); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00546999; + rise_capacitance : 0.00567565; + rise_capacitance_range (0.00567565, 0.00567565); + fall_capacitance : 0.00526433; + fall_capacitance_range (0.00526433, 0.00526433); + } + } + cell (sg13g2_nor4_1) { + area : 10.8864; + cell_footprint : "nor4"; + cell_leakage_power : 724.667; + leakage_power () { + value : 822.733; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 813.828; + when : "!A&!B&!C&D&!Y"; + } + leakage_power () { + value : 1018.06; + when : "!A&!B&C&!D&!Y"; + } + leakage_power () { + value : 443.323; + when : "!A&!B&C&D&!Y"; + } + leakage_power () { + value : 1291.55; + when : "!A&B&!C&!D&!Y"; + } + leakage_power () { + value : 443.001; + when : "!A&B&!C&D&!Y"; + } + leakage_power () { + value : 731.558; + when : "!A&B&C&!D&!Y"; + } + leakage_power () { + value : 399.051; + when : "!A&B&C&D&!Y"; + } + leakage_power () { + value : 1561.86; + when : "A&!B&!C&!D&!Y"; + } + leakage_power () { + value : 442.5; + when : "A&!B&!C&D&!Y"; + } + leakage_power () { + value : 730.259; + when : "A&!B&C&!D&!Y"; + } + leakage_power () { + value : 395.159; + when : "A&!B&C&D&!Y"; + } + leakage_power () { + value : 1017.89; + when : "A&B&!C&!D&!Y"; + } + leakage_power () { + value : 402.205; + when : "A&B&!C&D&!Y"; + } + leakage_power () { + value : 692.49; + when : "A&B&C&!D&!Y"; + } + leakage_power () { + value : 389.199; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.116232, 0.390871, 0.579555, 0.891157, 1.41262, 2.28155, 3.72967", \ + "0.136168, 0.411494, 0.600841, 0.913402, 1.43501, 2.30526, 3.75286", \ + "0.148518, 0.426762, 0.616067, 0.928684, 1.45147, 2.32042, 3.76898", \ + "0.163612, 0.452466, 0.642229, 0.954622, 1.47709, 2.34807, 3.79591", \ + "0.180737, 0.493783, 0.688644, 1.00345, 1.52548, 2.39635, 3.84464", \ + "0.209146, 0.55887, 0.765768, 1.09138, 1.6197, 2.48873, 3.93648", \ + "0.263106, 0.675796, 0.89893, 1.24629, 1.79795, 2.68172, 4.12923" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0874936, 0.465968, 0.728745, 1.16362, 1.89179, 3.10537, 5.12801", \ + "0.0896225, 0.465969, 0.729284, 1.16402, 1.8918, 3.10645, 5.12802", \ + "0.0955478, 0.466462, 0.729285, 1.16403, 1.89212, 3.10646, 5.12835", \ + "0.108038, 0.473069, 0.731551, 1.16428, 1.89213, 3.10647, 5.12836", \ + "0.138502, 0.498001, 0.750238, 1.17423, 1.89456, 3.10648, 5.12837", \ + "0.207033, 0.554332, 0.803189, 1.21835, 1.92127, 3.11513, 5.13396", \ + "0.31718, 0.671293, 0.917878, 1.32932, 2.02127, 3.18511, 5.16135" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0308084, 0.0940577, 0.135113, 0.201922, 0.312696, 0.496675, 0.802049", \ + "0.0603314, 0.136112, 0.178458, 0.245477, 0.356103, 0.539819, 0.84592", \ + "0.0775083, 0.169156, 0.217073, 0.287671, 0.399454, 0.583082, 0.888578", \ + "0.0995822, 0.218204, 0.276348, 0.359372, 0.481516, 0.669327, 0.975116", \ + "0.126098, 0.285027, 0.360326, 0.465163, 0.612375, 0.82445, 1.1442", \ + "0.156414, 0.370829, 0.475044, 0.61347, 0.805128, 1.06729, 1.44034", \ + "0.186639, 0.469872, 0.613596, 0.807558, 1.06731, 1.4136, 1.88646" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0259371, 0.104664, 0.157778, 0.245686, 0.392588, 0.638186, 1.04654", \ + "0.0434301, 0.11467, 0.163676, 0.24803, 0.393521, 0.638187, 1.04706", \ + "0.0585198, 0.134246, 0.181075, 0.260405, 0.398609, 0.638992, 1.04707", \ + "0.0841517, 0.171331, 0.22097, 0.299176, 0.428787, 0.65537, 1.05078", \ + "0.125048, 0.235921, 0.292866, 0.376236, 0.507906, 0.722551, 1.09228", \ + "0.192362, 0.344269, 0.412406, 0.51329, 0.656842, 0.882132, 1.23982", \ + "0.306381, 0.515835, 0.611778, 0.740143, 0.918325, 1.17062, 1.55758" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.111984, 0.386645, 0.575342, 0.886931, 1.40836, 2.27725, 3.72533", \ + "0.132365, 0.408222, 0.597225, 0.90964, 1.43171, 2.30193, 3.74937", \ + "0.14543, 0.425835, 0.615493, 0.927634, 1.44976, 2.3194, 3.7695", \ + "0.163112, 0.458682, 0.648789, 0.961101, 1.48344, 2.35492, 3.80236", \ + "0.187697, 0.517003, 0.71413, 1.0292, 1.55149, 2.42062, 3.87045", \ + "0.237792, 0.612391, 0.825389, 1.1551, 1.68572, 2.55457, 4.00173", \ + "0.322244, 0.772312, 1.0101, 1.37441, 1.93692, 2.8266, 4.27781" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0874756, 0.466006, 0.728709, 1.16362, 1.89179, 3.10536, 5.12826", \ + "0.0911642, 0.466007, 0.72871, 1.16429, 1.8918, 3.1065, 5.12827", \ + "0.0996293, 0.466918, 0.731566, 1.1643, 1.89267, 3.10717, 5.12964", \ + "0.117417, 0.475844, 0.732971, 1.16871, 1.89268, 3.10718, 5.12965", \ + "0.156448, 0.507641, 0.75652, 1.1768, 1.89502, 3.10719, 5.12966", \ + "0.22415, 0.577922, 0.822364, 1.23256, 1.92738, 3.11695, 5.13507", \ + "0.329742, 0.705782, 0.957983, 1.36948, 2.05292, 3.2001, 5.16694" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0316952, 0.0929255, 0.133446, 0.199886, 0.310625, 0.494792, 0.801186", \ + "0.0594632, 0.134707, 0.176755, 0.243582, 0.35414, 0.538235, 0.845233", \ + "0.0750179, 0.167043, 0.214915, 0.285464, 0.397463, 0.58137, 0.887775", \ + "0.0945136, 0.214846, 0.273389, 0.356832, 0.47932, 0.667936, 0.974519", \ + "0.1166, 0.279425, 0.355864, 0.461533, 0.609475, 0.822649, 1.14404", \ + "0.13849, 0.360422, 0.467099, 0.607477, 0.800938, 1.06467, 1.43973", \ + "0.151092, 0.448943, 0.597612, 0.795756, 1.05917, 1.40915, 1.88379" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.024185, 0.10111, 0.154054, 0.242483, 0.390061, 0.636465, 1.04584", \ + "0.0402206, 0.111496, 0.160395, 0.24494, 0.390963, 0.636466, 1.047", \ + "0.054587, 0.131082, 0.178005, 0.257508, 0.395983, 0.63737, 1.04701", \ + "0.0789393, 0.167733, 0.21802, 0.295963, 0.426594, 0.653128, 1.05059", \ + "0.117777, 0.23125, 0.288524, 0.372714, 0.505099, 0.720514, 1.09205", \ + "0.181982, 0.338226, 0.407835, 0.508284, 0.654404, 0.880733, 1.23943", \ + "0.291835, 0.508086, 0.605859, 0.736808, 0.915695, 1.16831, 1.5601" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0980863, 0.372841, 0.561441, 0.872981, 1.39452, 2.26342, 3.71156", \ + "0.117867, 0.394403, 0.58366, 0.896198, 1.41795, 2.28729, 3.73576", \ + "0.132161, 0.416077, 0.605361, 0.918399, 1.44025, 2.31079, 3.75816", \ + "0.154523, 0.460012, 0.650071, 0.96246, 1.48458, 2.35649, 3.8036", \ + "0.190939, 0.538995, 0.738343, 1.05491, 1.57691, 2.446, 3.89598", \ + "0.259879, 0.664885, 0.88859, 1.22468, 1.75819, 2.6271, 4.07306", \ + "0.365602, 0.860462, 1.12241, 1.50922, 2.08949, 2.99145, 4.44444" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0877192, 0.46576, 0.728708, 1.16362, 1.89179, 3.10537, 5.12801", \ + "0.0937654, 0.465761, 0.728709, 1.16453, 1.89211, 3.10538, 5.12802", \ + "0.105379, 0.467347, 0.732257, 1.16486, 1.89305, 3.1065, 5.12803", \ + "0.127532, 0.479915, 0.734247, 1.1652, 1.89306, 3.10712, 5.12804", \ + "0.1677, 0.521191, 0.765428, 1.18039, 1.89614, 3.10713, 5.1293", \ + "0.227286, 0.605399, 0.850252, 1.25078, 1.93718, 3.11914, 5.13181", \ + "0.328252, 0.7499, 1.01379, 1.42424, 2.09543, 3.22501, 5.17997" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0304579, 0.0894644, 0.129469, 0.195526, 0.306002, 0.48995, 0.796226", \ + "0.0555186, 0.131206, 0.17318, 0.239638, 0.34994, 0.533721, 0.8399", \ + "0.0687125, 0.162673, 0.210841, 0.281546, 0.393273, 0.576975, 0.883313", \ + "0.0845581, 0.208894, 0.268262, 0.35209, 0.474825, 0.663563, 0.969898", \ + "0.100599, 0.270602, 0.34821, 0.455285, 0.604051, 0.817625, 1.13932", \ + "0.111513, 0.346126, 0.455405, 0.598351, 0.792984, 1.0583, 1.4339", \ + "0.111514, 0.424484, 0.577199, 0.780724, 1.04713, 1.39995, 1.87709" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0205125, 0.0961606, 0.149516, 0.237768, 0.385295, 0.631598, 1.04078", \ + "0.0362757, 0.107492, 0.156215, 0.240331, 0.387477, 0.631599, 1.04079", \ + "0.0498431, 0.127094, 0.174295, 0.253324, 0.391702, 0.632582, 1.0408", \ + "0.0726692, 0.163638, 0.213941, 0.292055, 0.422044, 0.649093, 1.046", \ + "0.109758, 0.226699, 0.283779, 0.368442, 0.501057, 0.716335, 1.08706", \ + "0.173494, 0.331332, 0.40296, 0.503893, 0.649781, 0.876358, 1.23501", \ + "0.276333, 0.500034, 0.60019, 0.731108, 0.91104, 1.16491, 1.55248" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0702938, 0.347477, 0.536557, 0.848115, 1.36962, 2.23862, 3.68678", \ + "0.0931261, 0.369875, 0.55969, 0.872364, 1.39479, 2.26476, 3.71243", \ + "0.112475, 0.396018, 0.585171, 0.898718, 1.42051, 2.29051, 3.73852", \ + "0.142379, 0.451245, 0.640316, 0.951027, 1.473, 2.34316, 3.79044", \ + "0.187626, 0.548701, 0.74949, 1.0642, 1.58268, 2.45027, 3.89773", \ + "0.262249, 0.693736, 0.926103, 1.26942, 1.80357, 2.66794, 4.10846", \ + "0.376923, 0.903585, 1.18593, 1.59343, 2.19432, 3.10412, 4.55268" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0866151, 0.46601, 0.72883, 1.16362, 1.89179, 3.10537, 5.12801", \ + "0.0950656, 0.466318, 0.729426, 1.16385, 1.89246, 3.10651, 5.12802", \ + "0.10726, 0.467695, 0.729427, 1.16458, 1.89247, 3.10652, 5.12947", \ + "0.126902, 0.484799, 0.73594, 1.16916, 1.89248, 3.10696, 5.12948", \ + "0.163462, 0.537984, 0.77926, 1.18744, 1.89733, 3.10697, 5.12949", \ + "0.219805, 0.63863, 0.887708, 1.28401, 1.95797, 3.12736, 5.13621", \ + "0.320588, 0.799195, 1.08141, 1.50219, 2.16987, 3.28069, 5.20214" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0260527, 0.0848119, 0.124695, 0.19063, 0.301024, 0.484918, 0.791169", \ + "0.0471024, 0.126236, 0.16841, 0.234883, 0.345134, 0.528899, 0.835143", \ + "0.0568989, 0.156673, 0.205403, 0.276524, 0.388509, 0.572208, 0.878357", \ + "0.0679087, 0.200948, 0.26157, 0.346122, 0.469672, 0.658512, 0.964972", \ + "0.0760908, 0.259402, 0.339241, 0.447779, 0.597649, 0.812158, 1.13378", \ + "0.0760918, 0.328564, 0.441262, 0.587672, 0.784752, 1.05153, 1.4284", \ + "0.0760928, 0.39604, 0.554733, 0.763433, 1.03461, 1.3905, 1.86952" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0161359, 0.0911308, 0.144507, 0.232512, 0.380293, 0.625815, 1.03539", \ + "0.0316471, 0.103051, 0.151476, 0.23537, 0.381576, 0.62608, 1.03581", \ + "0.0442612, 0.122919, 0.169906, 0.248653, 0.386388, 0.62694, 1.03679", \ + "0.0660413, 0.159471, 0.209439, 0.287687, 0.417279, 0.644055, 1.04034", \ + "0.10183, 0.221387, 0.279901, 0.363727, 0.496587, 0.711861, 1.0824", \ + "0.163783, 0.326647, 0.398798, 0.500406, 0.644891, 0.871598, 1.2305", \ + "0.268317, 0.494975, 0.596032, 0.728163, 0.906585, 1.16236, 1.54867" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118601, 0.01206, 0.0120346, 0.0119491, 0.0117986, 0.0117754, 0.0117663", \ + "0.0116166, 0.011828, 0.0118702, 0.0118457, 0.0117123, 0.0117575, 0.0117459", \ + "0.0115487, 0.0117347, 0.0117594, 0.0117611, 0.0116835, 0.0116867, 0.0117566", \ + "0.0116062, 0.0116763, 0.0117647, 0.0116628, 0.0115729, 0.0116561, 0.01162", \ + "0.012313, 0.011892, 0.0118919, 0.0117335, 0.0116204, 0.0113857, 0.0116553", \ + "0.0155724, 0.0136859, 0.0131916, 0.0128149, 0.0122675, 0.0124494, 0.0120433", \ + "0.0243196, 0.0199153, 0.0185926, 0.017265, 0.0157636, 0.0148683, 0.0136205" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00420692, 0.00418122, 0.00410832, 0.00401783, 0.00388646, 0.00374041, 0.00332512", \ + "0.00413286, 0.0041017, 0.00414766, 0.00407523, 0.0039358, 0.00368187, 0.00341859", \ + "0.00424153, 0.00419132, 0.00411095, 0.00408842, 0.00385162, 0.00368181, 0.00339399", \ + "0.00478753, 0.00443356, 0.00434675, 0.00416919, 0.00401964, 0.00383709, 0.00383073", \ + "0.00637797, 0.00529769, 0.00512388, 0.00485478, 0.00444291, 0.00392659, 0.00388947", \ + "0.0101869, 0.00792728, 0.00721102, 0.00654483, 0.00575929, 0.00529477, 0.00431909", \ + "0.0189124, 0.0148566, 0.01323, 0.011584, 0.00991713, 0.00842634, 0.00718151" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0097123, 0.00992114, 0.00988691, 0.00980624, 0.00965074, 0.00963679, 0.00960762", \ + "0.00944698, 0.00966391, 0.00968665, 0.00966976, 0.00954692, 0.00949979, 0.00957388", \ + "0.00935976, 0.00958654, 0.00965719, 0.00957143, 0.00950643, 0.00927788, 0.00950752", \ + "0.00946529, 0.00956065, 0.00956287, 0.00956971, 0.00938131, 0.00939131, 0.00940652", \ + "0.0103609, 0.0097938, 0.00978206, 0.00957803, 0.00954616, 0.00920005, 0.00934966", \ + "0.0137498, 0.0117415, 0.0112114, 0.0107691, 0.0101598, 0.00976421, 0.00982204", \ + "0.0218409, 0.0176463, 0.0163509, 0.0151109, 0.0135568, 0.0127025, 0.011555" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00396962, 0.00396763, 0.0038811, 0.00382355, 0.0036934, 0.00351457, 0.0030609", \ + "0.00386411, 0.00392668, 0.00397268, 0.00396473, 0.00380213, 0.00352972, 0.00328466", \ + "0.00394955, 0.00399289, 0.00390144, 0.0039089, 0.00371487, 0.00354225, 0.00314558", \ + "0.00448768, 0.00422753, 0.00416831, 0.00396768, 0.00382081, 0.00383422, 0.00321866", \ + "0.00595349, 0.00503432, 0.00482722, 0.00462967, 0.00429106, 0.00373883, 0.00405074", \ + "0.00965643, 0.00747662, 0.00682605, 0.00615509, 0.00560388, 0.00514913, 0.0040527", \ + "0.0176875, 0.0139221, 0.0124642, 0.0109499, 0.00938613, 0.0081307, 0.00706776" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00787962, 0.00809019, 0.00805024, 0.00796806, 0.00781588, 0.00779242, 0.00777902", \ + "0.00762479, 0.00784085, 0.00786813, 0.00786779, 0.00774489, 0.00774906, 0.00774688", \ + "0.00759516, 0.00783865, 0.00786624, 0.00779541, 0.00769623, 0.00766708, 0.00757906", \ + "0.00787945, 0.00783855, 0.00771721, 0.00772128, 0.00761108, 0.00769191, 0.00751101", \ + "0.00912938, 0.00827192, 0.008176, 0.00799732, 0.00776591, 0.00745443, 0.00753295", \ + "0.0126148, 0.0104502, 0.00984269, 0.00931452, 0.00860676, 0.00825526, 0.00806606", \ + "0.0201534, 0.0161703, 0.0149455, 0.0135785, 0.0120487, 0.0111589, 0.00982082" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00258694, 0.00263415, 0.0026004, 0.00253811, 0.00241194, 0.00224327, 0.00177864", \ + "0.00242572, 0.00261044, 0.00268342, 0.00268931, 0.00269338, 0.00221602, 0.0018663", \ + "0.00251159, 0.00266545, 0.00261705, 0.00265143, 0.00247574, 0.00229359, 0.0018387", \ + "0.00307295, 0.00290625, 0.00287113, 0.00276496, 0.00252505, 0.00287996, 0.00224193", \ + "0.00450092, 0.00366789, 0.00347539, 0.00332433, 0.00308109, 0.0024825, 0.00281083", \ + "0.00801941, 0.00592224, 0.00537654, 0.0047768, 0.0042052, 0.0038559, 0.00280561", \ + "0.0155302, 0.0120096, 0.0106754, 0.00931356, 0.00782651, 0.0066504, 0.00546849" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00445982, 0.00488127, 0.00486057, 0.00479112, 0.00464921, 0.00463105, 0.00461684", \ + "0.00432944, 0.00456323, 0.00461048, 0.00460083, 0.00451006, 0.00448302, 0.00454748", \ + "0.00460274, 0.0045651, 0.00453327, 0.00457239, 0.00446296, 0.00424496, 0.00450271", \ + "0.00533321, 0.00481763, 0.00474457, 0.0046886, 0.00445424, 0.00425704, 0.00438083", \ + "0.00706534, 0.00577362, 0.00552422, 0.00515366, 0.00479227, 0.00458228, 0.00442095", \ + "0.0107538, 0.00843505, 0.00769464, 0.0069685, 0.00603841, 0.00541934, 0.0052758", \ + "0.0188286, 0.0147766, 0.0135718, 0.0120207, 0.0103302, 0.00919185, 0.00744035" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00058791, 0.00090475, 0.00089441, 0.0008265, 0.00075346, 0.00054476, 0.00010249", \ + "0.00052725, 0.00091253, 0.00100174, 0.0009969, 0.00098322, 0.00068657, 0.00029738", \ + "0.00069349, 0.00098386, 0.0009573, 0.00099526, 0.00085773, 0.00064672, 0.00051331", \ + "0.00134394, 0.00120606, 0.0012045, 0.00106298, 0.00094943, 0.00100895, 0.00041843", \ + "0.00288221, 0.00194572, 0.00183012, 0.00163889, 0.00144223, 0.00091802, 0.00099512", \ + "0.00653402, 0.004142, 0.00360015, 0.0030728, 0.0024748, 0.00208231, 0.0011659", \ + "0.0143799, 0.0102912, 0.0088714, 0.00743222, 0.00595744, 0.00503518, 0.0037955" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0029426; + rise_capacitance : 0.00292609; + rise_capacitance_range (0.00292609, 0.00292609); + fall_capacitance : 0.00295912; + fall_capacitance_range (0.00295912, 0.00295912); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00011534, -3.07828e-05, -5.88599e-05, -5.16316e-05, -5.68661e-05, -5.22489e-05, -5.89245e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.46496e-05, 6.31862e-05, 5.88599e-05, 5.16316e-05, 5.68661e-05, 5.22489e-05, 5.89245e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00011534, -3.07828e-05, -5.88599e-05, -5.16316e-05, -5.68661e-05, -5.22489e-05, -5.89245e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.46496e-05, 6.31862e-05, 5.88599e-05, 5.16316e-05, 5.68661e-05, 5.22489e-05, 5.89245e-05" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00293776; + rise_capacitance : 0.00294291; + rise_capacitance_range (0.00294291, 0.00294291); + fall_capacitance : 0.00293261; + fall_capacitance_range (0.00293261, 0.00293261); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000161459, 1.12857e-05, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.99359e-05, -1.12857e-05, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000161459, 1.12857e-05, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.99359e-05, -1.12857e-05, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00257132; + rise_capacitance : 0.0024904; + rise_capacitance_range (0.0024904, 0.0024904); + fall_capacitance : 0.00265223; + fall_capacitance_range (0.00265223, 0.00265223); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000865441, 0.000870727, 0.000873053, 0.000873586, 0.000876749, 0.000880263, 0.000879437" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000435651, -0.000429838, -0.000428993, -0.000428675, -0.000427899, -0.000426465, -0.000426476" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000865441, 0.000870727, 0.000873053, 0.000873586, 0.000876749, 0.000880263, 0.000879437" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000435651, -0.000429838, -0.000428993, -0.000428675, -0.000427899, -0.000426465, -0.000426476" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00258499; + rise_capacitance : 0.00253634; + rise_capacitance_range (0.00253634, 0.00253634); + fall_capacitance : 0.00263365; + fall_capacitance_range (0.00263365, 0.00263365); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0020926, 0.00208939, 0.00209219, 0.00209101, 0.00209338, 0.00209561, 0.0020962" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000382034, 0.000405407, 0.000414148, 0.000410569, 0.000412083, 0.000411995, 0.000421584" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0020926, 0.00208939, 0.00209219, 0.00209101, 0.00209338, 0.00209561, 0.0020962" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000382034, 0.000405407, 0.000414148, 0.000410569, 0.000412083, 0.000411995, 0.000421584" \ + ); + } + } + } + } + cell (sg13g2_nor4_2) { + area : 21.7728; + cell_footprint : "nor4"; + cell_leakage_power : 1449.31; + leakage_power () { + value : 1645.52; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 1627.62; + when : "!A&!B&!C&D&!Y"; + } + leakage_power () { + value : 2036.1; + when : "!A&!B&C&!D&!Y"; + } + leakage_power () { + value : 886.605; + when : "!A&!B&C&D&!Y"; + } + leakage_power () { + value : 2583.1; + when : "!A&B&!C&!D&!Y"; + } + leakage_power () { + value : 885.971; + when : "!A&B&!C&D&!Y"; + } + leakage_power () { + value : 1463.11; + when : "!A&B&C&!D&!Y"; + } + leakage_power () { + value : 798.053; + when : "!A&B&C&D&!Y"; + } + leakage_power () { + value : 3123.72; + when : "A&!B&!C&!D&!Y"; + } + leakage_power () { + value : 884.97; + when : "A&!B&!C&D&!Y"; + } + leakage_power () { + value : 1460.51; + when : "A&!B&C&!D&!Y"; + } + leakage_power () { + value : 790.268; + when : "A&!B&C&D&!Y"; + } + leakage_power () { + value : 2035.79; + when : "A&B&!C&!D&!Y"; + } + leakage_power () { + value : 804.373; + when : "A&B&!C&D&!Y"; + } + leakage_power () { + value : 1384.95; + when : "A&B&C&!D&!Y"; + } + leakage_power () { + value : 778.343; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.110822, 0.393627, 0.583363, 0.896689, 1.42105, 2.29575, 3.75088", \ + "0.131029, 0.414462, 0.604931, 0.918902, 1.44372, 2.31876, 3.77434", \ + "0.143361, 0.42983, 0.620602, 0.934617, 1.45969, 2.33445, 3.79074", \ + "0.158146, 0.455833, 0.64663, 0.960882, 1.48614, 2.36181, 3.81783", \ + "0.172908, 0.497143, 0.693217, 1.00976, 1.5344, 2.41011, 3.86644", \ + "0.197908, 0.561386, 0.769647, 1.09663, 1.62791, 2.50235, 3.95671", \ + "0.246405, 0.676365, 0.900824, 1.24836, 1.80366, 2.69312, 4.14832" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0773808, 0.46662, 0.731194, 1.16881, 1.90148, 3.12375, 5.15794", \ + "0.0797716, 0.466751, 0.73165, 1.16948, 1.90149, 3.12376, 5.15802", \ + "0.0856694, 0.4671, 0.731651, 1.16949, 1.9015, 3.12377, 5.16124", \ + "0.0978264, 0.473696, 0.733887, 1.17261, 1.90151, 3.12378, 5.16125", \ + "0.127769, 0.498346, 0.752416, 1.17873, 1.90387, 3.12379, 5.16126", \ + "0.19733, 0.555002, 0.804861, 1.22326, 1.93052, 3.13161, 5.16127", \ + "0.308485, 0.67134, 0.919461, 1.33304, 2.0319, 3.20223, 5.19124" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.028588, 0.0940055, 0.135221, 0.202167, 0.313168, 0.497237, 0.802826", \ + "0.0573342, 0.136142, 0.178498, 0.245641, 0.356482, 0.540388, 0.846681", \ + "0.0738774, 0.169182, 0.217204, 0.287821, 0.399701, 0.58356, 0.889141", \ + "0.0951306, 0.218361, 0.276524, 0.359673, 0.481864, 0.669868, 0.975825", \ + "0.120387, 0.285245, 0.360628, 0.465514, 0.612785, 0.82496, 1.14495", \ + "0.149181, 0.371273, 0.475517, 0.613993, 0.805809, 1.06793, 1.44146", \ + "0.177623, 0.470416, 0.614033, 0.808395, 1.06787, 1.41487, 1.88737" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0238693, 0.104562, 0.158182, 0.246208, 0.393699, 0.63925, 1.04816", \ + "0.0416087, 0.11485, 0.163989, 0.248485, 0.394111, 0.639251, 1.04868", \ + "0.0561911, 0.134421, 0.181433, 0.26087, 0.399297, 0.640051, 1.04869", \ + "0.0811111, 0.171494, 0.221232, 0.299376, 0.429568, 0.656391, 1.05141", \ + "0.12081, 0.236007, 0.292281, 0.376569, 0.508653, 0.723439, 1.09379", \ + "0.186589, 0.344474, 0.412636, 0.51358, 0.657579, 0.882997, 1.24042", \ + "0.299082, 0.51522, 0.612242, 0.740767, 0.918765, 1.17098, 1.55871" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.106219, 0.389194, 0.578961, 0.892101, 1.41644, 2.29021, 3.74784", \ + "0.126709, 0.410756, 0.601124, 0.915178, 1.44027, 2.31507, 3.77071", \ + "0.139418, 0.428702, 0.619078, 0.933257, 1.45831, 2.33292, 3.79069", \ + "0.156088, 0.461658, 0.652654, 0.966851, 1.49204, 2.36674, 3.82385", \ + "0.177911, 0.519608, 0.717549, 1.03492, 1.55984, 2.43557, 3.8925", \ + "0.223898, 0.614393, 0.828476, 1.15968, 1.69319, 2.56722, 4.02221", \ + "0.304124, 0.773467, 1.01247, 1.37771, 1.94297, 2.83878, 4.29573" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0774156, 0.466602, 0.731313, 1.16881, 1.90148, 3.12257, 5.15949", \ + "0.0813841, 0.466603, 0.731761, 1.16948, 1.90149, 3.12365, 5.1595", \ + "0.0898797, 0.467544, 0.735609, 1.16949, 1.9015, 3.12366, 5.15951", \ + "0.106944, 0.476395, 0.73561, 1.1718, 1.90151, 3.12367, 5.15952", \ + "0.146091, 0.508028, 0.758534, 1.1816, 1.90472, 3.12368, 5.15953", \ + "0.215205, 0.578354, 0.824005, 1.23607, 1.93641, 3.13427, 5.16249", \ + "0.319691, 0.7073, 0.959819, 1.373, 2.06163, 3.21669, 5.19603" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0295624, 0.0927221, 0.133207, 0.199621, 0.310149, 0.49411, 0.800069", \ + "0.0564735, 0.134476, 0.17658, 0.243287, 0.353746, 0.537513, 0.843998", \ + "0.071301, 0.166806, 0.214692, 0.285288, 0.397076, 0.580705, 0.886701", \ + "0.0897544, 0.214606, 0.273194, 0.356555, 0.479057, 0.667257, 0.973422", \ + "0.110408, 0.279334, 0.355489, 0.461252, 0.60902, 0.821941, 1.14259", \ + "0.130633, 0.360268, 0.466637, 0.607083, 0.800499, 1.06394, 1.43861", \ + "0.14022, 0.448533, 0.596658, 0.795453, 1.05839, 1.4082, 1.88265" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0222633, 0.100734, 0.153989, 0.242219, 0.389577, 0.635825, 1.04492", \ + "0.038226, 0.111318, 0.160202, 0.244615, 0.38999, 0.635826, 1.04514", \ + "0.0522657, 0.130878, 0.17784, 0.257227, 0.3956, 0.636352, 1.04547", \ + "0.0753732, 0.167531, 0.217532, 0.295859, 0.425806, 0.652533, 1.04997", \ + "0.113078, 0.230939, 0.288342, 0.372343, 0.504744, 0.72032, 1.09109", \ + "0.175621, 0.338391, 0.407766, 0.508576, 0.653549, 0.879767, 1.23845", \ + "0.282434, 0.506497, 0.605923, 0.736493, 0.915659, 1.16899, 1.55842" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0910501, 0.374028, 0.56361, 0.876912, 1.40132, 2.27506, 3.73122", \ + "0.110378, 0.395759, 0.586893, 0.899989, 1.42492, 2.29983, 3.75707", \ + "0.123528, 0.417134, 0.607816, 0.922419, 1.44716, 2.32115, 3.77957", \ + "0.144251, 0.460948, 0.652186, 0.966257, 1.49148, 2.36611, 3.82311", \ + "0.17797, 0.539793, 0.740346, 1.05849, 1.58323, 2.45697, 3.9154", \ + "0.243769, 0.665921, 0.88977, 1.22821, 1.76431, 2.63835, 4.09304", \ + "0.345095, 0.860705, 1.12445, 1.51182, 2.09428, 3.00064, 4.46088" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0775047, 0.466609, 0.731197, 1.1688, 1.90148, 3.12257, 5.1577", \ + "0.0840972, 0.46661, 0.732473, 1.16881, 1.90149, 3.12362, 5.15954", \ + "0.0955202, 0.468549, 0.732474, 1.16993, 1.9015, 3.12383, 5.15955", \ + "0.117095, 0.480384, 0.736857, 1.17199, 1.90151, 3.12384, 5.15956", \ + "0.15695, 0.521609, 0.767739, 1.18541, 1.90653, 3.12385, 5.15957", \ + "0.216199, 0.60698, 0.853162, 1.25626, 1.94631, 3.13627, 5.17013", \ + "0.314734, 0.749294, 1.01835, 1.42912, 2.1032, 3.24168, 5.20679" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0284894, 0.0891119, 0.129074, 0.195052, 0.305383, 0.488948, 0.79491", \ + "0.0523814, 0.130902, 0.172698, 0.239144, 0.349269, 0.53282, 0.838763", \ + "0.0646255, 0.162268, 0.210392, 0.281077, 0.392674, 0.576126, 0.881872", \ + "0.0792228, 0.208377, 0.267769, 0.351314, 0.474349, 0.662656, 0.968662", \ + "0.0935867, 0.269871, 0.347829, 0.454566, 0.603326, 0.816766, 1.13806", \ + "0.102329, 0.345335, 0.454457, 0.597226, 0.792297, 1.05755, 1.43257", \ + "0.10233, 0.423353, 0.575879, 0.778867, 1.04603, 1.39848, 1.87538" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.018574, 0.0957809, 0.149098, 0.237278, 0.384679, 0.630768, 1.03962", \ + "0.0339328, 0.107116, 0.15583, 0.239897, 0.385001, 0.630769, 1.03963", \ + "0.046973, 0.126916, 0.173944, 0.252857, 0.391124, 0.631281, 1.04091", \ + "0.0688853, 0.163006, 0.213294, 0.29175, 0.421459, 0.648319, 1.04488", \ + "0.104448, 0.226046, 0.283391, 0.367494, 0.500494, 0.715854, 1.08622", \ + "0.165143, 0.330981, 0.402654, 0.503518, 0.649293, 0.875425, 1.23389", \ + "0.267546, 0.499696, 0.599251, 0.730863, 0.911136, 1.1632, 1.55192" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0609916, 0.346657, 0.53644, 0.849922, 1.37437, 2.2482, 3.70427", \ + "0.0838823, 0.369032, 0.559628, 0.874317, 1.39961, 2.27418, 3.73016", \ + "0.101999, 0.395378, 0.585484, 0.899722, 1.42483, 2.30017, 3.75629", \ + "0.130127, 0.450836, 0.640575, 0.953092, 1.4774, 2.35249, 3.8084", \ + "0.172508, 0.547936, 0.749866, 1.06632, 1.58742, 2.45919, 3.91403", \ + "0.24457, 0.693599, 0.926777, 1.27175, 1.80857, 2.67748, 4.1274", \ + "0.354861, 0.90357, 1.1872, 1.59643, 2.20071, 3.1146, 4.57066" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0755777, 0.466629, 0.731027, 1.1688, 1.90148, 3.12257, 5.15769", \ + "0.0846857, 0.467257, 0.731652, 1.16901, 1.9021, 3.1236, 5.1577", \ + "0.0959519, 0.468464, 0.733514, 1.16902, 1.90257, 3.12361, 5.15771", \ + "0.114684, 0.485316, 0.738234, 1.1697, 1.90258, 3.12362, 5.15801", \ + "0.148898, 0.538588, 0.781387, 1.19246, 1.90773, 3.12363, 5.16008", \ + "0.204188, 0.63851, 0.889552, 1.2885, 1.96699, 3.14316, 5.16009", \ + "0.300978, 0.798656, 1.08276, 1.50657, 2.17836, 3.29572, 5.23052" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0238971, 0.0841511, 0.123905, 0.189592, 0.299682, 0.482955, 0.788067", \ + "0.043104, 0.125511, 0.167603, 0.233885, 0.34375, 0.526959, 0.832183", \ + "0.0516126, 0.155852, 0.204526, 0.275533, 0.387032, 0.570223, 0.875449", \ + "0.0607824, 0.199943, 0.260597, 0.344987, 0.468346, 0.656637, 0.962079", \ + "0.0660543, 0.257987, 0.337942, 0.446319, 0.596048, 0.810093, 1.13082", \ + "0.0660553, 0.3268, 0.439985, 0.58611, 0.782825, 1.04957, 1.42512", \ + "0.0660563, 0.393077, 0.552418, 0.760598, 1.03227, 1.38702, 1.86551" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0140705, 0.0903376, 0.143525, 0.231418, 0.378542, 0.623515, 1.03255", \ + "0.0290145, 0.102373, 0.150627, 0.234157, 0.378838, 0.623641, 1.03256", \ + "0.0408119, 0.122089, 0.169119, 0.247499, 0.384854, 0.624689, 1.03257", \ + "0.0618154, 0.158755, 0.208602, 0.286665, 0.415893, 0.641522, 1.0367", \ + "0.096302, 0.220538, 0.278973, 0.362377, 0.495274, 0.709986, 1.07944", \ + "0.155216, 0.325128, 0.397253, 0.497274, 0.643836, 0.869334, 1.22723", \ + "0.2553, 0.493709, 0.594457, 0.727623, 0.90541, 1.16051, 1.54569" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0238081, 0.0242881, 0.0242292, 0.0240598, 0.0237411, 0.0236861, 0.0236339", \ + "0.0233431, 0.0238244, 0.0238825, 0.0238173, 0.0235831, 0.0235585, 0.0235087", \ + "0.023212, 0.0236622, 0.0236597, 0.0236833, 0.0234547, 0.023487, 0.0236553", \ + "0.0233188, 0.0235966, 0.023528, 0.023588, 0.0232994, 0.0233135, 0.02342", \ + "0.024686, 0.023855, 0.0238198, 0.0236277, 0.0236078, 0.0233111, 0.0234359", \ + "0.031251, 0.0273447, 0.026401, 0.0256907, 0.0245712, 0.024381, 0.0234905", \ + "0.0488077, 0.0395945, 0.0369904, 0.0342919, 0.0314495, 0.0297727, 0.0273545" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00857714, 0.0084255, 0.00837682, 0.0081992, 0.00801303, 0.00763852, 0.00680039", \ + "0.00842029, 0.00836531, 0.00840505, 0.00821238, 0.00798314, 0.00751525, 0.00698532", \ + "0.00864296, 0.00852924, 0.00836801, 0.00832282, 0.00792011, 0.00750781, 0.0067103", \ + "0.00978504, 0.00904248, 0.00886232, 0.0084965, 0.00811374, 0.00857407, 0.00665391", \ + "0.0129547, 0.0107164, 0.0102896, 0.00985381, 0.00925023, 0.0079593, 0.00840916", \ + "0.0206343, 0.0159579, 0.0144729, 0.013192, 0.0117122, 0.0107193, 0.00821988", \ + "0.0382152, 0.0296519, 0.0265064, 0.023284, 0.019883, 0.0171265, 0.0144107" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0195067, 0.0199704, 0.0199084, 0.0197448, 0.019432, 0.0193808, 0.0191446", \ + "0.0190114, 0.0194953, 0.0195672, 0.0195098, 0.019282, 0.0193201, 0.0190792", \ + "0.0188836, 0.0195619, 0.0195899, 0.0193033, 0.0191133, 0.0192164, 0.0191854", \ + "0.0190915, 0.0192465, 0.019279, 0.0192092, 0.018957, 0.0190631, 0.0191117", \ + "0.0208614, 0.0197103, 0.019704, 0.0193193, 0.0190659, 0.0190771, 0.0188246", \ + "0.0276995, 0.0235134, 0.0224636, 0.0215943, 0.0203928, 0.0213065, 0.0194911", \ + "0.0439989, 0.0352154, 0.0326377, 0.0300896, 0.0271196, 0.0255051, 0.0225648" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00784793, 0.00780296, 0.00769955, 0.0075374, 0.00726334, 0.00686675, 0.00602647", \ + "0.00764106, 0.00776454, 0.00786512, 0.00762332, 0.00741733, 0.00695282, 0.00631462", \ + "0.00776079, 0.00787011, 0.00770213, 0.00771953, 0.0072595, 0.00686702, 0.00640264", \ + "0.00885363, 0.00831883, 0.0081904, 0.00789417, 0.00753247, 0.00750182, 0.00623314", \ + "0.0118498, 0.00991977, 0.00952209, 0.0091196, 0.00853129, 0.00737736, 0.0075002", \ + "0.0191546, 0.0148257, 0.0134667, 0.0121909, 0.0110375, 0.0101511, 0.00812261", \ + "0.0353201, 0.0274591, 0.0247573, 0.0217603, 0.0186794, 0.0161823, 0.0138415" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0154794, 0.0159408, 0.0158876, 0.0157179, 0.0154118, 0.0153552, 0.0154327", \ + "0.014986, 0.0154613, 0.0156014, 0.0154717, 0.0152485, 0.0152718, 0.0152489", \ + "0.0149469, 0.0154408, 0.0153075, 0.0153385, 0.0151229, 0.0152498, 0.0151897", \ + "0.0155221, 0.0154315, 0.0153488, 0.0152809, 0.0150061, 0.0150643, 0.0151189", \ + "0.0181065, 0.0162793, 0.0161161, 0.0157029, 0.0156645, 0.015176, 0.0152203", \ + "0.0251742, 0.0206513, 0.0193996, 0.0183589, 0.0169224, 0.0171093, 0.0164057", \ + "0.040397, 0.0319684, 0.0296856, 0.0268133, 0.0237463, 0.0217875, 0.0191405" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00488237, 0.0050069, 0.0049297, 0.00481139, 0.00451604, 0.00417231, 0.00331292", \ + "0.00457937, 0.00498481, 0.00510293, 0.00512957, 0.00467706, 0.0042603, 0.00334328", \ + "0.0047715, 0.00510193, 0.0050335, 0.00504747, 0.00470206, 0.00423477, 0.00385199", \ + "0.00593833, 0.00549965, 0.00548551, 0.00504726, 0.00488916, 0.00560886, 0.00434896", \ + "0.00886383, 0.00705307, 0.00669799, 0.00631491, 0.00570394, 0.00474114, 0.00528173", \ + "0.0160376, 0.0115756, 0.0105759, 0.00931987, 0.00814189, 0.00741528, 0.00526569", \ + "0.0310985, 0.0239337, 0.0211098, 0.018312, 0.0153954, 0.0128859, 0.01088" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0082877, 0.00915742, 0.00913142, 0.00899702, 0.00871112, 0.00866642, 0.00844632", \ + "0.00805333, 0.00852347, 0.00860917, 0.00860357, 0.00845907, 0.00840737, 0.00828346", \ + "0.00866066, 0.00849696, 0.00858036, 0.00844576, 0.00830656, 0.00830706, 0.00819928", \ + "0.0102323, 0.00910584, 0.00881264, 0.00856604, 0.00826739, 0.00837254, 0.00822098", \ + "0.0137158, 0.0109679, 0.0103827, 0.00965421, 0.00939741, 0.00873701, 0.00858451", \ + "0.0212442, 0.0162998, 0.0147973, 0.0133736, 0.0114903, 0.0106317, 0.00946632", \ + "0.0375225, 0.0289358, 0.0265103, 0.0234003, 0.020249, 0.0177158, 0.0142919" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00042934, 0.00122988, 0.00117355, 0.00106747, 0.00084419, 0.00045778, -0.00039434", \ + "0.00041675, 0.00123632, 0.00140543, 0.00131404, 0.00109812, 0.00079617, -9.24401e-05", \ + "0.00079583, 0.00136941, 0.00132468, 0.00139083, 0.00109787, 0.00066276, -8.965e-05", \ + "0.00217688, 0.00183077, 0.00182085, 0.00154327, 0.00123778, 0.00118447, 0.00173415", \ + "0.00538256, 0.00332505, 0.00306309, 0.00266976, 0.0021947, 0.00122402, 0.00166335", \ + "0.0128013, 0.00771806, 0.00664786, 0.00542236, 0.00453671, 0.00375732, 0.00179633", \ + "0.0286315, 0.0200266, 0.0170731, 0.0142835, 0.011374, 0.00944515, 0.00704755" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00564423; + rise_capacitance : 0.00561156; + rise_capacitance_range (0.00561156, 0.00561156); + fall_capacitance : 0.00567691; + fall_capacitance_range (0.00567691, 0.00567691); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "2.56602e-05, -0.000265331, -0.000333554, -0.000327876, -0.000332444, -0.000309704, -0.000335533" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000323791, 0.000342687, 0.000334678, 0.000327876, 0.000332444, 0.000309704, 0.000335533" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "2.56602e-05, -0.000265331, -0.000333554, -0.000327876, -0.000332444, -0.000309704, -0.000335533" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000323791, 0.000342687, 0.000334678, 0.000327876, 0.000332444, 0.000309704, 0.000335533" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00556574; + rise_capacitance : 0.00557723; + rise_capacitance_range (0.00557723, 0.00557723); + fall_capacitance : 0.00555425; + fall_capacitance_range (0.00555425, 0.00555425); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.39197e-05, -0.000224281, -0.000215535, -0.000211206, -0.000220721, -0.000213694, -0.000224318" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000201465, 0.000224281, 0.000215535, 0.000211206, 0.000220721, 0.000213694, 0.000224318" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.39197e-05, -0.000224281, -0.000215535, -0.000211206, -0.000220721, -0.000213694, -0.000224318" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000201465, 0.000224281, 0.000215535, 0.000211206, 0.000220721, 0.000213694, 0.000224318" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00488745; + rise_capacitance : 0.00472445; + rise_capacitance_range (0.00472445, 0.00472445); + fall_capacitance : 0.00505044; + fall_capacitance_range (0.00505044, 0.00505044); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00134054, 0.00134072, 0.0013532, 0.00135126, 0.00135827, 0.00136263, 0.00136979" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000276628, -0.00026158, -0.000258896, -0.000254833, -0.000259406, -0.000252083, -0.000254383" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00134054, 0.00134072, 0.0013532, 0.00135126, 0.00135827, 0.00136263, 0.00136979" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000276628, -0.00026158, -0.000258896, -0.000254833, -0.000259406, -0.000252083, -0.000254383" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00496592; + rise_capacitance : 0.00487176; + rise_capacitance_range (0.00487176, 0.00487176); + fall_capacitance : 0.00506007; + fall_capacitance_range (0.00506007, 0.00506007); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00385264, 0.00384167, 0.00385079, 0.00384649, 0.00385262, 0.00385604, 0.00385875" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00163348, 0.00170243, 0.00171084, 0.00170316, 0.00170899, 0.00171878, 0.0017251" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00385264, 0.00384167, 0.00385079, 0.00384649, 0.00385262, 0.00385604, 0.00385875" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00163348, 0.00170243, 0.00171084, 0.00170316, 0.00170899, 0.00171878, 0.0017251" \ + ); + } + } + } + } + cell (sg13g2_o21ai_1) { + area : 9.072; + cell_footprint : "o21ai"; + cell_leakage_power : 778.476; + leakage_power () { + value : 178.592; + when : "!A1*!A2*!B1*Y"; + } + leakage_power () { + value : 630.315; + when : "!A1*!A2*B1*Y"; + } + leakage_power () { + value : 408.012; + when : "!A1*A2*!B1*Y"; + } + leakage_power () { + value : 1400.61; + when : "!A1*A2*B1*!Y"; + } + leakage_power () { + value : 408.025; + when : "A1*!A2*!B1*Y"; + } + leakage_power () { + value : 1640.47; + when : "A1*!A2*B1*!Y"; + } + leakage_power () { + value : 428.48; + when : "A1*A2*!B1*Y"; + } + leakage_power () { + value : 1133.3; + when : "A1*A2*B1*!Y"; + } + pin (Y) { + direction : "output"; + function : "!((A1+A2)*B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0676618, 0.228982, 0.339517, 0.522073, 0.826982, 1.33542, 2.18263", \ + "0.0911696, 0.256118, 0.367004, 0.549761, 0.854855, 1.36329, 2.21073", \ + "0.106384, 0.280682, 0.391755, 0.57452, 0.880012, 1.38909, 2.2361", \ + "0.128982, 0.324646, 0.43985, 0.623809, 0.929252, 1.43767, 2.28604", \ + "0.160935, 0.395359, 0.523284, 0.717857, 1.02865, 1.53707, 2.38433", \ + "0.216146, 0.50037, 0.649655, 0.870793, 1.20458, 1.72817, 2.57713", \ + "0.294034, 0.658521, 0.837817, 1.0998, 1.48862, 2.06469, 2.95366" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0514693, 0.276293, 0.432336, 0.68989, 1.12154, 1.83989, 3.03671", \ + "0.05813, 0.276675, 0.432337, 0.689891, 1.12162, 1.83992, 3.03859", \ + "0.0688725, 0.281235, 0.433842, 0.690475, 1.12163, 1.83995, 3.03867", \ + "0.090401, 0.300177, 0.446522, 0.695784, 1.12529, 1.83996, 3.03868", \ + "0.128788, 0.34657, 0.489182, 0.727986, 1.1391, 1.84457, 3.03869", \ + "0.184534, 0.429123, 0.578271, 0.816867, 1.21362, 1.88855, 3.05389", \ + "0.272818, 0.570551, 0.735032, 0.991577, 1.39626, 2.05492, 3.16713" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0489666, 0.153685, 0.225065, 0.342817, 0.539844, 0.867833, 1.41447", \ + "0.0709335, 0.183771, 0.255517, 0.37337, 0.570492, 0.898747, 1.44531", \ + "0.0836539, 0.209472, 0.28358, 0.402274, 0.599413, 0.927732, 1.47429", \ + "0.099037, 0.250404, 0.331852, 0.457193, 0.657152, 0.985791, 1.53289", \ + "0.116297, 0.30926, 0.405555, 0.546254, 0.761525, 1.09858, 1.64737", \ + "0.1359, 0.388701, 0.511805, 0.681793, 0.930461, 1.29962, 1.87144", \ + "0.153577, 0.483581, 0.64612, 0.869572, 1.17642, 1.61292, 2.25167" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0306355, 0.169032, 0.265066, 0.424152, 0.689626, 1.13243, 1.87084", \ + "0.0395095, 0.172121, 0.266426, 0.424153, 0.690343, 1.13262, 1.87186", \ + "0.0503857, 0.181908, 0.272931, 0.427129, 0.692185, 1.1333, 1.87187", \ + "0.0707948, 0.206504, 0.2956, 0.443919, 0.699137, 1.13596, 1.87188", \ + "0.10604, 0.256439, 0.345866, 0.492117, 0.738918, 1.1578, 1.88059", \ + "0.163783, 0.348088, 0.445542, 0.595302, 0.839322, 1.24647, 1.93856", \ + "0.255976, 0.497269, 0.618591, 0.787393, 1.04555, 1.45288, 2.13" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0592176, 0.221585, 0.332173, 0.514588, 0.820047, 1.3282, 2.17537", \ + "0.0854651, 0.252944, 0.36374, 0.546883, 0.85226, 1.36109, 2.20874", \ + "0.10506, 0.286308, 0.397291, 0.57983, 0.885554, 1.39437, 2.24217", \ + "0.134713, 0.346463, 0.463598, 0.647221, 0.951809, 1.4604, 2.30683", \ + "0.178225, 0.440641, 0.576259, 0.776154, 1.08768, 1.59486, 2.44149", \ + "0.247857, 0.572641, 0.740936, 0.979314, 1.32808, 1.85752, 2.70461", \ + "0.350736, 0.76565, 0.974001, 1.27472, 1.70256, 2.31337, 3.22078" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0515034, 0.276242, 0.43232, 0.690094, 1.12144, 1.83925, 3.03835", \ + "0.0633818, 0.276786, 0.433301, 0.690392, 1.12145, 1.84004, 3.03836", \ + "0.0773112, 0.284595, 0.43482, 0.690634, 1.12146, 1.84005, 3.03837", \ + "0.0998788, 0.313486, 0.454476, 0.698608, 1.1237, 1.84006, 3.03838", \ + "0.136678, 0.37754, 0.517479, 0.748005, 1.14829, 1.84604, 3.03839", \ + "0.190433, 0.482471, 0.638138, 0.876113, 1.25894, 1.91427, 3.06177", \ + "0.278917, 0.643984, 0.834284, 1.1077, 1.51995, 2.15838, 3.23482" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0410687, 0.145283, 0.21622, 0.333406, 0.52957, 0.856313, 1.40096", \ + "0.0591626, 0.175266, 0.246922, 0.36443, 0.560712, 0.887905, 1.43248", \ + "0.0683186, 0.20008, 0.274614, 0.393176, 0.58979, 0.919349, 1.46161", \ + "0.0781548, 0.239164, 0.32177, 0.447627, 0.647385, 0.975021, 1.52028", \ + "0.0856562, 0.294959, 0.393075, 0.535383, 0.751009, 1.08784, 1.63503", \ + "0.0878533, 0.366443, 0.49411, 0.667211, 0.917664, 1.28812, 1.85933", \ + "0.0878543, 0.445641, 0.616905, 0.847405, 1.15924, 1.59871, 2.23851" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0211015, 0.158102, 0.25361, 0.411763, 0.676352, 1.11734, 1.85232", \ + "0.0308089, 0.161424, 0.254903, 0.41189, 0.676353, 1.11735, 1.85233", \ + "0.041423, 0.171694, 0.262003, 0.415065, 0.678864, 1.11967, 1.85234", \ + "0.0611105, 0.196576, 0.284998, 0.432382, 0.686603, 1.11975, 1.85309", \ + "0.0962531, 0.246755, 0.336498, 0.481272, 0.725608, 1.14361, 1.86077", \ + "0.154867, 0.340207, 0.435809, 0.584833, 0.827779, 1.23334, 1.92031", \ + "0.246971, 0.490444, 0.613132, 0.780103, 1.03359, 1.4401, 2.1143" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0268031, 0.108365, 0.163509, 0.254651, 0.406441, 0.659331, 1.08011", \ + "0.0481702, 0.147313, 0.202929, 0.294066, 0.44622, 0.699649, 1.12007", \ + "0.0605434, 0.181961, 0.241991, 0.334631, 0.486816, 0.739852, 1.16104", \ + "0.0777804, 0.235581, 0.307344, 0.409988, 0.566603, 0.819717, 1.24022", \ + "0.100683, 0.312135, 0.405228, 0.532583, 0.712077, 0.97941, 1.4017", \ + "0.13753, 0.413892, 0.536134, 0.704649, 0.932648, 1.24782, 1.70581", \ + "0.184578, 0.551019, 0.714428, 0.93604, 1.24312, 1.65351, 2.21195" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0273578, 0.143145, 0.222545, 0.354097, 0.573982, 0.940881, 1.5526", \ + "0.0496538, 0.14882, 0.224418, 0.354401, 0.574243, 0.941223, 1.55261", \ + "0.0676156, 0.166119, 0.236234, 0.35957, 0.576527, 0.941224, 1.55301", \ + "0.0957408, 0.20454, 0.272629, 0.386559, 0.589103, 0.94397, 1.55302", \ + "0.138939, 0.274416, 0.347494, 0.461432, 0.651622, 0.982005, 1.56532", \ + "0.21102, 0.378715, 0.471097, 0.601539, 0.800796, 1.11556, 1.65641", \ + "0.322228, 0.545274, 0.662555, 0.831678, 1.06919, 1.41645, 1.94327" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0411891, 0.147279, 0.218837, 0.336634, 0.533787, 0.861731, 1.40839", \ + "0.064631, 0.182643, 0.254625, 0.372551, 0.569499, 0.898058, 1.44471", \ + "0.0783973, 0.214488, 0.289678, 0.40841, 0.605347, 0.933423, 1.4801", \ + "0.0969015, 0.263133, 0.349152, 0.477139, 0.677562, 1.00558, 1.55218", \ + "0.120451, 0.330887, 0.436324, 0.586018, 0.807993, 1.14748, 1.69557", \ + "0.150601, 0.42347, 0.558018, 0.744783, 1.01113, 1.39785, 1.97754", \ + "0.185371, 0.539271, 0.716579, 0.9606, 1.29665, 1.77213, 2.44828" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0307519, 0.16895, 0.265236, 0.423906, 0.690363, 1.13238, 1.87066", \ + "0.0444376, 0.173921, 0.266834, 0.42406, 0.690364, 1.1326, 1.87067", \ + "0.0572297, 0.189441, 0.27779, 0.428554, 0.691258, 1.13261, 1.87068", \ + "0.0781305, 0.224883, 0.312068, 0.454956, 0.70396, 1.136, 1.87069", \ + "0.110905, 0.287427, 0.380903, 0.526377, 0.763248, 1.17224, 1.88367", \ + "0.164168, 0.389828, 0.500686, 0.659412, 0.905668, 1.30277, 1.97428", \ + "0.255435, 0.553681, 0.693509, 0.884979, 1.1648, 1.58883, 2.25203" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0260161, 0.107185, 0.161976, 0.252657, 0.403758, 0.65572, 1.07607", \ + "0.0465122, 0.146111, 0.201403, 0.292075, 0.443559, 0.696012, 1.11633", \ + "0.0580549, 0.180477, 0.240385, 0.332681, 0.484097, 0.736588, 1.15855", \ + "0.0737126, 0.2335, 0.305046, 0.407657, 0.563954, 0.816112, 1.23624", \ + "0.0942418, 0.309093, 0.40214, 0.529433, 0.70883, 0.975794, 1.39763", \ + "0.125195, 0.408338, 0.530985, 0.700047, 0.928532, 1.24354, 1.70137", \ + "0.159951, 0.54059, 0.706161, 0.928424, 1.23651, 1.64873, 2.20709" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0175551, 0.130953, 0.210388, 0.34215, 0.562303, 0.929544, 1.54127", \ + "0.0342589, 0.136626, 0.212348, 0.342151, 0.562394, 0.929545, 1.54128", \ + "0.0472761, 0.153798, 0.224243, 0.347632, 0.563261, 0.929546, 1.54309", \ + "0.067874, 0.191168, 0.260159, 0.374938, 0.577447, 0.932634, 1.5431", \ + "0.0993792, 0.25785, 0.334154, 0.449269, 0.639771, 0.970235, 1.55386", \ + "0.156028, 0.356728, 0.453602, 0.588284, 0.78859, 1.10413, 1.64498", \ + "0.245319, 0.51906, 0.637379, 0.812817, 1.05715, 1.40385, 1.93142" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0314117, 0.136005, 0.207075, 0.32415, 0.52045, 0.84716, 1.39176", \ + "0.0489428, 0.17108, 0.242739, 0.360128, 0.556423, 0.88315, 1.42797", \ + "0.0582519, 0.201746, 0.277457, 0.39588, 0.591987, 0.918925, 1.46365", \ + "0.0714477, 0.248076, 0.335299, 0.46407, 0.664176, 0.990821, 1.53549", \ + "0.0869404, 0.312231, 0.419635, 0.57093, 0.793691, 1.13261, 1.67855", \ + "0.105611, 0.398563, 0.536644, 0.725964, 0.994076, 1.38186, 1.96008", \ + "0.124372, 0.506528, 0.688567, 0.936373, 1.27549, 1.75233, 2.42844" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0216927, 0.158135, 0.253748, 0.412009, 0.676397, 1.11712, 1.85232", \ + "0.0365925, 0.164234, 0.255875, 0.412068, 0.676685, 1.11713, 1.85361", \ + "0.0483524, 0.180539, 0.267543, 0.417169, 0.677797, 1.11714, 1.85362", \ + "0.0671119, 0.216005, 0.302456, 0.444551, 0.691758, 1.12079, 1.85363", \ + "0.0973763, 0.278238, 0.370755, 0.515271, 0.752137, 1.1585, 1.86475", \ + "0.148243, 0.381353, 0.4903, 0.649624, 0.894945, 1.2909, 1.95774", \ + "0.235733, 0.5412, 0.684197, 0.873295, 1.15292, 1.57271, 2.23609" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0268031, 0.108365, 0.163509, 0.254651, 0.406441, 0.659331, 1.08011", \ + "0.0481702, 0.147313, 0.202929, 0.294066, 0.44622, 0.699649, 1.12007", \ + "0.0605434, 0.181961, 0.241991, 0.334631, 0.486816, 0.739852, 1.16104", \ + "0.0777804, 0.235581, 0.307344, 0.409988, 0.566603, 0.819717, 1.24022", \ + "0.100683, 0.312135, 0.405228, 0.532583, 0.712077, 0.97941, 1.4017", \ + "0.13753, 0.413892, 0.536134, 0.704649, 0.932648, 1.24782, 1.70581", \ + "0.184578, 0.551019, 0.714428, 0.93604, 1.24312, 1.65351, 2.21195" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0273578, 0.143145, 0.222545, 0.354097, 0.573982, 0.940881, 1.5526", \ + "0.0496538, 0.14882, 0.224418, 0.354401, 0.574243, 0.941223, 1.55261", \ + "0.0676156, 0.166119, 0.236234, 0.35957, 0.576527, 0.941224, 1.55301", \ + "0.0957408, 0.20454, 0.272629, 0.386559, 0.589103, 0.94397, 1.55302", \ + "0.138939, 0.274416, 0.347494, 0.461432, 0.651622, 0.982005, 1.56532", \ + "0.21102, 0.378715, 0.471097, 0.601539, 0.800796, 1.11556, 1.65641", \ + "0.322228, 0.545274, 0.662555, 0.831678, 1.06919, 1.41645, 1.94327" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0411891, 0.147279, 0.218837, 0.336634, 0.533787, 0.861731, 1.40839", \ + "0.064631, 0.182643, 0.254625, 0.372551, 0.569499, 0.898058, 1.44471", \ + "0.0783973, 0.214488, 0.289678, 0.40841, 0.605347, 0.933423, 1.4801", \ + "0.0969015, 0.263133, 0.349152, 0.477139, 0.677562, 1.00558, 1.55218", \ + "0.120451, 0.330887, 0.436324, 0.586018, 0.807993, 1.14748, 1.69557", \ + "0.150601, 0.42347, 0.558018, 0.744783, 1.01113, 1.39785, 1.97754", \ + "0.185371, 0.539271, 0.716579, 0.9606, 1.29665, 1.77213, 2.44828" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0307519, 0.16895, 0.265236, 0.423906, 0.690363, 1.13238, 1.87066", \ + "0.0444376, 0.173921, 0.266834, 0.42406, 0.690364, 1.1326, 1.87067", \ + "0.0572297, 0.189441, 0.27779, 0.428554, 0.691258, 1.13261, 1.87068", \ + "0.0781305, 0.224883, 0.312068, 0.454956, 0.70396, 1.136, 1.87069", \ + "0.110905, 0.287427, 0.380903, 0.526377, 0.763248, 1.17224, 1.88367", \ + "0.164168, 0.389828, 0.500686, 0.659412, 0.905668, 1.30277, 1.97428", \ + "0.255435, 0.553681, 0.693509, 0.884979, 1.1648, 1.58883, 2.25203" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00600971, 0.00603183, 0.00599079, 0.00589567, 0.00577147, 0.0054816, 0.00520501", \ + "0.00584768, 0.0060604, 0.00599358, 0.00593512, 0.00581072, 0.00555166, 0.00529045", \ + "0.00589291, 0.00596972, 0.00595128, 0.00593583, 0.00581352, 0.00553233, 0.00530782", \ + "0.0063567, 0.00611683, 0.00618661, 0.00599661, 0.00597233, 0.00551502, 0.00538584", \ + "0.00802945, 0.00698442, 0.00666632, 0.00653021, 0.00613875, 0.00634347, 0.00557137", \ + "0.0124155, 0.00986334, 0.00914418, 0.00835501, 0.00762402, 0.00680747, 0.00719154", \ + "0.0216805, 0.0175103, 0.0158455, 0.0140759, 0.0122628, 0.0101926, 0.00927121" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00653134, 0.00651533, 0.00647245, 0.0064039, 0.00625977, 0.00599779, 0.00556942", \ + "0.00620074, 0.00644798, 0.00642301, 0.00628325, 0.00621469, 0.00594241, 0.00562324", \ + "0.00618551, 0.00631099, 0.00640798, 0.00631011, 0.0062887, 0.00595316, 0.00556573", \ + "0.00658478, 0.00647254, 0.00637207, 0.00639093, 0.00614674, 0.00616316, 0.00559261", \ + "0.00809683, 0.00722679, 0.00704994, 0.00680222, 0.00650703, 0.00661165, 0.00593892", \ + "0.0121115, 0.00982231, 0.00918115, 0.00857122, 0.00798042, 0.00707785, 0.00690033", \ + "0.0210046, 0.0169126, 0.0153451, 0.013801, 0.012279, 0.0108473, 0.00893811" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0031404, 0.00330777, 0.00327462, 0.00319562, 0.00306156, 0.00273067, 0.00244785", \ + "0.00303023, 0.00325998, 0.00328093, 0.00321847, 0.00307154, 0.00283845, 0.00255653", \ + "0.00334691, 0.0032533, 0.00322848, 0.00321576, 0.00310147, 0.00284913, 0.00252541", \ + "0.00420006, 0.00357373, 0.00349544, 0.00337066, 0.00321616, 0.00287698, 0.00263597", \ + "0.00623812, 0.00481941, 0.00435754, 0.00408447, 0.00360847, 0.00335322, 0.00284039", \ + "0.010575, 0.00795256, 0.00706086, 0.00611705, 0.00531083, 0.00419672, 0.00504195", \ + "0.0198202, 0.0155371, 0.0138818, 0.0120599, 0.0103483, 0.00809132, 0.00706529" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00603906, 0.00645552, 0.00643162, 0.00636964, 0.00624098, 0.00598656, 0.00556976", \ + "0.005577, 0.00632389, 0.00635131, 0.00632033, 0.00621813, 0.0060216, 0.00563896", \ + "0.00560587, 0.00612227, 0.00628266, 0.00626092, 0.0063158, 0.00620981, 0.00557881", \ + "0.00609733, 0.00619675, 0.00620938, 0.00629285, 0.00616088, 0.00646297, 0.00565115", \ + "0.0077037, 0.00682527, 0.00678832, 0.0065984, 0.00636, 0.00672854, 0.00591637", \ + "0.0117422, 0.00930784, 0.00865333, 0.00816051, 0.00777893, 0.00699465, 0.00678227", \ + "0.020459, 0.0160551, 0.0145767, 0.013114, 0.0116165, 0.0104227, 0.00876965" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00422266, 0.00481824, 0.00481278, 0.00477866, 0.00461299, 0.0043283, 0.00391115", \ + "0.00417139, 0.00460596, 0.00460596, 0.00463892, 0.00453223, 0.00433579, 0.0038938", \ + "0.00459991, 0.00449446, 0.0046618, 0.00454177, 0.0045955, 0.00425773, 0.00393048", \ + "0.00566899, 0.0048977, 0.00471775, 0.00473531, 0.00452913, 0.0044288, 0.00388896", \ + "0.00813765, 0.00624781, 0.0058699, 0.0053947, 0.00508406, 0.00485216, 0.00407954", \ + "0.0133134, 0.0098869, 0.00888512, 0.00789014, 0.00696252, 0.00595999, 0.00527978", \ + "0.0238804, 0.0184237, 0.0165613, 0.0144268, 0.0124021, 0.0105407, 0.00817871" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00361595, 0.00389654, 0.00388697, 0.00382069, 0.00371728, 0.00345184, 0.00300315", \ + "0.00339734, 0.00375501, 0.00377558, 0.00368395, 0.00360182, 0.0033974, 0.00300561", \ + "0.00364304, 0.00364977, 0.00375798, 0.00364693, 0.00362544, 0.00336973, 0.00292215", \ + "0.00455712, 0.00404709, 0.0038866, 0.00387191, 0.00362354, 0.00342194, 0.00299818", \ + "0.00665435, 0.00530335, 0.00500895, 0.00456346, 0.00414768, 0.00426902, 0.00323894", \ + "0.0114139, 0.00861952, 0.00782345, 0.00702901, 0.00616231, 0.00500686, 0.00465927", \ + "0.0214945, 0.0168247, 0.015087, 0.0131482, 0.0113283, 0.00973007, 0.00713849" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00141334, 0.00203846, 0.00202471, 0.00199694, 0.00184067, 0.00157247, 0.00116909", \ + "0.00137777, 0.00177118, 0.00180522, 0.00181347, 0.00179517, 0.0015464, 0.00111672", \ + "0.0018211, 0.00171736, 0.00186107, 0.00178413, 0.00169011, 0.00150011, 0.00136486", \ + "0.00292692, 0.00211263, 0.00193747, 0.00198892, 0.00169359, 0.00156314, 0.00107381", \ + "0.00545317, 0.00349222, 0.00313189, 0.00265638, 0.00228027, 0.00224225, 0.00129575", \ + "0.0107288, 0.00715393, 0.00613544, 0.00522373, 0.00417955, 0.00316425, 0.00323434", \ + "0.0214481, 0.0157791, 0.0138294, 0.0117229, 0.00979409, 0.0077858, 0.005571" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00284313, 0.00331523, 0.00330774, 0.00325731, 0.0031314, 0.00289698, 0.00244644", \ + "0.00274971, 0.0031093, 0.00314153, 0.00312011, 0.00305603, 0.00281954, 0.00244349", \ + "0.00312971, 0.00304987, 0.00315786, 0.00311012, 0.00302902, 0.00278931, 0.00243739", \ + "0.00420488, 0.00348487, 0.00330397, 0.00328133, 0.00302869, 0.00284873, 0.00244859", \ + "0.00653148, 0.00480758, 0.00444499, 0.004031, 0.003594, 0.00370861, 0.00263717", \ + "0.0116391, 0.00827047, 0.00735328, 0.00650617, 0.00565137, 0.00449863, 0.00404753", \ + "0.0217958, 0.01666, 0.0148586, 0.0127765, 0.0108579, 0.00911415, 0.00659665" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00141334, 0.00203846, 0.00202471, 0.00199694, 0.00184067, 0.00157247, 0.00116909", \ + "0.00137777, 0.00177118, 0.00180522, 0.00181347, 0.00179517, 0.0015464, 0.00111672", \ + "0.0018211, 0.00171736, 0.00186107, 0.00178413, 0.00169011, 0.00150011, 0.00136486", \ + "0.00292692, 0.00211263, 0.00193747, 0.00198892, 0.00169359, 0.00156314, 0.00107381", \ + "0.00545317, 0.00349222, 0.00313189, 0.00265638, 0.00228027, 0.00224225, 0.00129575", \ + "0.0107288, 0.00715393, 0.00613544, 0.00522373, 0.00417955, 0.00316425, 0.00323434", \ + "0.0214481, 0.0157791, 0.0138294, 0.0117229, 0.00979409, 0.0077858, 0.005571" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00284313, 0.00331523, 0.00330774, 0.00325731, 0.0031314, 0.00289698, 0.00244644", \ + "0.00274971, 0.0031093, 0.00314153, 0.00312011, 0.00305603, 0.00281954, 0.00244349", \ + "0.00312971, 0.00304987, 0.00315786, 0.00311012, 0.00302902, 0.00278931, 0.00243739", \ + "0.00420488, 0.00348487, 0.00330397, 0.00328133, 0.00302869, 0.00284873, 0.00244859", \ + "0.00653148, 0.00480758, 0.00444499, 0.004031, 0.003594, 0.00370861, 0.00263717", \ + "0.0116391, 0.00827047, 0.00735328, 0.00650617, 0.00565137, 0.00449863, 0.00404753", \ + "0.0217958, 0.01666, 0.0148586, 0.0127765, 0.0108579, 0.00911415, 0.00659665" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00327144; + rise_capacitance : 0.00332639; + rise_capacitance_range (0.00332639, 0.00332639); + fall_capacitance : 0.00321649; + fall_capacitance_range (0.00321649, 0.00321649); + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000417796, -0.000426543, -0.000403727, -0.00038058, -0.000359473, -0.000344258, -0.000325963" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000555647, 0.00043588, 0.000403727, 0.00038058, 0.000359473, 0.000344258, 0.000325963" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000417796, -0.000426543, -0.000403727, -0.00038058, -0.000359473, -0.000344258, -0.000325963" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000555647, 0.00043588, 0.000403727, 0.00038058, 0.000359473, 0.000344258, 0.000325963" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00329225; + rise_capacitance : 0.00338267; + rise_capacitance_range (0.00338267, 0.00338267); + fall_capacitance : 0.00320183; + fall_capacitance_range (0.00320183, 0.00320183); + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000353165, -0.000345745, -0.000325242, -0.000302056, -0.000284245, -0.000269383, -0.000252361" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000478387, 0.000358229, 0.000325242, 0.000302056, 0.000284245, 0.000269383, 0.000252361" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000353165, -0.000345745, -0.000325242, -0.000302056, -0.000284245, -0.000269383, -0.000252361" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000478387, 0.000358229, 0.000325242, 0.000302056, 0.000284245, 0.000269383, 0.000252361" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00300163; + rise_capacitance : 0.0031329; + rise_capacitance_range (0.0031329, 0.0031329); + fall_capacitance : 0.00287035; + fall_capacitance_range (0.00287035, 0.00287035); + internal_power () { + when : "(!A1 * !A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000241909, 0.00025618, 0.000267881, 0.00026807, 0.000268231, 0.000268424, 0.000267335" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000785715, 0.000799369, 0.000797021, 0.000796025, 0.000801194, 0.000803099, 0.000800708" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000241909, 0.00025618, 0.000267881, 0.00026807, 0.000268231, 0.000268424, 0.000267335" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000785715, 0.000799369, 0.000797021, 0.000796025, 0.000801194, 0.000803099, 0.000800708" \ + ); + } + } + } + } + cell (sg13g2_or2_1) { + area : 9.072; + cell_footprint : "or2"; + cell_leakage_power : 819.342; + leakage_power () { + value : 1038.49; + when : "!A&!B"; + } + leakage_power () { + value : 941.164; + when : "A&!B"; + } + leakage_power () { + value : 788.536; + when : "!A&B"; + } + leakage_power () { + value : 509.18; + when : "A&B"; + } + pin (X) { + direction : "output"; + function : "(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0583877, 0.128435, 0.174555, 0.250829, 0.377662, 0.589324, 0.941816", \ + "0.0901238, 0.160627, 0.206846, 0.282884, 0.410054, 0.621778, 0.974464", \ + "0.10972, 0.181199, 0.227184, 0.303189, 0.430383, 0.642005, 0.994669", \ + "0.136784, 0.211233, 0.257046, 0.333027, 0.460075, 0.671716, 1.02438", \ + "0.170578, 0.251031, 0.29711, 0.372428, 0.49949, 0.711118, 1.06364", \ + "0.214706, 0.30615, 0.351628, 0.4278, 0.554613, 0.766172, 1.11839", \ + "0.270777, 0.376716, 0.424933, 0.501541, 0.62946, 0.841843, 1.19492" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170795, 0.110784, 0.177929, 0.28938, 0.475564, 0.786091, 1.3039", \ + "0.0200694, 0.111029, 0.17812, 0.289381, 0.476457, 0.786273, 1.30399", \ + "0.023182, 0.111623, 0.178445, 0.289494, 0.476458, 0.786443, 1.304", \ + "0.0287723, 0.113099, 0.179163, 0.290023, 0.476459, 0.786444, 1.30874", \ + "0.0368547, 0.116648, 0.181112, 0.290946, 0.476915, 0.787045, 1.30875", \ + "0.048052, 0.125964, 0.186143, 0.294228, 0.479012, 0.788568, 1.30876", \ + "0.065096, 0.143321, 0.199372, 0.303917, 0.486437, 0.794653, 1.30953" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0913026, 0.163578, 0.20465, 0.270767, 0.380931, 0.564277, 0.869651", \ + "0.115512, 0.188531, 0.229613, 0.295849, 0.40596, 0.589205, 0.894388", \ + "0.132567, 0.207212, 0.248469, 0.314857, 0.425134, 0.608458, 0.913675", \ + "0.15715, 0.234834, 0.276496, 0.343128, 0.45372, 0.636772, 0.941897", \ + "0.191069, 0.275103, 0.318215, 0.384783, 0.495528, 0.678805, 0.984206", \ + "0.242449, 0.336708, 0.381794, 0.450249, 0.560252, 0.743568, 1.049", \ + "0.30644, 0.416792, 0.46646, 0.53835, 0.652611, 0.838224, 1.14369" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0234327, 0.0958535, 0.147178, 0.23318, 0.379296, 0.624392, 1.03176", \ + "0.024448, 0.0962979, 0.147179, 0.233423, 0.379341, 0.624393, 1.03178", \ + "0.0266285, 0.0978217, 0.148111, 0.233999, 0.379599, 0.624394, 1.03236", \ + "0.0308832, 0.101016, 0.150537, 0.235229, 0.38051, 0.624395, 1.03251", \ + "0.0386926, 0.106927, 0.155105, 0.23807, 0.381817, 0.625535, 1.03272", \ + "0.050284, 0.118864, 0.1649, 0.244996, 0.385741, 0.627679, 1.03473", \ + "0.068869, 0.141783, 0.186518, 0.263804, 0.400749, 0.638032, 1.04056" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.053649, 0.123259, 0.169355, 0.245328, 0.372257, 0.583689, 0.936265", \ + "0.0824548, 0.152778, 0.198931, 0.274867, 0.401791, 0.613902, 0.966159", \ + "0.0994553, 0.170488, 0.216718, 0.292509, 0.419362, 0.631243, 0.98329", \ + "0.122485, 0.196986, 0.242952, 0.31873, 0.445733, 0.657301, 1.00984", \ + "0.149965, 0.230965, 0.276794, 0.35253, 0.479483, 0.690695, 1.04348", \ + "0.182656, 0.276089, 0.32192, 0.397648, 0.5244, 0.735628, 1.08753", \ + "0.217619, 0.328833, 0.377538, 0.455185, 0.584319, 0.795906, 1.14909" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0163099, 0.110421, 0.177694, 0.289033, 0.475424, 0.785998, 1.3037", \ + "0.0197771, 0.110828, 0.177815, 0.289068, 0.476249, 0.786519, 1.30407", \ + "0.0232172, 0.111511, 0.178285, 0.289297, 0.47625, 0.78652, 1.30409", \ + "0.0289263, 0.113095, 0.178936, 0.289955, 0.476251, 0.786521, 1.30793", \ + "0.037253, 0.117216, 0.181169, 0.291008, 0.476759, 0.786905, 1.30794", \ + "0.049772, 0.128373, 0.188236, 0.295258, 0.47941, 0.788699, 1.30795", \ + "0.070192, 0.149032, 0.205054, 0.307667, 0.48948, 0.796688, 1.31061" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0846114, 0.1569, 0.198022, 0.264178, 0.374355, 0.557744, 0.863094", \ + "0.112996, 0.186038, 0.22717, 0.293413, 0.403644, 0.586862, 0.892045", \ + "0.13415, 0.208953, 0.250231, 0.316978, 0.427278, 0.610339, 0.915586", \ + "0.16476, 0.241513, 0.28284, 0.349376, 0.45998, 0.643148, 0.948368", \ + "0.20672, 0.289804, 0.331514, 0.398135, 0.508174, 0.691631, 0.996931", \ + "0.271097, 0.36321, 0.406558, 0.473341, 0.582906, 0.765891, 1.06937", \ + "0.355079, 0.463611, 0.510925, 0.58126, 0.693443, 0.87741, 1.18216" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0234018, 0.0958317, 0.147114, 0.233203, 0.379394, 0.624405, 1.03176", \ + "0.0251406, 0.0966268, 0.147374, 0.23339, 0.379453, 0.624406, 1.0318", \ + "0.0281667, 0.0984688, 0.148731, 0.234206, 0.379736, 0.624593, 1.03235", \ + "0.0335506, 0.101943, 0.15126, 0.236207, 0.381315, 0.624717, 1.03482", \ + "0.0429274, 0.107904, 0.155097, 0.238645, 0.383066, 0.626712, 1.03483", \ + "0.05557, 0.118115, 0.162867, 0.243476, 0.385633, 0.629107, 1.03522", \ + "0.076911, 0.143222, 0.184561, 0.260417, 0.397759, 0.636329, 1.04051" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00769437, 0.00818347, 0.00818975, 0.00818127, 0.00804227, 0.00774403, 0.00768204", \ + "0.00756961, 0.00791552, 0.00795888, 0.00803889, 0.00790293, 0.00759989, 0.00730537", \ + "0.00775433, 0.00794708, 0.00795915, 0.00818248, 0.00794184, 0.00763314, 0.00740078", \ + "0.0084441, 0.00851194, 0.00853611, 0.00846606, 0.00860667, 0.0081221, 0.00827733", \ + "0.0100555, 0.0098471, 0.00992089, 0.00989647, 0.00978175, 0.00967159, 0.00953926", \ + "0.0137885, 0.0131902, 0.0130036, 0.0129874, 0.0130323, 0.0129781, 0.0124478", \ + "0.0216734, 0.0201861, 0.0199253, 0.0198259, 0.0197192, 0.0195835, 0.0195067" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00945602, 0.00988788, 0.00991317, 0.00989097, 0.00979408, 0.00959647, 0.00922175", \ + "0.00928177, 0.00968696, 0.00977222, 0.00976896, 0.00963218, 0.00942691, 0.00903171", \ + "0.00940066, 0.00963263, 0.00968869, 0.00987297, 0.00984604, 0.0094572, 0.00908923", \ + "0.00986232, 0.00987723, 0.00995259, 0.00981776, 0.00987126, 0.00947457, 0.00931033", \ + "0.0112953, 0.0109838, 0.0111091, 0.0111198, 0.0111103, 0.0107295, 0.0113438", \ + "0.0149842, 0.0141679, 0.0141889, 0.0142667, 0.0141647, 0.0140453, 0.0134845", \ + "0.0227476, 0.0211846, 0.0211158, 0.0208305, 0.0206608, 0.0205377, 0.0205264" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00738323, 0.007934, 0.00793887, 0.00788211, 0.00775021, 0.0074653, 0.00736393", \ + "0.00715835, 0.00756586, 0.00763453, 0.00764394, 0.00755619, 0.00726822, 0.00692734", \ + "0.007334, 0.00753707, 0.00758093, 0.00775808, 0.00750826, 0.00726172, 0.00697434", \ + "0.00804836, 0.0081397, 0.00816413, 0.00812907, 0.00825074, 0.00777836, 0.00811709", \ + "0.0097116, 0.00949011, 0.00951522, 0.0095966, 0.00944039, 0.00935615, 0.00914633", \ + "0.013447, 0.0128211, 0.0126482, 0.0126135, 0.0126039, 0.0125, 0.0118155", \ + "0.0213709, 0.0197887, 0.0196267, 0.0195208, 0.0193336, 0.0190762, 0.0189723" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00761992, 0.00807061, 0.00809847, 0.00805384, 0.00794593, 0.00782906, 0.007397", \ + "0.0075559, 0.00788312, 0.0080445, 0.00798328, 0.00788296, 0.00765353, 0.00734482", \ + "0.00789256, 0.00802425, 0.00809462, 0.00825183, 0.00824569, 0.00789149, 0.00749274", \ + "0.00868921, 0.00863766, 0.00864582, 0.00848238, 0.00850997, 0.0081799, 0.00812629", \ + "0.0105514, 0.0101635, 0.01015, 0.0101685, 0.00997928, 0.00952841, 0.00954466", \ + "0.0144617, 0.0133901, 0.0133477, 0.0133647, 0.0132258, 0.0131082, 0.0121765", \ + "0.0225042, 0.0206941, 0.0205066, 0.0201642, 0.0200421, 0.0198336, 0.0197463" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00245326; + rise_capacitance : 0.00243674; + rise_capacitance_range (0.00243674, 0.00243674); + fall_capacitance : 0.00246978; + fall_capacitance_range (0.00246978, 0.00246978); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00226955; + rise_capacitance : 0.00234513; + rise_capacitance_range (0.00234513, 0.00234513); + fall_capacitance : 0.00219398; + fall_capacitance_range (0.00219398, 0.00219398); + } + } + cell (sg13g2_or2_2) { + area : 10.8864; + cell_footprint : "or2"; + cell_leakage_power : 1163.57; + leakage_power () { + value : 1799.14; + when : "!A&!B"; + } + leakage_power () { + value : 1146.58; + when : "A&!B"; + } + leakage_power () { + value : 993.953; + when : "!A&B"; + } + leakage_power () { + value : 714.595; + when : "A&B"; + } + pin (X) { + direction : "output"; + function : "(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0692862, 0.145052, 0.191416, 0.267625, 0.394927, 0.606678, 0.959387", \ + "0.105256, 0.181858, 0.228209, 0.304323, 0.43164, 0.643275, 0.996306", \ + "0.128936, 0.207428, 0.253559, 0.32973, 0.45704, 0.668969, 1.02149", \ + "0.162626, 0.244996, 0.290821, 0.36655, 0.49347, 0.70511, 1.05765", \ + "0.206063, 0.296788, 0.341925, 0.417487, 0.543718, 0.755436, 1.10742", \ + "0.261896, 0.365679, 0.412609, 0.488233, 0.613476, 0.824572, 1.17653", \ + "0.33608, 0.457527, 0.508063, 0.584031, 0.710378, 0.922174, 1.27342" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0190307, 0.111967, 0.178907, 0.290231, 0.476686, 0.787561, 1.30595", \ + "0.0221903, 0.112432, 0.179089, 0.290232, 0.477121, 0.787633, 1.30596", \ + "0.0259004, 0.113527, 0.179631, 0.290507, 0.477122, 0.787781, 1.30653", \ + "0.0324694, 0.116053, 0.18094, 0.29118, 0.477215, 0.787844, 1.30832", \ + "0.0432499, 0.122733, 0.184453, 0.292662, 0.478332, 0.788541, 1.30833", \ + "0.059261, 0.13583, 0.193227, 0.297901, 0.48081, 0.790124, 1.30834", \ + "0.081794, 0.16152, 0.213385, 0.311021, 0.48895, 0.796017, 1.31136" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.117843, 0.203411, 0.246417, 0.313726, 0.42443, 0.607901, 0.913357", \ + "0.143486, 0.22936, 0.272243, 0.339813, 0.450552, 0.634295, 0.939577", \ + "0.163747, 0.250918, 0.29404, 0.361603, 0.472365, 0.65598, 0.96145", \ + "0.195105, 0.285079, 0.328785, 0.396455, 0.507377, 0.690937, 0.996483", \ + "0.239909, 0.335478, 0.380186, 0.448481, 0.559148, 0.74289, 1.04831", \ + "0.304908, 0.409579, 0.456142, 0.525877, 0.636962, 0.819847, 1.125", \ + "0.390869, 0.51017, 0.56155, 0.635296, 0.750643, 0.932915, 1.23862" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0309035, 0.106929, 0.156703, 0.241213, 0.386056, 0.630232, 1.03913", \ + "0.0315593, 0.106954, 0.156712, 0.24125, 0.386616, 0.630233, 1.03914", \ + "0.033703, 0.108283, 0.157576, 0.241759, 0.386617, 0.630917, 1.03915", \ + "0.0381521, 0.112083, 0.160485, 0.243792, 0.387486, 0.630918, 1.03984", \ + "0.0476136, 0.119316, 0.166591, 0.247593, 0.389879, 0.632019, 1.03985", \ + "0.062026, 0.132371, 0.176858, 0.255754, 0.394449, 0.6352, 1.04044", \ + "0.086017, 0.158944, 0.201173, 0.275536, 0.410208, 0.644036, 1.04592" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0647385, 0.140026, 0.186339, 0.262349, 0.38971, 0.601318, 0.953945", \ + "0.0987298, 0.175104, 0.221419, 0.297423, 0.424684, 0.636349, 0.989178", \ + "0.12026, 0.198536, 0.244871, 0.320705, 0.448098, 0.659492, 1.01342", \ + "0.150342, 0.233154, 0.279155, 0.354442, 0.481454, 0.692726, 1.04532", \ + "0.189176, 0.280528, 0.325553, 0.401162, 0.527489, 0.738913, 1.09119", \ + "0.235676, 0.34096, 0.387664, 0.464985, 0.591124, 0.801342, 1.15281", \ + "0.292533, 0.416389, 0.466673, 0.543882, 0.670667, 0.881173, 1.23356" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0182078, 0.111633, 0.178699, 0.290047, 0.476623, 0.787596, 1.30563", \ + "0.0217103, 0.112196, 0.178865, 0.290064, 0.476624, 0.787597, 1.30566", \ + "0.0257245, 0.113296, 0.179457, 0.290349, 0.476675, 0.787598, 1.30709", \ + "0.0328321, 0.116029, 0.180871, 0.291076, 0.477105, 0.787752, 1.3131", \ + "0.0439091, 0.123438, 0.184504, 0.292633, 0.478104, 0.788613, 1.31311", \ + "0.060922, 0.138844, 0.195002, 0.298662, 0.480876, 0.79029, 1.31312", \ + "0.085801, 0.166908, 0.217413, 0.315126, 0.492217, 0.79773, 1.31313" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.111485, 0.197165, 0.240009, 0.30743, 0.417933, 0.601777, 0.907122", \ + "0.142206, 0.228037, 0.271178, 0.338496, 0.449326, 0.632973, 0.938507", \ + "0.1687, 0.255628, 0.29892, 0.366503, 0.477356, 0.661032, 0.96644", \ + "0.208184, 0.29832, 0.34192, 0.40965, 0.520616, 0.704299, 1.00947", \ + "0.264133, 0.359375, 0.403306, 0.47092, 0.581553, 0.764499, 1.06981", \ + "0.34341, 0.448166, 0.49353, 0.561695, 0.6709, 0.854184, 1.15831", \ + "0.450134, 0.570497, 0.620841, 0.692659, 0.803534, 0.985856, 1.29006" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.030927, 0.106923, 0.156763, 0.241222, 0.386069, 0.630165, 1.03912", \ + "0.0319425, 0.107095, 0.156941, 0.241251, 0.386336, 0.630166, 1.03913", \ + "0.0352951, 0.108812, 0.157976, 0.241928, 0.386395, 0.630901, 1.03914", \ + "0.0422771, 0.113026, 0.161325, 0.244521, 0.387612, 0.631228, 1.03915", \ + "0.0548025, 0.121056, 0.167582, 0.248637, 0.390743, 0.633077, 1.04007", \ + "0.071864, 0.135612, 0.178207, 0.25579, 0.394705, 0.635945, 1.04159", \ + "0.100301, 0.166335, 0.204636, 0.275816, 0.407187, 0.643027, 1.04635" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0126193, 0.0134845, 0.0135302, 0.0135027, 0.013294, 0.0128064, 0.0129803", \ + "0.0127086, 0.0132037, 0.0132116, 0.0134429, 0.013126, 0.0125671, 0.0124836", \ + "0.0130486, 0.0131361, 0.0132697, 0.0133284, 0.0130925, 0.0125907, 0.0120292", \ + "0.0140606, 0.0135734, 0.0135993, 0.0134273, 0.013807, 0.0127074, 0.0126513", \ + "0.0164148, 0.0149931, 0.0149241, 0.0149311, 0.0144607, 0.0143699, 0.0142944", \ + "0.0214362, 0.0182499, 0.0181026, 0.0180097, 0.0178641, 0.0176901, 0.0163269", \ + "0.0311968, 0.0257662, 0.0253475, 0.0247554, 0.0245043, 0.0244588, 0.024161" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0150124, 0.0149943, 0.0151074, 0.015013, 0.0148712, 0.0141271, 0.0134743", \ + "0.0148664, 0.0148685, 0.0149, 0.0149336, 0.0150316, 0.0143814, 0.0135919", \ + "0.0151565, 0.0146943, 0.0147856, 0.0152385, 0.0146435, 0.0144893, 0.0135793", \ + "0.0160812, 0.0149567, 0.014995, 0.014783, 0.0150306, 0.0149112, 0.0139818", \ + "0.0180497, 0.0160131, 0.0160431, 0.0159926, 0.0156523, 0.0150132, 0.0163612", \ + "0.0226584, 0.0190364, 0.0188232, 0.0189246, 0.0187291, 0.0184306, 0.0166704", \ + "0.032147, 0.0266427, 0.0259716, 0.0254153, 0.0256724, 0.0247784, 0.0249342" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012311, 0.0133503, 0.0134204, 0.0133423, 0.0131973, 0.0126592, 0.012666", \ + "0.0123213, 0.0129485, 0.0129816, 0.0130542, 0.0127906, 0.0122651, 0.0116506", \ + "0.0126304, 0.0127629, 0.012951, 0.0132642, 0.0127255, 0.0121927, 0.0119166", \ + "0.0136058, 0.0132043, 0.0132695, 0.0130562, 0.0133721, 0.0122856, 0.013529", \ + "0.0159977, 0.0146073, 0.014506, 0.0146101, 0.0143013, 0.0141013, 0.0142874", \ + "0.0210214, 0.0178711, 0.0176426, 0.0176972, 0.0176413, 0.0173983, 0.016141", \ + "0.0309664, 0.02524, 0.0247586, 0.0244716, 0.0241015, 0.02347, 0.0234805" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0132459, 0.0131989, 0.0132582, 0.0132363, 0.0128218, 0.0125571, 0.011772", \ + "0.013162, 0.0130362, 0.0131572, 0.0131446, 0.0130725, 0.0126449, 0.0119254", \ + "0.0137438, 0.0129774, 0.0131217, 0.0136127, 0.013097, 0.0127819, 0.0119504", \ + "0.0151014, 0.013685, 0.0137061, 0.0135493, 0.013722, 0.0133065, 0.0121946", \ + "0.0178775, 0.0150848, 0.0151019, 0.0150382, 0.0142938, 0.0137975, 0.0142798", \ + "0.0231154, 0.0184903, 0.0182675, 0.0180289, 0.0175933, 0.0176413, 0.0155507", \ + "0.033193, 0.0264535, 0.0256245, 0.0251752, 0.0245377, 0.0240152, 0.0235805" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00244267; + rise_capacitance : 0.00242138; + rise_capacitance_range (0.00242138, 0.00242138); + fall_capacitance : 0.00246396; + fall_capacitance_range (0.00246396, 0.00246396); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00225457; + rise_capacitance : 0.00232422; + rise_capacitance_range (0.00232422, 0.00232422); + fall_capacitance : 0.00218493; + fall_capacitance_range (0.00218493, 0.00218493); + } + } + cell (sg13g2_or3_1) { + area : 12.7008; + cell_footprint : "or3"; + cell_leakage_power : 880.633; + leakage_power () { + value : 1185.72; + when : "!A&!B&!C"; + } + leakage_power () { + value : 912.145; + when : "!A&!B&C"; + } + leakage_power () { + value : 1094.18; + when : "!A&B&!C"; + } + leakage_power () { + value : 575.729; + when : "!A&B&C"; + } + leakage_power () { + value : 1338.02; + when : "A&!B&!C"; + } + leakage_power () { + value : 575.607; + when : "A&!B&C"; + } + leakage_power () { + value : 832.733; + when : "A&B&!C"; + } + leakage_power () { + value : 530.927; + when : "A&B&C"; + } + pin (X) { + direction : "output"; + function : "(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0678455, 0.13966, 0.186168, 0.262287, 0.389858, 0.601182, 0.953579", \ + "0.103274, 0.175596, 0.222068, 0.298349, 0.425627, 0.637461, 0.989711", \ + "0.126477, 0.200003, 0.246379, 0.322507, 0.449835, 0.661661, 1.01406", \ + "0.159683, 0.235815, 0.282057, 0.358062, 0.484997, 0.696629, 1.04893", \ + "0.201992, 0.284946, 0.33054, 0.406709, 0.533473, 0.744755, 1.09708", \ + "0.257555, 0.352379, 0.398778, 0.474736, 0.601842, 0.812993, 1.16529", \ + "0.33502, 0.44564, 0.49428, 0.571221, 0.699018, 0.911521, 1.26429" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0191633, 0.111504, 0.178539, 0.289532, 0.475609, 0.786168, 1.30331", \ + "0.0215654, 0.111766, 0.178544, 0.289533, 0.475622, 0.786169, 1.30332", \ + "0.0246355, 0.112355, 0.178884, 0.289748, 0.47572, 0.78617, 1.30333", \ + "0.0297878, 0.113891, 0.179662, 0.290273, 0.476092, 0.786171, 1.30573", \ + "0.0380575, 0.117901, 0.181588, 0.291208, 0.476843, 0.78674, 1.30574", \ + "0.049694, 0.127269, 0.187362, 0.294656, 0.478887, 0.788315, 1.30575", \ + "0.065237, 0.145473, 0.20143, 0.304584, 0.48618, 0.793908, 1.30879" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.130652, 0.213144, 0.256287, 0.324145, 0.435171, 0.619083, 0.925627", \ + "0.152672, 0.235542, 0.278912, 0.346471, 0.457505, 0.641919, 0.948399", \ + "0.167399, 0.251386, 0.294829, 0.3627, 0.473841, 0.658129, 0.9646", \ + "0.187957, 0.274638, 0.318856, 0.387153, 0.49857, 0.682885, 0.989373", \ + "0.214584, 0.307077, 0.352546, 0.421863, 0.533626, 0.718166, 1.02482", \ + "0.255291, 0.358481, 0.407048, 0.478053, 0.590138, 0.775168, 1.08173", \ + "0.306897, 0.427193, 0.481112, 0.556696, 0.676271, 0.862701, 1.17007" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0295402, 0.104674, 0.154554, 0.238814, 0.383725, 0.62879, 1.03837", \ + "0.0299198, 0.104806, 0.154582, 0.238815, 0.384415, 0.629014, 1.03838", \ + "0.0312217, 0.105856, 0.155212, 0.239416, 0.384416, 0.629015, 1.03855", \ + "0.0340253, 0.108846, 0.157694, 0.241246, 0.385196, 0.629016, 1.03992", \ + "0.0403822, 0.115235, 0.162754, 0.244691, 0.387248, 0.630216, 1.03993", \ + "0.051413, 0.127347, 0.174234, 0.253168, 0.39205, 0.632847, 1.04013", \ + "0.069294, 0.151302, 0.19769, 0.274805, 0.41085, 0.645137, 1.04718" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0645102, 0.135321, 0.181641, 0.25745, 0.384776, 0.595976, 0.948268", \ + "0.0978415, 0.169362, 0.215474, 0.291641, 0.418868, 0.630307, 0.982481", \ + "0.118921, 0.191522, 0.237765, 0.31382, 0.440876, 0.652493, 1.00478", \ + "0.148235, 0.224027, 0.269988, 0.345829, 0.472811, 0.684379, 1.03659", \ + "0.185638, 0.267838, 0.313641, 0.389422, 0.516357, 0.72766, 1.07992", \ + "0.232289, 0.326962, 0.373262, 0.449205, 0.576028, 0.787383, 1.13952", \ + "0.288041, 0.400614, 0.449821, 0.527444, 0.653473, 0.865479, 1.21851" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01794, 0.110672, 0.177982, 0.288956, 0.475131, 0.785583, 1.30302", \ + "0.0208454, 0.111023, 0.177983, 0.288966, 0.475508, 0.7859, 1.30303", \ + "0.0238912, 0.111612, 0.178284, 0.28917, 0.475509, 0.785901, 1.30304", \ + "0.0293386, 0.113246, 0.179058, 0.289724, 0.475552, 0.785902, 1.30559", \ + "0.037541, 0.11737, 0.181166, 0.290772, 0.476457, 0.786276, 1.3056", \ + "0.049055, 0.127416, 0.187216, 0.294154, 0.478585, 0.788023, 1.30561", \ + "0.066273, 0.146719, 0.203592, 0.304213, 0.486427, 0.793738, 1.30857" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.125371, 0.20809, 0.251098, 0.318935, 0.429754, 0.613878, 0.920433", \ + "0.148545, 0.231406, 0.274621, 0.342499, 0.453546, 0.638093, 0.944327", \ + "0.166051, 0.25063, 0.294296, 0.362255, 0.473447, 0.657686, 0.964123", \ + "0.192929, 0.280118, 0.324516, 0.392946, 0.504525, 0.688813, 0.995477", \ + "0.231836, 0.324981, 0.36982, 0.438965, 0.550703, 0.735737, 1.04264", \ + "0.292574, 0.395284, 0.44232, 0.512945, 0.624903, 0.809568, 1.11677", \ + "0.372843, 0.492246, 0.54394, 0.618556, 0.736021, 0.920705, 1.22968" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0294868, 0.104875, 0.154457, 0.238794, 0.383623, 0.628414, 1.03836", \ + "0.0302009, 0.104876, 0.154547, 0.238855, 0.384207, 0.629005, 1.03837", \ + "0.0320579, 0.106456, 0.15575, 0.239863, 0.384208, 0.629097, 1.03849", \ + "0.0358051, 0.109958, 0.158882, 0.24206, 0.385768, 0.629704, 1.03891", \ + "0.0436909, 0.116306, 0.16371, 0.245412, 0.388436, 0.631351, 1.03921", \ + "0.055091, 0.127499, 0.172394, 0.252132, 0.391964, 0.63387, 1.04142", \ + "0.073741, 0.151271, 0.193896, 0.270502, 0.407016, 0.642147, 1.04634" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0584297, 0.128591, 0.174777, 0.250704, 0.377506, 0.588872, 0.940977", \ + "0.0892668, 0.160462, 0.206416, 0.282442, 0.409426, 0.621128, 0.973064", \ + "0.107785, 0.180036, 0.226164, 0.302181, 0.429195, 0.640523, 0.992567", \ + "0.132403, 0.209102, 0.254923, 0.330825, 0.457865, 0.669081, 1.02118", \ + "0.16342, 0.247263, 0.293015, 0.369123, 0.495575, 0.706979, 1.05904", \ + "0.198838, 0.296093, 0.342167, 0.41835, 0.544163, 0.755337, 1.10761", \ + "0.237691, 0.352928, 0.403618, 0.481164, 0.609965, 0.822549, 1.17345" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0170685, 0.110382, 0.17747, 0.288863, 0.474974, 0.785393, 1.30279", \ + "0.0203762, 0.110695, 0.177629, 0.288864, 0.477564, 0.785666, 1.3028", \ + "0.0236851, 0.111477, 0.178084, 0.288978, 0.477565, 0.785667, 1.30299", \ + "0.0295037, 0.113293, 0.178895, 0.289522, 0.477566, 0.785668, 1.30786", \ + "0.037965, 0.118009, 0.181215, 0.290787, 0.477567, 0.786217, 1.30787", \ + "0.050259, 0.130279, 0.189368, 0.295797, 0.478958, 0.787906, 1.30788", \ + "0.069977, 0.15316, 0.208102, 0.308659, 0.48947, 0.796174, 1.31" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.110691, 0.193104, 0.236275, 0.304222, 0.41508, 0.599317, 0.90578", \ + "0.136303, 0.219186, 0.262565, 0.330354, 0.441422, 0.625662, 0.932212", \ + "0.158188, 0.242255, 0.286047, 0.354078, 0.465284, 0.649537, 0.956005", \ + "0.190936, 0.276841, 0.320671, 0.389068, 0.501095, 0.684939, 0.991744", \ + "0.23725, 0.328087, 0.372065, 0.440519, 0.55212, 0.736846, 1.04428", \ + "0.307394, 0.406722, 0.452108, 0.521638, 0.63261, 0.8148, 1.12194", \ + "0.400798, 0.516089, 0.566132, 0.638381, 0.753181, 0.936748, 1.24396" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.029539, 0.104824, 0.154664, 0.238825, 0.383878, 0.628398, 1.03837", \ + "0.0304256, 0.105023, 0.154707, 0.238875, 0.383879, 0.628399, 1.03838", \ + "0.0327048, 0.106835, 0.156303, 0.24022, 0.384289, 0.63009, 1.03839", \ + "0.0372386, 0.110059, 0.159388, 0.242862, 0.386578, 0.63015, 1.03994", \ + "0.04621, 0.115498, 0.162801, 0.245517, 0.389214, 0.632443, 1.04002", \ + "0.05839, 0.125591, 0.170102, 0.250309, 0.391758, 0.634977, 1.04268", \ + "0.079118, 0.149891, 0.191525, 0.266716, 0.403918, 0.641814, 1.04699" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00826196, 0.00865775, 0.00869984, 0.00865631, 0.00858722, 0.00832694, 0.00819834", \ + "0.00813416, 0.00840151, 0.00839904, 0.00849049, 0.0083035, 0.00811082, 0.00774186", \ + "0.00828806, 0.00838772, 0.00845841, 0.00863802, 0.00839476, 0.00812002, 0.00782334", \ + "0.00890868, 0.00883499, 0.0088554, 0.00874894, 0.00880249, 0.00836593, 0.00830554", \ + "0.0105347, 0.0101944, 0.0101702, 0.0102165, 0.0100073, 0.00990448, 0.00938915", \ + "0.0143869, 0.0135971, 0.0134679, 0.0133695, 0.0133892, 0.0132823, 0.012615", \ + "0.0226091, 0.0211316, 0.0209064, 0.020666, 0.0205108, 0.0203797, 0.0201971" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134892, 0.0137451, 0.0137623, 0.0137832, 0.0137177, 0.0134089, 0.0130606", \ + "0.0132892, 0.0136227, 0.0136573, 0.0136081, 0.0136242, 0.0134408, 0.0130339", \ + "0.0133355, 0.0134699, 0.0135256, 0.0137119, 0.0134577, 0.0133637, 0.0129151", \ + "0.0135941, 0.0135891, 0.0136391, 0.0135349, 0.0136553, 0.0134322, 0.0131664", \ + "0.0146586, 0.014347, 0.0143549, 0.0143974, 0.0143244, 0.0139811, 0.014473", \ + "0.0181093, 0.017233, 0.0172848, 0.0172862, 0.0172291, 0.0172095, 0.0163313", \ + "0.0261372, 0.0245834, 0.024473, 0.024138, 0.0242711, 0.0238992, 0.0240047" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00783993, 0.00833979, 0.00838399, 0.00832643, 0.00825163, 0.00793928, 0.00789585", \ + "0.00766804, 0.00802395, 0.00803473, 0.00809602, 0.00799818, 0.00772042, 0.00740183", \ + "0.00778028, 0.00798781, 0.0080448, 0.00827153, 0.00803207, 0.00771301, 0.0076993", \ + "0.00839346, 0.00841385, 0.00843823, 0.00833718, 0.00854949, 0.00802771, 0.00802389", \ + "0.00997401, 0.00967693, 0.00970639, 0.00974564, 0.00960816, 0.00947561, 0.00939137", \ + "0.0137283, 0.0130102, 0.0128682, 0.0128135, 0.012827, 0.0128256, 0.0121444", \ + "0.0216124, 0.0200092, 0.0198576, 0.0194913, 0.0194653, 0.0192528, 0.0192197" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115062, 0.0118112, 0.0118303, 0.0118126, 0.0116809, 0.0114566, 0.0110946", \ + "0.0113175, 0.0115973, 0.011605, 0.0116134, 0.0116349, 0.0114381, 0.010996", \ + "0.0114151, 0.0114745, 0.011547, 0.0117481, 0.0115006, 0.0114061, 0.0109915", \ + "0.0118633, 0.0117566, 0.0117666, 0.0116639, 0.0117701, 0.011459, 0.0111186", \ + "0.0132655, 0.0128062, 0.0127728, 0.0127928, 0.0126278, 0.0123116, 0.0129694", \ + "0.0168928, 0.0158271, 0.0157388, 0.0157858, 0.015701, 0.0156618, 0.0148553", \ + "0.0245502, 0.0228011, 0.0224251, 0.0222065, 0.0224324, 0.0220731, 0.0222972" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00752924, 0.0080838, 0.00810535, 0.00806818, 0.00791605, 0.0076301, 0.00756983", \ + "0.00733871, 0.00775007, 0.00777494, 0.00786037, 0.00795437, 0.00743504, 0.00737978", \ + "0.00746527, 0.00767524, 0.00772917, 0.00792321, 0.00768056, 0.00737038, 0.00736326", \ + "0.0080797, 0.00820257, 0.00824395, 0.00816104, 0.00828404, 0.00785821, 0.00799701", \ + "0.00973873, 0.00952721, 0.0095506, 0.00966514, 0.00949178, 0.00937921, 0.00919081", \ + "0.0134807, 0.0128206, 0.0126663, 0.0126496, 0.0124861, 0.0125525, 0.0119287", \ + "0.02149, 0.0200079, 0.0198234, 0.0196167, 0.0194596, 0.0192602, 0.0189942" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00927316, 0.00951144, 0.00953195, 0.00958171, 0.00940422, 0.00926075, 0.00886403", \ + "0.00912633, 0.00935926, 0.00941306, 0.00950725, 0.00937449, 0.00917281, 0.00874942", \ + "0.00947593, 0.00943987, 0.00952766, 0.0096297, 0.00946065, 0.00945797, 0.00890041", \ + "0.0102643, 0.0100768, 0.0100537, 0.00992126, 0.0100674, 0.00982044, 0.00954711", \ + "0.0121002, 0.0116009, 0.0115363, 0.0114786, 0.0111535, 0.0109602, 0.0115872", \ + "0.0160158, 0.0148167, 0.0146762, 0.014721, 0.0145754, 0.014318, 0.0135299", \ + "0.0239579, 0.0220574, 0.0217446, 0.021417, 0.0214389, 0.0210189, 0.0209365" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00255976; + rise_capacitance : 0.00255136; + rise_capacitance_range (0.00255136, 0.00255136); + fall_capacitance : 0.00256817; + fall_capacitance_range (0.00256817, 0.00256817); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00250231; + rise_capacitance : 0.00254072; + rise_capacitance_range (0.00254072, 0.00254072); + fall_capacitance : 0.00246391; + fall_capacitance_range (0.00246391, 0.00246391); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00238343; + rise_capacitance : 0.0025096; + rise_capacitance_range (0.0025096, 0.0025096); + fall_capacitance : 0.00225726; + fall_capacitance_range (0.00225726, 0.00225726); + } + } + cell (sg13g2_or3_2) { + area : 14.5152; + cell_footprint : "or3"; + cell_leakage_power : 1155.6; + leakage_power () { + value : 1946.55; + when : "!A&!B&!C"; + } + leakage_power () { + value : 1117.71; + when : "!A&!B&C"; + } + leakage_power () { + value : 1299.74; + when : "!A&B&!C"; + } + leakage_power () { + value : 781.292; + when : "!A&B&C"; + } + leakage_power () { + value : 1543.58; + when : "A&!B&!C"; + } + leakage_power () { + value : 781.17; + when : "A&!B&C"; + } + leakage_power () { + value : 1038.3; + when : "A&B&!C"; + } + leakage_power () { + value : 736.489; + when : "A&B&C"; + } + pin (X) { + direction : "output"; + function : "(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0785501, 0.1568, 0.203318, 0.279668, 0.407151, 0.618958, 0.971873", \ + "0.11698, 0.195701, 0.242181, 0.318641, 0.445927, 0.657657, 1.01041", \ + "0.143469, 0.224338, 0.27065, 0.346925, 0.474217, 0.686192, 1.03943", \ + "0.182125, 0.267104, 0.313165, 0.38914, 0.515967, 0.727551, 1.08002", \ + "0.233573, 0.326436, 0.372629, 0.447941, 0.574526, 0.785607, 1.13806", \ + "0.301704, 0.408472, 0.4555, 0.531063, 0.657334, 0.868535, 1.21987", \ + "0.389994, 0.515404, 0.567155, 0.643743, 0.770839, 0.981582, 1.3334" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0208444, 0.112833, 0.179388, 0.29052, 0.476793, 0.787518, 1.30544", \ + "0.0232283, 0.113141, 0.179593, 0.290556, 0.476857, 0.787519, 1.30587", \ + "0.0265976, 0.11429, 0.179944, 0.290748, 0.476894, 0.78752, 1.30687", \ + "0.0325663, 0.117034, 0.181488, 0.291326, 0.477225, 0.787662, 1.31307", \ + "0.0431346, 0.123158, 0.18483, 0.292992, 0.478448, 0.78822, 1.31308", \ + "0.058753, 0.137143, 0.193824, 0.298117, 0.480557, 0.790249, 1.31309", \ + "0.081629, 0.163627, 0.214912, 0.311618, 0.489088, 0.79553, 1.3131" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.163846, 0.261572, 0.307905, 0.377583, 0.489815, 0.674751, 0.981163", \ + "0.186124, 0.283818, 0.330498, 0.400233, 0.513043, 0.697115, 1.00344", \ + "0.20255, 0.300858, 0.347172, 0.417426, 0.529758, 0.714175, 1.02072", \ + "0.227206, 0.327649, 0.374558, 0.445146, 0.557836, 0.742441, 1.04885", \ + "0.261715, 0.367157, 0.415042, 0.486553, 0.599874, 0.784869, 1.09116", \ + "0.313717, 0.427498, 0.47745, 0.550862, 0.664833, 0.849337, 1.15594", \ + "0.383787, 0.512255, 0.567755, 0.646031, 0.765837, 0.950282, 1.2585" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0387333, 0.119544, 0.168713, 0.250971, 0.393223, 0.635829, 1.04411", \ + "0.0387343, 0.119545, 0.168714, 0.250972, 0.393644, 0.636235, 1.04488", \ + "0.0400189, 0.119546, 0.168715, 0.251129, 0.393645, 0.636236, 1.04489", \ + "0.0431202, 0.122559, 0.171012, 0.252874, 0.394513, 0.636355, 1.0449", \ + "0.0498989, 0.129089, 0.17694, 0.257455, 0.397865, 0.637883, 1.04526", \ + "0.062432, 0.141061, 0.188053, 0.266329, 0.403632, 0.641569, 1.04685", \ + "0.084577, 0.167296, 0.212439, 0.288086, 0.421787, 0.652889, 1.05253" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0748658, 0.151935, 0.198265, 0.274518, 0.401796, 0.613485, 0.965992", \ + "0.111833, 0.189787, 0.236143, 0.312269, 0.439523, 0.65125, 1.00378", \ + "0.13657, 0.216607, 0.262867, 0.33895, 0.466101, 0.67776, 1.0303", \ + "0.172093, 0.256259, 0.302387, 0.378076, 0.504973, 0.716267, 1.06896", \ + "0.218998, 0.31115, 0.356947, 0.432394, 0.558758, 0.76959, 1.12177", \ + "0.278697, 0.386163, 0.433317, 0.508618, 0.634675, 0.845742, 1.19751", \ + "0.352472, 0.478565, 0.530465, 0.607304, 0.733467, 0.94474, 1.29697" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0196678, 0.112011, 0.178761, 0.28995, 0.476444, 0.78703, 1.30514", \ + "0.0224791, 0.112442, 0.178888, 0.289951, 0.476445, 0.787114, 1.30515", \ + "0.0260416, 0.113556, 0.179399, 0.290127, 0.476552, 0.787808, 1.3056", \ + "0.0323291, 0.116419, 0.181021, 0.290857, 0.47679, 0.787809, 1.30561", \ + "0.0428928, 0.12341, 0.184644, 0.29256, 0.477845, 0.787899, 1.30562", \ + "0.058795, 0.137431, 0.193834, 0.297529, 0.480281, 0.789715, 1.30649", \ + "0.082004, 0.165119, 0.216345, 0.312792, 0.489276, 0.795378, 1.31056" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.158549, 0.256397, 0.302593, 0.37223, 0.48464, 0.669331, 0.975786", \ + "0.182344, 0.280162, 0.326785, 0.396619, 0.508949, 0.693426, 0.999811", \ + "0.202831, 0.301402, 0.347752, 0.418034, 0.53046, 0.714824, 1.02131", \ + "0.235989, 0.336915, 0.38387, 0.454617, 0.567473, 0.752258, 1.05874", \ + "0.285451, 0.391046, 0.438784, 0.510095, 0.623192, 0.808221, 1.11505", \ + "0.358093, 0.472335, 0.521916, 0.594384, 0.707309, 0.892044, 1.19901", \ + "0.461257, 0.590123, 0.644839, 0.721229, 0.836312, 1.02309, 1.32798" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0387149, 0.119155, 0.168702, 0.251025, 0.393501, 0.635839, 1.04397", \ + "0.0389262, 0.119156, 0.168703, 0.251026, 0.393502, 0.636234, 1.04467", \ + "0.0407153, 0.119952, 0.168877, 0.251471, 0.393846, 0.636366, 1.04486", \ + "0.0450606, 0.123334, 0.171813, 0.25354, 0.395081, 0.636935, 1.04487", \ + "0.0540013, 0.130783, 0.177806, 0.258569, 0.398422, 0.638777, 1.04607", \ + "0.068545, 0.143153, 0.188247, 0.26616, 0.403366, 0.641849, 1.04798", \ + "0.092666, 0.169556, 0.21293, 0.285859, 0.417912, 0.65035, 1.05292" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0689679, 0.145419, 0.191667, 0.26771, 0.39525, 0.606651, 0.959241", \ + "0.104295, 0.181885, 0.228138, 0.304308, 0.431582, 0.643158, 0.995763", \ + "0.126936, 0.206826, 0.252986, 0.32886, 0.455857, 0.667981, 1.02021", \ + "0.158898, 0.243232, 0.289147, 0.364689, 0.491319, 0.702835, 1.05523", \ + "0.199027, 0.293515, 0.338812, 0.414362, 0.54088, 0.751468, 1.10381", \ + "0.248044, 0.357375, 0.40518, 0.480692, 0.606733, 0.817536, 1.1687", \ + "0.307414, 0.435461, 0.489556, 0.567039, 0.693832, 0.904631, 1.2567" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0187541, 0.111601, 0.178456, 0.289694, 0.476155, 0.786947, 1.30491", \ + "0.0220467, 0.112149, 0.178636, 0.289695, 0.476352, 0.786948, 1.30492", \ + "0.0258229, 0.113395, 0.179253, 0.289956, 0.476353, 0.787144, 1.3055", \ + "0.0325516, 0.116403, 0.180797, 0.290762, 0.476789, 0.787145, 1.30758", \ + "0.0437282, 0.124124, 0.1849, 0.292566, 0.477764, 0.787753, 1.30759", \ + "0.060769, 0.139977, 0.195925, 0.298943, 0.480583, 0.789703, 1.3076", \ + "0.085184, 0.170162, 0.221012, 0.31652, 0.492666, 0.797129, 1.31147" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.144274, 0.242095, 0.288379, 0.358202, 0.470497, 0.654845, 0.960913", \ + "0.170776, 0.268744, 0.314969, 0.384884, 0.49726, 0.681497, 0.987941", \ + "0.196897, 0.295097, 0.341447, 0.411876, 0.524231, 0.708677, 1.01502", \ + "0.238291, 0.338459, 0.385088, 0.455969, 0.568602, 0.753337, 1.05995", \ + "0.297222, 0.401173, 0.448285, 0.518793, 0.631907, 0.816373, 1.12296", \ + "0.381267, 0.493569, 0.542054, 0.61349, 0.726529, 0.910799, 1.21701", \ + "0.50007, 0.627365, 0.675979, 0.751004, 0.864534, 1.0485, 1.35389" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0386137, 0.11928, 0.168653, 0.251025, 0.393198, 0.63585, 1.04398", \ + "0.0390476, 0.119281, 0.168654, 0.251026, 0.393308, 0.636088, 1.04401", \ + "0.041468, 0.120209, 0.169087, 0.251701, 0.393868, 0.636566, 1.04479", \ + "0.0477058, 0.124274, 0.172501, 0.253994, 0.395739, 0.636707, 1.0448", \ + "0.059178, 0.131486, 0.178987, 0.258701, 0.399303, 0.639672, 1.04657", \ + "0.075789, 0.144493, 0.188477, 0.266312, 0.403791, 0.642888, 1.04953", \ + "0.102972, 0.173074, 0.213762, 0.284736, 0.415941, 0.649843, 1.05362" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0132301, 0.0138775, 0.0139372, 0.0138556, 0.0136943, 0.0132454, 0.0131656", \ + "0.0132821, 0.0136082, 0.0135865, 0.0139509, 0.0134135, 0.0129624, 0.0124387", \ + "0.0136056, 0.0135098, 0.0136334, 0.013898, 0.0133675, 0.0128857, 0.0127259", \ + "0.0146188, 0.0140267, 0.0140021, 0.0137493, 0.013982, 0.0132064, 0.0140417", \ + "0.0169973, 0.0152828, 0.0153033, 0.0151921, 0.0147055, 0.0145397, 0.0133487", \ + "0.0220473, 0.0187891, 0.0185756, 0.0184481, 0.0183662, 0.0181676, 0.0165526", \ + "0.0324038, 0.02695, 0.026477, 0.0256782, 0.0255381, 0.0250877, 0.0248281" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0197418, 0.0187744, 0.0189008, 0.0186864, 0.0185597, 0.0186007, 0.017776", \ + "0.0195367, 0.0188629, 0.0190308, 0.01882, 0.0189526, 0.018575, 0.0178169", \ + "0.0196157, 0.0185184, 0.0186723, 0.0188991, 0.0187128, 0.0182341, 0.0176689", \ + "0.0202181, 0.0186949, 0.0186886, 0.0185926, 0.0189295, 0.0181485, 0.0175646", \ + "0.0216637, 0.0193989, 0.0193439, 0.0193145, 0.018884, 0.0184191, 0.018597", \ + "0.025862, 0.0221718, 0.022283, 0.0219824, 0.0217397, 0.0216376, 0.0200021", \ + "0.0355596, 0.030147, 0.0293895, 0.0288609, 0.0291316, 0.0279966, 0.0283062" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0127913, 0.0135927, 0.0136747, 0.0136477, 0.0134592, 0.012984, 0.0130157", \ + "0.0127974, 0.0132729, 0.0133022, 0.0139009, 0.0130543, 0.0126437, 0.0125718", \ + "0.0131666, 0.0130787, 0.0132403, 0.0134453, 0.0130382, 0.0127773, 0.0125799", \ + "0.0141281, 0.013483, 0.0135845, 0.0133521, 0.0134424, 0.0127125, 0.0121629", \ + "0.016367, 0.0147885, 0.0148217, 0.0148152, 0.0144139, 0.014175, 0.0135439", \ + "0.0213292, 0.0180994, 0.0179374, 0.0177834, 0.0176928, 0.0176205, 0.0162832", \ + "0.0310812, 0.0257351, 0.0252972, 0.0246426, 0.0244275, 0.0242605, 0.0241038" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0177312, 0.0169797, 0.0169353, 0.0166816, 0.0165995, 0.0166386, 0.0157773", \ + "0.0175665, 0.0168728, 0.0171097, 0.0168512, 0.016831, 0.0165867, 0.0157496", \ + "0.0177697, 0.0165434, 0.0166673, 0.016816, 0.0170592, 0.0162581, 0.0156223", \ + "0.0186496, 0.0168337, 0.0168176, 0.0167108, 0.0169825, 0.0163223, 0.0154893", \ + "0.0206223, 0.0179057, 0.0177078, 0.0176594, 0.0169639, 0.0168216, 0.0164358", \ + "0.0251411, 0.0208265, 0.0206408, 0.0204259, 0.0200207, 0.0198033, 0.0182097", \ + "0.0349222, 0.0282913, 0.0276726, 0.0270475, 0.0266555, 0.0264958, 0.0257976" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.012476, 0.0134532, 0.0135191, 0.0134551, 0.0133455, 0.0127438, 0.0120584", \ + "0.0125286, 0.0130794, 0.0130801, 0.0137234, 0.0129696, 0.0124666, 0.0117724", \ + "0.0127849, 0.0128811, 0.0130912, 0.0131842, 0.0128245, 0.0124692, 0.0118127", \ + "0.0137371, 0.013269, 0.0133065, 0.0130819, 0.0134714, 0.0124183, 0.0122559", \ + "0.0160454, 0.0145876, 0.014499, 0.0145727, 0.0142107, 0.0139447, 0.0130284", \ + "0.0211323, 0.0178446, 0.0176279, 0.0174952, 0.0174974, 0.0171026, 0.0160293", \ + "0.0312719, 0.0255707, 0.025133, 0.0245419, 0.024281, 0.023768, 0.0234511" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0155756, 0.0147333, 0.0148253, 0.0146804, 0.0146782, 0.0140216, 0.0129895", \ + "0.0153951, 0.0146955, 0.0146716, 0.0146009, 0.0146992, 0.014145, 0.0133263", \ + "0.0158729, 0.0144592, 0.0145656, 0.0148571, 0.0148169, 0.0142797, 0.0134675", \ + "0.0173879, 0.0152019, 0.0150581, 0.0149307, 0.0151535, 0.0145378, 0.0136619", \ + "0.0200563, 0.0166203, 0.0165466, 0.0163228, 0.015901, 0.0151659, 0.0162695", \ + "0.0251315, 0.0200285, 0.0198393, 0.0195956, 0.0191928, 0.0187984, 0.0169676", \ + "0.0352989, 0.0276044, 0.0270605, 0.0264403, 0.0260428, 0.0254084, 0.0249087" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00255477; + rise_capacitance : 0.00254307; + rise_capacitance_range (0.00254307, 0.00254307); + fall_capacitance : 0.00256647; + fall_capacitance_range (0.00256647, 0.00256647); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00249428; + rise_capacitance : 0.00252733; + rise_capacitance_range (0.00252733, 0.00252733); + fall_capacitance : 0.00246122; + fall_capacitance_range (0.00246122, 0.00246122); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00237114; + rise_capacitance : 0.00249254; + rise_capacitance_range (0.00249254, 0.00249254); + fall_capacitance : 0.00224975; + fall_capacitance_range (0.00224975, 0.00224975); + } + } + cell (sg13g2_or4_1) { + area : 14.5152; + cell_footprint : "or4"; + cell_leakage_power : 866.637; + leakage_power () { + value : 1327.07; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 927.914; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 1109.92; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 591.496; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 1353.68; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 591.296; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 848.391; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 546.603; + when : "!A&B&C&D"; + } + leakage_power () { + value : 1594.59; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 590.906; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 847.295; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 543.164; + when : "A&!B&C&D"; + } + leakage_power () { + value : 1103.62; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 549.503; + when : "A&B&!C&D"; + } + leakage_power () { + value : 808.15; + when : "A&B&C&!D"; + } + leakage_power () { + value : 532.598; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0708479, 0.144694, 0.191618, 0.268348, 0.396196, 0.608441, 0.961856", \ + "0.108459, 0.182248, 0.228998, 0.305749, 0.433736, 0.645921, 0.999406", \ + "0.133475, 0.208356, 0.255233, 0.331852, 0.459612, 0.672005, 1.02518", \ + "0.16947, 0.247048, 0.293174, 0.369813, 0.497402, 0.709607, 1.06274", \ + "0.215778, 0.300293, 0.346388, 0.422533, 0.549753, 0.762031, 1.11509", \ + "0.274676, 0.373531, 0.42031, 0.496781, 0.624212, 0.836604, 1.18922", \ + "0.35428, 0.466928, 0.516458, 0.593179, 0.721594, 0.934813, 1.2888" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0205106, 0.113122, 0.180052, 0.291157, 0.477622, 0.788079, 1.30614", \ + "0.0226598, 0.113123, 0.180053, 0.291158, 0.477623, 0.788393, 1.30617", \ + "0.0255367, 0.113603, 0.180228, 0.291197, 0.477624, 0.788394, 1.30618", \ + "0.0305551, 0.115201, 0.180936, 0.291686, 0.477737, 0.788395, 1.30692", \ + "0.0389648, 0.119149, 0.18313, 0.292761, 0.478656, 0.788845, 1.30693", \ + "0.051077, 0.128611, 0.188607, 0.296005, 0.480572, 0.790616, 1.30771", \ + "0.067224, 0.147601, 0.203328, 0.305825, 0.487448, 0.796189, 1.31252" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.180465, 0.274603, 0.320983, 0.391276, 0.504467, 0.689109, 0.994998", \ + "0.201437, 0.295622, 0.342393, 0.412698, 0.525419, 0.709943, 1.0169", \ + "0.216053, 0.310425, 0.357298, 0.427972, 0.540615, 0.725324, 1.0321", \ + "0.235433, 0.332088, 0.379463, 0.450769, 0.563786, 0.74866, 1.05552", \ + "0.259089, 0.360102, 0.408822, 0.481032, 0.594996, 0.780307, 1.08727", \ + "0.292516, 0.40372, 0.455201, 0.529563, 0.64433, 0.830369, 1.1381", \ + "0.344474, 0.472388, 0.52984, 0.610704, 0.73406, 0.922087, 1.23197" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0369399, 0.11681, 0.166731, 0.248759, 0.390308, 0.632519, 1.04071", \ + "0.0370043, 0.11682, 0.166732, 0.24882, 0.390309, 0.632911, 1.04097", \ + "0.0378048, 0.117249, 0.166733, 0.248821, 0.390666, 0.632912, 1.04098", \ + "0.0398514, 0.119489, 0.168625, 0.2504, 0.391674, 0.633377, 1.04207", \ + "0.0445683, 0.125304, 0.173964, 0.254693, 0.394856, 0.634985, 1.04226", \ + "0.054831, 0.137641, 0.184973, 0.263823, 0.400756, 0.638446, 1.04347", \ + "0.07296, 0.162531, 0.210119, 0.288066, 0.421978, 0.652809, 1.05082" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0698538, 0.142127, 0.188731, 0.265161, 0.392755, 0.604724, 0.957886", \ + "0.105689, 0.178291, 0.224877, 0.301407, 0.429033, 0.640987, 0.994115", \ + "0.129282, 0.203215, 0.249678, 0.326022, 0.453712, 0.665991, 1.01891", \ + "0.162147, 0.2387, 0.284922, 0.361214, 0.488466, 0.700528, 1.05354", \ + "0.203961, 0.287534, 0.333753, 0.409786, 0.536961, 0.748943, 1.1021", \ + "0.255913, 0.351141, 0.397961, 0.474316, 0.60154, 0.813638, 1.16664", \ + "0.31587, 0.428334, 0.478434, 0.555092, 0.682877, 0.896042, 1.24988" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0193591, 0.111748, 0.17883, 0.29011, 0.47648, 0.78772, 1.30583", \ + "0.0217927, 0.112048, 0.178895, 0.290169, 0.477382, 0.787721, 1.30618", \ + "0.0246993, 0.112597, 0.17929, 0.290325, 0.477383, 0.787722, 1.30634", \ + "0.0298762, 0.114125, 0.180034, 0.290782, 0.477384, 0.787723, 1.31052", \ + "0.0382175, 0.118046, 0.182061, 0.291845, 0.477743, 0.788321, 1.31053", \ + "0.04999, 0.127746, 0.187898, 0.2953, 0.479852, 0.78983, 1.31054", \ + "0.06671, 0.147182, 0.202848, 0.305473, 0.487121, 0.795418, 1.31141" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.175499, 0.269423, 0.316253, 0.386449, 0.499295, 0.683958, 0.990411", \ + "0.196804, 0.29099, 0.337802, 0.408018, 0.520867, 0.705402, 1.01201", \ + "0.212672, 0.307569, 0.354336, 0.425181, 0.537918, 0.722565, 1.02947", \ + "0.235619, 0.333208, 0.380611, 0.452193, 0.565387, 0.75039, 1.05722", \ + "0.26872, 0.371268, 0.419919, 0.492801, 0.606717, 0.792288, 1.09965", \ + "0.323557, 0.435698, 0.486717, 0.561021, 0.675929, 0.862035, 1.16977", \ + "0.4085, 0.537285, 0.594493, 0.673698, 0.794853, 0.982492, 1.29076" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0369621, 0.117151, 0.166446, 0.248526, 0.390324, 0.632561, 1.04071", \ + "0.0370835, 0.117152, 0.166447, 0.248596, 0.390485, 0.632949, 1.04127", \ + "0.0383129, 0.117761, 0.166733, 0.249041, 0.390892, 0.633223, 1.04128", \ + "0.0411318, 0.120786, 0.169765, 0.251249, 0.392605, 0.633769, 1.04129", \ + "0.047448, 0.127145, 0.175212, 0.256131, 0.395973, 0.636199, 1.04324", \ + "0.058769, 0.139292, 0.185651, 0.263746, 0.401083, 0.639246, 1.04478", \ + "0.077623, 0.163816, 0.209774, 0.285505, 0.41868, 0.650208, 1.05096" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0658863, 0.136889, 0.183368, 0.259474, 0.386918, 0.5985, 0.951456", \ + "0.099943, 0.171795, 0.218075, 0.29435, 0.421636, 0.633545, 0.986693", \ + "0.121411, 0.194504, 0.240792, 0.317061, 0.444319, 0.656443, 1.00935", \ + "0.150768, 0.226951, 0.273147, 0.349172, 0.476379, 0.688342, 1.04172", \ + "0.186097, 0.269722, 0.315892, 0.391441, 0.518493, 0.730328, 1.08324", \ + "0.227553, 0.324236, 0.371367, 0.44727, 0.574614, 0.786468, 1.13751", \ + "0.272863, 0.386086, 0.436343, 0.512344, 0.640435, 0.85268, 1.2063" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0182222, 0.110917, 0.178224, 0.289498, 0.476077, 0.786984, 1.30549", \ + "0.020893, 0.111275, 0.178252, 0.289568, 0.477251, 0.786985, 1.30558", \ + "0.0239788, 0.11192, 0.178634, 0.289798, 0.477252, 0.787263, 1.30559", \ + "0.0294796, 0.113508, 0.17949, 0.29038, 0.477253, 0.78734, 1.30809", \ + "0.0380059, 0.117836, 0.181758, 0.291278, 0.477254, 0.787957, 1.3081", \ + "0.050021, 0.128331, 0.188065, 0.295111, 0.479608, 0.789243, 1.30811", \ + "0.067769, 0.149406, 0.204091, 0.306016, 0.487133, 0.795663, 1.31132" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.161488, 0.255338, 0.302114, 0.372732, 0.484967, 0.669937, 0.976364", \ + "0.182855, 0.276978, 0.323425, 0.394239, 0.506839, 0.691388, 0.99817", \ + "0.200956, 0.296203, 0.343021, 0.413997, 0.526684, 0.711298, 1.01812", \ + "0.23001, 0.327724, 0.375383, 0.447116, 0.56059, 0.745598, 1.05259", \ + "0.275624, 0.377383, 0.425777, 0.497865, 0.611901, 0.797644, 1.10534", \ + "0.348603, 0.459448, 0.508996, 0.581968, 0.696096, 0.8823, 1.19008", \ + "0.457133, 0.583477, 0.638304, 0.716138, 0.834019, 1.02146, 1.32936" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0369851, 0.116685, 0.166558, 0.248535, 0.390381, 0.632517, 1.04068", \ + "0.0372814, 0.11694, 0.166559, 0.248536, 0.390382, 0.632958, 1.04134", \ + "0.0389337, 0.118032, 0.167118, 0.249348, 0.391049, 0.633108, 1.04152", \ + "0.0423795, 0.121672, 0.170331, 0.252036, 0.393122, 0.634014, 1.04153", \ + "0.0498456, 0.127223, 0.176082, 0.256419, 0.39663, 0.636867, 1.04366", \ + "0.061427, 0.138466, 0.184242, 0.262709, 0.400854, 0.640024, 1.04602", \ + "0.08063, 0.16148, 0.205211, 0.280703, 0.414018, 0.647771, 1.05096" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.059474, 0.129902, 0.176113, 0.25236, 0.379315, 0.591042, 0.94399", \ + "0.0910844, 0.162416, 0.208695, 0.284844, 0.412098, 0.624037, 0.976801", \ + "0.109998, 0.182545, 0.229028, 0.304941, 0.4322, 0.644099, 0.996937", \ + "0.134922, 0.211665, 0.257502, 0.33346, 0.460847, 0.672582, 1.02564", \ + "0.164081, 0.248275, 0.294608, 0.36997, 0.497136, 0.70875, 1.06199", \ + "0.195317, 0.293217, 0.340349, 0.416152, 0.543455, 0.755226, 1.10784", \ + "0.223474, 0.3406, 0.391368, 0.469173, 0.598662, 0.809653, 1.16242" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0172922, 0.110671, 0.177801, 0.28945, 0.475807, 0.787069, 1.30537", \ + "0.0205202, 0.110897, 0.177992, 0.289451, 0.476527, 0.787093, 1.30564", \ + "0.0237642, 0.111729, 0.178448, 0.28954, 0.476528, 0.787094, 1.30565", \ + "0.0296035, 0.113497, 0.179217, 0.290054, 0.476529, 0.787095, 1.30701", \ + "0.0382581, 0.118405, 0.181927, 0.291353, 0.477131, 0.787724, 1.30702", \ + "0.050862, 0.130336, 0.189799, 0.295962, 0.479865, 0.789421, 1.30703", \ + "0.071249, 0.154141, 0.20776, 0.309209, 0.48964, 0.797397, 1.31242" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.136602, 0.230334, 0.277542, 0.347861, 0.460295, 0.644778, 0.951415", \ + "0.15999, 0.253991, 0.300573, 0.371229, 0.483782, 0.668467, 0.975319", \ + "0.18326, 0.277674, 0.324667, 0.3955, 0.50844, 0.692993, 0.999811", \ + "0.220013, 0.315566, 0.363119, 0.434598, 0.548118, 0.733394, 1.04043", \ + "0.274141, 0.372242, 0.419743, 0.491501, 0.605054, 0.790547, 1.09815", \ + "0.355406, 0.461191, 0.509891, 0.581341, 0.694815, 0.880081, 1.18735", \ + "0.471321, 0.592261, 0.645056, 0.719809, 0.83529, 1.0199, 1.32867" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0369529, 0.116711, 0.166361, 0.248393, 0.390391, 0.632539, 1.04068", \ + "0.0372005, 0.116847, 0.166362, 0.249961, 0.390556, 0.632542, 1.04089", \ + "0.0387715, 0.118357, 0.167283, 0.249962, 0.391074, 0.63272, 1.04094", \ + "0.0428819, 0.121015, 0.170328, 0.252389, 0.393537, 0.634503, 1.04212", \ + "0.0512811, 0.125194, 0.174475, 0.255989, 0.397132, 0.63766, 1.04388", \ + "0.063503, 0.13459, 0.180931, 0.259938, 0.399652, 0.640793, 1.04733", \ + "0.084949, 0.158536, 0.201571, 0.276582, 0.410866, 0.647103, 1.05204" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00894354, 0.00932178, 0.00933915, 0.009307, 0.00924453, 0.00895165, 0.00862269", \ + "0.00895285, 0.00918167, 0.00916486, 0.00927295, 0.0091116, 0.00887621, 0.00855065", \ + "0.00908751, 0.00914604, 0.00922715, 0.00928125, 0.00909622, 0.00887832, 0.00854815", \ + "0.00964324, 0.00956326, 0.00951398, 0.00944423, 0.00956243, 0.00907454, 0.00877834", \ + "0.0111963, 0.0107961, 0.010785, 0.010758, 0.0104986, 0.0104331, 0.00992365", \ + "0.0148011, 0.0139257, 0.0138157, 0.0137174, 0.0137052, 0.0136343, 0.0128512", \ + "0.0227448, 0.0211768, 0.020966, 0.0206026, 0.0204752, 0.0203294, 0.0203086" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151701, 0.0152396, 0.015145, 0.0150791, 0.0152513, 0.0150294, 0.0142737", \ + "0.0149921, 0.0150717, 0.0150993, 0.0150897, 0.0150544, 0.0148428, 0.014397", \ + "0.0149806, 0.014912, 0.0149868, 0.0150563, 0.0151532, 0.0147638, 0.0143603", \ + "0.0151459, 0.0149202, 0.0149634, 0.0149342, 0.015071, 0.0147495, 0.0144872", \ + "0.0157931, 0.0153161, 0.0153827, 0.0153572, 0.0152046, 0.0149609, 0.0151714", \ + "0.0186036, 0.0176595, 0.0176105, 0.0175544, 0.0174695, 0.0174321, 0.016855", \ + "0.0261988, 0.024569, 0.0242459, 0.0238616, 0.0241435, 0.0238517, 0.0240816" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00855606, 0.008992, 0.00903164, 0.00902298, 0.00892612, 0.00867729, 0.00864139", \ + "0.00841733, 0.00871788, 0.0087139, 0.0089884, 0.00873826, 0.00840021, 0.00815828", \ + "0.00850876, 0.00862905, 0.00869698, 0.00890157, 0.00864542, 0.00841408, 0.00812613", \ + "0.00899582, 0.0089559, 0.00896576, 0.00889557, 0.00907162, 0.00855969, 0.00897818", \ + "0.0104236, 0.0100812, 0.010115, 0.0101268, 0.00999529, 0.00986912, 0.00961037", \ + "0.0138676, 0.0130683, 0.0129776, 0.0129397, 0.0129349, 0.0129123, 0.0123513", \ + "0.0214965, 0.0198875, 0.0196926, 0.0193874, 0.0191276, 0.0189879, 0.0189224" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0140907, 0.0140798, 0.0141423, 0.0140481, 0.0140837, 0.0138864, 0.013375", \ + "0.0139232, 0.0140172, 0.0140103, 0.0139969, 0.013998, 0.013782, 0.0134166", \ + "0.013916, 0.0138092, 0.0138901, 0.0139134, 0.0139291, 0.0136924, 0.0133115", \ + "0.0141773, 0.0138908, 0.0138863, 0.0138472, 0.0140118, 0.0136851, 0.0132295", \ + "0.01505, 0.0144729, 0.0144426, 0.0145018, 0.0142186, 0.0140814, 0.0141741", \ + "0.0181945, 0.0170202, 0.0169304, 0.0168222, 0.0167876, 0.0167536, 0.0160632", \ + "0.0256253, 0.0236433, 0.0233846, 0.0231374, 0.0232348, 0.0230545, 0.0230275" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00734782, 0.00782836, 0.00788054, 0.0078361, 0.00773142, 0.00743648, 0.00738842", \ + "0.00724835, 0.00759809, 0.00761028, 0.00781535, 0.00765063, 0.00725337, 0.00723224", \ + "0.00736135, 0.00753419, 0.00759814, 0.00763282, 0.00756102, 0.00727031, 0.00694276", \ + "0.00783419, 0.00785223, 0.0079064, 0.00784626, 0.00802563, 0.0074644, 0.00750011", \ + "0.00922148, 0.00894297, 0.00899952, 0.00899865, 0.00877315, 0.00873522, 0.00846136", \ + "0.0126259, 0.0118522, 0.0117432, 0.0117006, 0.0117726, 0.0115782, 0.0109637", \ + "0.0198078, 0.0183236, 0.0180858, 0.0178362, 0.017691, 0.0175322, 0.017466" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123429, 0.0123647, 0.0123573, 0.0124397, 0.0122188, 0.0122017, 0.011614", \ + "0.0121705, 0.0122563, 0.0122403, 0.0122544, 0.0121965, 0.0119868, 0.0116451", \ + "0.0122151, 0.0120561, 0.0121286, 0.0122116, 0.0124425, 0.0119471, 0.011619", \ + "0.0126386, 0.0122812, 0.0122239, 0.0122266, 0.0123963, 0.0120868, 0.0116592", \ + "0.0139762, 0.013136, 0.0131676, 0.0130908, 0.0127779, 0.0125774, 0.0124652", \ + "0.0171358, 0.0158982, 0.0159083, 0.015727, 0.0155926, 0.0154981, 0.0147999", \ + "0.0243232, 0.0221707, 0.0218736, 0.021706, 0.0216571, 0.0214736, 0.021378" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00579136, 0.00634828, 0.00635463, 0.00634254, 0.00616438, 0.00590636, 0.00592415", \ + "0.00568148, 0.00608149, 0.00612087, 0.00621879, 0.00607691, 0.00580443, 0.00574854", \ + "0.00580293, 0.00600631, 0.00610916, 0.00620394, 0.0061207, 0.00576039, 0.00573873", \ + "0.00634079, 0.00645851, 0.00646326, 0.00641475, 0.00653325, 0.0060797, 0.00600104", \ + "0.00781062, 0.00755539, 0.00762541, 0.00763517, 0.00750234, 0.00738078, 0.00702444", \ + "0.0112902, 0.0105079, 0.0103844, 0.0103319, 0.0103794, 0.0102574, 0.00970477", \ + "0.0187147, 0.0170985, 0.0168695, 0.016766, 0.0166077, 0.0163665, 0.0162009" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00893263, 0.0088934, 0.00903075, 0.00898962, 0.00884462, 0.00858391, 0.00819117", \ + "0.00873601, 0.00878817, 0.00879165, 0.00898492, 0.00872287, 0.00854068, 0.00822902", \ + "0.00901781, 0.00880931, 0.00887039, 0.00913261, 0.00911291, 0.00863371, 0.00829781", \ + "0.00984444, 0.00941074, 0.00940954, 0.00933044, 0.00947384, 0.00916144, 0.00887125", \ + "0.0115708, 0.0106682, 0.0107205, 0.0106969, 0.0104277, 0.0100618, 0.00983276", \ + "0.0151054, 0.0136703, 0.0136362, 0.0134576, 0.0133169, 0.0131424, 0.0121664", \ + "0.0225824, 0.020294, 0.0200044, 0.0196848, 0.0195602, 0.0190997, 0.0190618" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0025283; + rise_capacitance : 0.00252765; + rise_capacitance_range (0.00252765, 0.00252765); + fall_capacitance : 0.00252894; + fall_capacitance_range (0.00252894, 0.00252894); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.14731e-05, -0.000121527, -0.000152241, -0.000179103, -0.000197218, -0.000211746, -0.000224305" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000863358, 0.000876249, 0.000855758, 0.000844385, 0.000882104, 0.000831556, 0.000835884" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.14731e-05, -0.000121527, -0.000152241, -0.000179103, -0.000197218, -0.000211746, -0.000224305" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000863358, 0.000876249, 0.000855758, 0.000844385, 0.000882104, 0.000831556, 0.000835884" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00246007; + rise_capacitance : 0.00249574; + rise_capacitance_range (0.00249574, 0.00249574); + fall_capacitance : 0.0024244; + fall_capacitance_range (0.0024244, 0.0024244); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00212419; + rise_capacitance : 0.00209069; + rise_capacitance_range (0.00209069, 0.00209069); + fall_capacitance : 0.0021577; + fall_capacitance_range (0.0021577, 0.0021577); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000623897, 0.000628257, 0.000630051, 0.00062733, 0.000631335, 0.00063574, 0.000636054" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000236856, -0.000233717, -0.000232758, -0.000231956, -0.000229624, -0.000227868, -0.000227516" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000623897, 0.000628257, 0.000630051, 0.00062733, 0.000631335, 0.00063574, 0.000636054" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000236856, -0.000233717, -0.000232758, -0.000231956, -0.000229624, -0.000227868, -0.000227516" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00215038; + rise_capacitance : 0.00213196; + rise_capacitance_range (0.00213196, 0.00213196); + fall_capacitance : 0.00216881; + fall_capacitance_range (0.00216881, 0.00216881); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00176397, 0.00176096, 0.0017624, 0.00176014, 0.00176454, 0.00176673, 0.00176547" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000930043, 0.000952357, 0.000959994, 0.000958557, 0.000962144, 0.000965625, 0.000968045" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00176397, 0.00176096, 0.0017624, 0.00176014, 0.00176454, 0.00176673, 0.00176547" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000930043, 0.000952357, 0.000959994, 0.000958557, 0.000962144, 0.000965625, 0.000968045" \ + ); + } + } + } + } + cell (sg13g2_or4_2) { + area : 16.3296; + cell_footprint : "or4"; + cell_leakage_power : 1106.77; + leakage_power () { + value : 2087.87; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 1133.33; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 1315.34; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 796.912; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 1559.1; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 796.712; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 1053.81; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 752.019; + when : "!A&B&C&D"; + } + leakage_power () { + value : 1800.01; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 796.322; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 1052.71; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 748.58; + when : "A&!B&C&D"; + } + leakage_power () { + value : 1309.03; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 754.919; + when : "A&B&!C&D"; + } + leakage_power () { + value : 1013.57; + when : "A&B&C&!D"; + } + leakage_power () { + value : 738.014; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0818305, 0.161968, 0.208898, 0.285873, 0.413604, 0.626155, 0.979226", \ + "0.121704, 0.201902, 0.248828, 0.325615, 0.453349, 0.66557, 1.02017", \ + "0.149777, 0.232026, 0.278623, 0.355198, 0.482857, 0.69524, 1.0485", \ + "0.190852, 0.276826, 0.323273, 0.399805, 0.526978, 0.738906, 1.09227", \ + "0.246087, 0.340016, 0.386208, 0.46189, 0.58867, 0.800482, 1.15346", \ + "0.316882, 0.425388, 0.472499, 0.548749, 0.674337, 0.886145, 1.23863", \ + "0.410856, 0.537624, 0.590026, 0.667405, 0.794019, 1.00613, 1.35861" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0221673, 0.114299, 0.180851, 0.291982, 0.478082, 0.788995, 1.30728", \ + "0.0241037, 0.114421, 0.180852, 0.291983, 0.478169, 0.789164, 1.30889", \ + "0.0273791, 0.115487, 0.18125, 0.291984, 0.478203, 0.790831, 1.3089", \ + "0.0333529, 0.118191, 0.182806, 0.292533, 0.478549, 0.790832, 1.31442", \ + "0.0437394, 0.123968, 0.185871, 0.294287, 0.479451, 0.790833, 1.31443", \ + "0.059787, 0.138581, 0.19492, 0.299323, 0.482128, 0.791763, 1.31444", \ + "0.082551, 0.163847, 0.21557, 0.312688, 0.490281, 0.797253, 1.31445" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.22506, 0.335556, 0.385513, 0.460738, 0.57566, 0.761464, 1.06866", \ + "0.245897, 0.356468, 0.40713, 0.481576, 0.596643, 0.782496, 1.08965", \ + "0.261344, 0.371633, 0.422226, 0.49701, 0.612128, 0.798097, 1.10554", \ + "0.283373, 0.395333, 0.446428, 0.520895, 0.636751, 0.822703, 1.12976", \ + "0.313006, 0.428284, 0.480991, 0.556588, 0.67301, 0.859655, 1.16645", \ + "0.356046, 0.478961, 0.533013, 0.610545, 0.728635, 0.916025, 1.2233", \ + "0.424184, 0.560979, 0.620254, 0.704741, 0.827156, 1.01652, 1.3256" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0498233, 0.135757, 0.185281, 0.267052, 0.406339, 0.64507, 1.05062", \ + "0.0498243, 0.135758, 0.185349, 0.267053, 0.40634, 0.645071, 1.05095", \ + "0.0504088, 0.135759, 0.18535, 0.267054, 0.406341, 0.645315, 1.05134", \ + "0.052641, 0.137897, 0.187101, 0.268164, 0.40717, 0.645599, 1.05135", \ + "0.0575976, 0.14343, 0.192711, 0.272658, 0.410247, 0.647306, 1.05206", \ + "0.068525, 0.154501, 0.202727, 0.281726, 0.417537, 0.651862, 1.05438", \ + "0.090146, 0.180077, 0.227488, 0.305898, 0.437042, 0.665183, 1.06179" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0802887, 0.158866, 0.205571, 0.282036, 0.409795, 0.621785, 0.974868", \ + "0.118818, 0.19795, 0.244537, 0.320906, 0.4485, 0.660563, 1.01379", \ + "0.145591, 0.226595, 0.273307, 0.349581, 0.476919, 0.688833, 1.04278", \ + "0.184065, 0.269179, 0.315592, 0.391713, 0.518661, 0.730712, 1.08375", \ + "0.234336, 0.327819, 0.374035, 0.449603, 0.576778, 0.78785, 1.14092", \ + "0.297477, 0.407374, 0.45418, 0.529302, 0.655855, 0.867384, 1.21942", \ + "0.375947, 0.502536, 0.553956, 0.632079, 0.759321, 0.970543, 1.32298" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0210293, 0.113077, 0.179752, 0.290901, 0.477403, 0.788306, 1.30672", \ + "0.0232617, 0.113373, 0.179875, 0.290915, 0.477429, 0.788474, 1.30685", \ + "0.0266082, 0.114501, 0.180325, 0.290994, 0.477603, 0.788725, 1.30833", \ + "0.0325724, 0.117299, 0.181889, 0.291672, 0.477863, 0.788726, 1.31409", \ + "0.043311, 0.123401, 0.185058, 0.293507, 0.478829, 0.78923, 1.3141", \ + "0.059448, 0.138073, 0.194544, 0.298326, 0.481206, 0.791087, 1.31411", \ + "0.082292, 0.164961, 0.216228, 0.31241, 0.490188, 0.796671, 1.31412" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.220027, 0.330548, 0.380674, 0.455709, 0.570787, 0.756429, 1.06336", \ + "0.241392, 0.351871, 0.402118, 0.477185, 0.592235, 0.778302, 1.08513", \ + "0.258611, 0.369227, 0.419868, 0.494235, 0.609895, 0.795726, 1.10273", \ + "0.285561, 0.398059, 0.449294, 0.523995, 0.639888, 0.826049, 1.13295", \ + "0.32706, 0.443823, 0.496357, 0.571438, 0.688578, 0.875238, 1.18227", \ + "0.39332, 0.516717, 0.570728, 0.648624, 0.766153, 0.953563, 1.26065", \ + "0.4972, 0.634894, 0.693741, 0.775145, 0.896003, 1.08603, 1.39342" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0496829, 0.13572, 0.185158, 0.267199, 0.406266, 0.644835, 1.05069", \ + "0.0497362, 0.135721, 0.185159, 0.2672, 0.406267, 0.645161, 1.05113", \ + "0.0507247, 0.135789, 0.185369, 0.267201, 0.408324, 0.64518, 1.05135", \ + "0.0537884, 0.138581, 0.187819, 0.268717, 0.408325, 0.646002, 1.05177", \ + "0.0603246, 0.145679, 0.194179, 0.273933, 0.411565, 0.648513, 1.05209", \ + "0.073263, 0.157631, 0.204651, 0.283451, 0.418092, 0.652813, 1.05528", \ + "0.096844, 0.182907, 0.228553, 0.303122, 0.4342, 0.663854, 1.06182" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0759782, 0.153179, 0.199607, 0.276008, 0.403438, 0.615497, 0.968629", \ + "0.113513, 0.191724, 0.238088, 0.314314, 0.441627, 0.653529, 1.00662", \ + "0.13853, 0.218917, 0.265101, 0.341381, 0.468633, 0.680458, 1.0334", \ + "0.174041, 0.258739, 0.304799, 0.380244, 0.507438, 0.719026, 1.07201", \ + "0.219776, 0.313753, 0.359289, 0.434859, 0.561251, 0.772782, 1.12535", \ + "0.275685, 0.384294, 0.431283, 0.506994, 0.633089, 0.844208, 1.19636", \ + "0.336687, 0.46448, 0.516997, 0.594143, 0.720348, 0.93117, 1.28369" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.019881, 0.11221, 0.178969, 0.290279, 0.476941, 0.788058, 1.30666", \ + "0.0225739, 0.112653, 0.17915, 0.290437, 0.477105, 0.788059, 1.30667", \ + "0.0261022, 0.113846, 0.179673, 0.290506, 0.477106, 0.78806, 1.30711", \ + "0.0323576, 0.116789, 0.181324, 0.291372, 0.477382, 0.788212, 1.31495", \ + "0.0431185, 0.123695, 0.184836, 0.292937, 0.478374, 0.788764, 1.31496", \ + "0.059343, 0.13858, 0.194625, 0.298487, 0.481017, 0.790451, 1.31497", \ + "0.083289, 0.166854, 0.21774, 0.313562, 0.49016, 0.796437, 1.31498" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.206057, 0.316562, 0.367111, 0.441277, 0.556903, 0.742056, 1.04987", \ + "0.227467, 0.338, 0.388315, 0.463318, 0.57835, 0.76424, 1.07143", \ + "0.247994, 0.35856, 0.409246, 0.483627, 0.599347, 0.785163, 1.09209", \ + "0.282899, 0.395589, 0.446756, 0.521678, 0.637531, 0.82383, 1.13078", \ + "0.339021, 0.455497, 0.507382, 0.583043, 0.69935, 0.886107, 1.19349", \ + "0.425124, 0.548035, 0.601073, 0.679049, 0.794927, 0.982465, 1.29007", \ + "0.555857, 0.692227, 0.74949, 0.829153, 0.948261, 1.1367, 1.44302" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0498236, 0.135542, 0.18578, 0.267563, 0.406174, 0.645378, 1.05061", \ + "0.0498246, 0.135543, 0.185781, 0.267564, 0.406175, 0.645379, 1.05117", \ + "0.0511538, 0.135918, 0.185782, 0.267565, 0.406426, 0.64538, 1.05118", \ + "0.05495, 0.139389, 0.188462, 0.269298, 0.407871, 0.645772, 1.05119", \ + "0.0633177, 0.146836, 0.19502, 0.274884, 0.412481, 0.649074, 1.05343", \ + "0.078199, 0.158794, 0.204947, 0.283972, 0.418377, 0.653327, 1.05587", \ + "0.103369, 0.183925, 0.227112, 0.301196, 0.431325, 0.661902, 1.06147" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0696463, 0.146501, 0.19263, 0.268844, 0.396345, 0.608028, 0.96096", \ + "0.105598, 0.183361, 0.229729, 0.305971, 0.433298, 0.647252, 0.998019", \ + "0.128653, 0.208741, 0.254978, 0.331108, 0.458296, 0.67011, 1.02311", \ + "0.160539, 0.245174, 0.291229, 0.367034, 0.493642, 0.705379, 1.05789", \ + "0.20013, 0.294825, 0.341005, 0.415269, 0.541639, 0.75286, 1.10521", \ + "0.247298, 0.354884, 0.40466, 0.479992, 0.606426, 0.817085, 1.16848", \ + "0.294734, 0.424663, 0.477561, 0.554706, 0.682373, 0.891951, 1.24351" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0188893, 0.111783, 0.178662, 0.290019, 0.476731, 0.787774, 1.30626", \ + "0.0221289, 0.112341, 0.178864, 0.290052, 0.47679, 0.79026, 1.30627", \ + "0.025856, 0.113589, 0.179502, 0.290323, 0.476791, 0.790261, 1.3064", \ + "0.0325607, 0.116471, 0.18105, 0.291033, 0.477196, 0.790262, 1.30695", \ + "0.0437596, 0.123603, 0.185043, 0.293018, 0.478327, 0.790263, 1.30696", \ + "0.060728, 0.140682, 0.196523, 0.299416, 0.481314, 0.790614, 1.30781", \ + "0.086463, 0.17133, 0.222312, 0.317058, 0.493095, 0.798052, 1.31295" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.181712, 0.291877, 0.342826, 0.416658, 0.53227, 0.718008, 1.02581", \ + "0.204862, 0.314974, 0.366133, 0.440791, 0.555425, 0.741684, 1.0487", \ + "0.23113, 0.34135, 0.391849, 0.466595, 0.58206, 0.767975, 1.0754", \ + "0.275597, 0.386651, 0.437611, 0.512635, 0.628617, 0.814649, 1.12171", \ + "0.342191, 0.455512, 0.507429, 0.581991, 0.698406, 0.885167, 1.19235", \ + "0.439022, 0.558559, 0.610682, 0.686496, 0.803029, 0.988099, 1.29503", \ + "0.582932, 0.713975, 0.76847, 0.84614, 0.962729, 1.14957, 1.45634" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0498324, 0.135523, 0.185778, 0.266709, 0.406218, 0.644708, 1.05061", \ + "0.0498334, 0.135524, 0.185779, 0.26671, 0.406219, 0.645143, 1.05084", \ + "0.0512631, 0.136003, 0.18578, 0.266849, 0.40622, 0.645506, 1.05175", \ + "0.056344, 0.139148, 0.188537, 0.26962, 0.408036, 0.646009, 1.05176", \ + "0.0672622, 0.146397, 0.195024, 0.274541, 0.412579, 0.649533, 1.0532", \ + "0.084187, 0.158245, 0.203537, 0.281401, 0.41765, 0.653825, 1.05756", \ + "0.111907, 0.185291, 0.22657, 0.298175, 0.428983, 0.661037, 1.06241" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0140031, 0.0145099, 0.014586, 0.0145766, 0.0143572, 0.0139333, 0.0131278", \ + "0.0141108, 0.0143575, 0.0143522, 0.0144233, 0.0141937, 0.0136901, 0.0135064", \ + "0.0144524, 0.0143043, 0.0143795, 0.01463, 0.0141261, 0.0141115, 0.0131174", \ + "0.0155051, 0.0147066, 0.0147124, 0.0144887, 0.0149183, 0.0139776, 0.0146107", \ + "0.0176558, 0.0159346, 0.015877, 0.0157096, 0.0150026, 0.0151194, 0.0138386", \ + "0.0225761, 0.0192975, 0.0189974, 0.0188847, 0.0184773, 0.0184187, 0.0171412", \ + "0.032589, 0.0270392, 0.0265612, 0.0258517, 0.0256356, 0.0254095, 0.0251609" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0224453, 0.0204135, 0.0202451, 0.0205737, 0.0201148, 0.0197004, 0.0189425", \ + "0.0223027, 0.0202227, 0.0203262, 0.0203625, 0.0202133, 0.0195834, 0.0189436", \ + "0.022248, 0.0200879, 0.0202377, 0.0204268, 0.0200101, 0.0196713, 0.0193612", \ + "0.0225771, 0.0200802, 0.019977, 0.0198704, 0.0203935, 0.0194611, 0.018779", \ + "0.0237193, 0.0205534, 0.0205611, 0.0205258, 0.0200512, 0.0198688, 0.0203881", \ + "0.0270368, 0.0228904, 0.0228495, 0.022476, 0.0222272, 0.0219541, 0.0202013", \ + "0.0364247, 0.0301177, 0.0293009, 0.0290497, 0.028729, 0.0282642, 0.0281271" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0135269, 0.0142044, 0.0143025, 0.0142395, 0.0141258, 0.0135909, 0.012938", \ + "0.0135324, 0.0139304, 0.0139541, 0.0140549, 0.0137736, 0.013321, 0.0126616", \ + "0.0138271, 0.0137232, 0.0139584, 0.0139655, 0.0136964, 0.0132895, 0.0129475", \ + "0.0147582, 0.0141245, 0.0141707, 0.0140282, 0.0144041, 0.0134912, 0.0142122", \ + "0.0169307, 0.0152268, 0.0152455, 0.0151105, 0.0147307, 0.0145648, 0.0134828", \ + "0.021733, 0.0184819, 0.0182506, 0.0179815, 0.0179279, 0.0177235, 0.016515", \ + "0.0312681, 0.0258045, 0.0252773, 0.024417, 0.0244049, 0.0240665, 0.023827" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0213549, 0.019317, 0.0191091, 0.0194138, 0.0190684, 0.0184489, 0.0176676", \ + "0.0212263, 0.0190946, 0.0190578, 0.019324, 0.0191367, 0.0187959, 0.0178607", \ + "0.0211908, 0.0189969, 0.0192036, 0.0191599, 0.0195145, 0.0187025, 0.0180815", \ + "0.0216649, 0.0189737, 0.0189154, 0.0188501, 0.0193182, 0.0188781, 0.0177854", \ + "0.0231796, 0.0199443, 0.0197373, 0.0194363, 0.0189911, 0.0186973, 0.018975", \ + "0.027266, 0.0225066, 0.02215, 0.0220158, 0.0216266, 0.0212548, 0.0192512", \ + "0.0362305, 0.0293893, 0.0285996, 0.027892, 0.0276143, 0.0274511, 0.0270108" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0122896, 0.0130489, 0.0131359, 0.0131479, 0.0129295, 0.012431, 0.011657", \ + "0.0123779, 0.0128614, 0.0128757, 0.0134034, 0.0127162, 0.0122111, 0.0116601", \ + "0.01268, 0.0126856, 0.0128187, 0.0128609, 0.0126001, 0.0121669, 0.0116346", \ + "0.0135584, 0.0130312, 0.0131221, 0.012767, 0.0132661, 0.0122399, 0.0132772", \ + "0.0156786, 0.0141438, 0.0141217, 0.0141182, 0.0134983, 0.0135038, 0.0125083", \ + "0.0203727, 0.0171842, 0.0170239, 0.0168199, 0.0167757, 0.0165237, 0.0151849", \ + "0.029847, 0.0241895, 0.0236554, 0.0229297, 0.02267, 0.022205, 0.0221689" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0195919, 0.0175912, 0.0175381, 0.0173056, 0.0175125, 0.0164862, 0.0164405", \ + "0.0195026, 0.0174095, 0.0173922, 0.0175776, 0.0173758, 0.0169759, 0.016086", \ + "0.0195293, 0.0172136, 0.0174464, 0.0174313, 0.0174752, 0.016876, 0.0163184", \ + "0.020246, 0.0174257, 0.0172754, 0.0172234, 0.0176524, 0.0173995, 0.0159872", \ + "0.0222356, 0.0188288, 0.0184515, 0.0182692, 0.0174168, 0.0170382, 0.0172883", \ + "0.0265546, 0.0214549, 0.021265, 0.0213087, 0.0204631, 0.0203758, 0.0186537", \ + "0.0355486, 0.0281438, 0.0272227, 0.0266811, 0.0262522, 0.026033, 0.0251524" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0107186, 0.0117432, 0.0117539, 0.011676, 0.0115317, 0.0109861, 0.0102404", \ + "0.0108493, 0.0114307, 0.0114396, 0.0120786, 0.0112522, 0.011472, 0.0100433", \ + "0.0111372, 0.0112469, 0.0114308, 0.0116656, 0.0112357, 0.0107141, 0.0101048", \ + "0.0119972, 0.0115448, 0.0116058, 0.0114691, 0.0117429, 0.0106889, 0.0102785", \ + "0.0141946, 0.0126165, 0.0127025, 0.012758, 0.0123496, 0.0121354, 0.0120008", \ + "0.0190961, 0.0156794, 0.0156235, 0.0154453, 0.0155064, 0.0151486, 0.0137205", \ + "0.0286049, 0.0228937, 0.0224419, 0.0217252, 0.0216006, 0.0208357, 0.0203224" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0162292, 0.0140159, 0.0141378, 0.013903, 0.013805, 0.0133196, 0.0133038", \ + "0.0160546, 0.0138082, 0.0142924, 0.0141964, 0.0138999, 0.0136343, 0.0129351", \ + "0.0163146, 0.0139168, 0.0140388, 0.0139904, 0.013918, 0.0137822, 0.0131884", \ + "0.0175022, 0.0144778, 0.0143194, 0.0142454, 0.0146064, 0.0142753, 0.0131102", \ + "0.0201767, 0.0161917, 0.015983, 0.0156385, 0.0150771, 0.0147717, 0.0146142", \ + "0.0250883, 0.0192497, 0.0188485, 0.0185754, 0.0184173, 0.0179604, 0.016003", \ + "0.0345811, 0.0264312, 0.025457, 0.0246288, 0.0241889, 0.0239884, 0.0233057" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00252662; + rise_capacitance : 0.00252425; + rise_capacitance_range (0.00252425, 0.00252425); + fall_capacitance : 0.00252898; + fall_capacitance_range (0.00252898, 0.00252898); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.33254e-05, -0.000118565, -0.000151305, -0.000177382, -0.000195839, -0.000210118, -0.000222361" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000864473, 0.000877777, 0.00084629, 0.000852582, 0.000882404, 0.000831653, 0.000836635" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.33254e-05, -0.000118565, -0.000151305, -0.000177382, -0.000195839, -0.000210118, -0.000222361" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000864473, 0.000877777, 0.00084629, 0.000852582, 0.000882404, 0.000831653, 0.000836635" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00245677; + rise_capacitance : 0.00249021; + rise_capacitance_range (0.00249021, 0.00249021); + fall_capacitance : 0.00242334; + fall_capacitance_range (0.00242334, 0.00242334); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.00452e-07, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-1.00452e-07, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "1.00452e-07, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-1.00452e-07, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00212039; + rise_capacitance : 0.00208346; + rise_capacitance_range (0.00208346, 0.00208346); + fall_capacitance : 0.00215732; + fall_capacitance_range (0.00215732, 0.00215732); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000627228, 0.000627989, 0.000628066, 0.00062877, 0.000634024, 0.000636413, 0.000636541" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000234775, -0.000233081, -0.000230907, -0.000230152, -0.000228353, -0.000226714, -0.00022651" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000627228, 0.000627989, 0.000628066, 0.00062877, 0.000634024, 0.000636413, 0.000636541" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000234775, -0.000233081, -0.000230907, -0.000230152, -0.000228353, -0.000226714, -0.00022651" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00214453; + rise_capacitance : 0.00212294; + rise_capacitance_range (0.00212294, 0.00212294); + fall_capacitance : 0.00216611; + fall_capacitance_range (0.00216611, 0.00216611); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00176221, 0.00176049, 0.00176152, 0.00175964, 0.00176386, 0.00176737, 0.00176702" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000952426, 0.00094086, 0.000955733, 0.00096016, 0.000967459, 0.000966567, 0.000961877" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00176221, 0.00176049, 0.00176152, 0.00175964, 0.00176386, 0.00176737, 0.00176702" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000952426, 0.00094086, 0.000955733, 0.00096016, 0.000967459, 0.000966567, 0.000961877" \ + ); + } + } + } + } + cell (sg13g2_sdfbbp_1) { + area : 63.504; + cell_footprint : "sdfrrs"; + cell_leakage_power : 5789.74; + leakage_power () { + value : 5465.32; + when : "!CLK&!D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 5741.07; + when : "!CLK&!D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 4196.74; + when : "!CLK&!D&RESET_B&!SCD&!SCE&!SET_B&Q&!Q_N"; + } + leakage_power () { + value : 4536.43; + when : "!CLK&!D&!RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 3962.82; + when : "!CLK&!D&!RESET_B&!SCD&!SCE&!SET_B&Q&!Q_N"; + } + leakage_power () { + value : 5556.63; + when : "CLK&!D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 5580.82; + when : "CLK&!D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 5758.32; + when : "!CLK&D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 5655.07; + when : "!CLK&D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 5444.33; + when : "!CLK&D&RESET_B&SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6781.63; + when : "CLK&D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6762.46; + when : "CLK&D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 5916.49; + when : "CLK&D&RESET_B&SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 5735.45; + when : "!CLK&!D&RESET_B&!SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6056.51; + when : "!CLK&!D&RESET_B&!SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 6322.91; + when : "!CLK&!D&RESET_B&SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6219.66; + when : "!CLK&!D&RESET_B&SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 5871.94; + when : "CLK&!D&RESET_B&!SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 5990.13; + when : "CLK&!D&RESET_B&!SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 7346.24; + when : "CLK&!D&RESET_B&SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 6683.56; + when : "CLK&!D&RESET_B&SCD&SCE&SET_B&Q&!Q_N"; + } + pin (Q) { + direction : output; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + sdf_cond : "SCE == 1'b1"; + timing_sense : non_unate; + timing_type : rising_edge; + when : "SCE"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.30403, 0.367461, 0.413397, 0.489312, 0.616427, 0.828359, 1.1814", \ + "0.337233, 0.40066, 0.4466, 0.522394, 0.649756, 0.861675, 1.21516", \ + "0.35877, 0.422136, 0.467891, 0.543962, 0.671097, 0.883062, 1.23781", \ + "0.389266, 0.452723, 0.49883, 0.574679, 0.702001, 0.913823, 1.26734", \ + "0.429355, 0.492712, 0.538514, 0.614437, 0.741761, 0.953566, 1.30661", \ + "0.480628, 0.544049, 0.589864, 0.665799, 0.793055, 1.005, 1.35813", \ + "0.547354, 0.610706, 0.656523, 0.732457, 0.85966, 1.07168, 1.42473" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0220425, 0.11129, 0.178374, 0.289778, 0.476497, 0.787833, 1.30666", \ + "0.0220435, 0.111291, 0.178874, 0.289779, 0.476522, 0.787834, 1.30667", \ + "0.0221138, 0.111292, 0.178875, 0.289793, 0.477958, 0.788833, 1.30793", \ + "0.0221148, 0.111297, 0.178876, 0.289794, 0.477959, 0.788834, 1.30794", \ + "0.0221158, 0.111298, 0.178877, 0.289795, 0.47796, 0.788835, 1.30795", \ + "0.0221168, 0.111299, 0.178878, 0.289796, 0.477961, 0.788836, 1.30796", \ + "0.0221178, 0.1113, 0.178879, 0.289797, 0.477962, 0.788837, 1.30797" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.250085, 0.309945, 0.349836, 0.415622, 0.525606, 0.708986, 1.01455", \ + "0.282272, 0.342162, 0.381998, 0.447793, 0.557842, 0.741273, 1.04672", \ + "0.303639, 0.363467, 0.403289, 0.469082, 0.579066, 0.762434, 1.06777", \ + "0.334541, 0.394353, 0.434212, 0.500083, 0.610025, 0.793323, 1.09877", \ + "0.376795, 0.43655, 0.476473, 0.542288, 0.652336, 0.835541, 1.14097", \ + "0.432554, 0.492306, 0.53216, 0.59801, 0.70804, 0.891296, 1.19673", \ + "0.505155, 0.564837, 0.604704, 0.670429, 0.780608, 0.963995, 1.26934" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0182714, 0.0895602, 0.14205, 0.229585, 0.376807, 0.621588, 1.02978", \ + "0.0182724, 0.0895998, 0.142051, 0.229767, 0.376808, 0.621589, 1.02981", \ + "0.0182925, 0.0896008, 0.142125, 0.229768, 0.376809, 0.622538, 1.03032", \ + "0.0183168, 0.0896018, 0.142126, 0.229769, 0.37681, 0.622539, 1.03033", \ + "0.0183305, 0.0896028, 0.142127, 0.22977, 0.376811, 0.62254, 1.03034", \ + "0.018374, 0.0896038, 0.142128, 0.229771, 0.376812, 0.622541, 1.03035", \ + "0.018447, 0.0896048, 0.142129, 0.229772, 0.376813, 0.622542, 1.03036" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.30403, 0.367461, 0.413397, 0.489312, 0.616427, 0.828359, 1.1814", \ + "0.337233, 0.40066, 0.4466, 0.522394, 0.649756, 0.861675, 1.21516", \ + "0.35877, 0.422136, 0.467891, 0.543962, 0.671097, 0.883062, 1.23781", \ + "0.389266, 0.452723, 0.49883, 0.574679, 0.702001, 0.913823, 1.26734", \ + "0.429355, 0.492712, 0.538514, 0.614437, 0.741761, 0.953566, 1.30661", \ + "0.480628, 0.544049, 0.589864, 0.665799, 0.793055, 1.005, 1.35813", \ + "0.547354, 0.610706, 0.656523, 0.732457, 0.85966, 1.07168, 1.42473" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0220425, 0.11129, 0.178374, 0.289778, 0.476497, 0.787833, 1.30666", \ + "0.0220435, 0.111291, 0.178874, 0.289779, 0.476522, 0.787834, 1.30667", \ + "0.0221138, 0.111292, 0.178875, 0.289793, 0.477958, 0.788833, 1.30793", \ + "0.0221148, 0.111297, 0.178876, 0.289794, 0.477959, 0.788834, 1.30794", \ + "0.0221158, 0.111298, 0.178877, 0.289795, 0.47796, 0.788835, 1.30795", \ + "0.0221168, 0.111299, 0.178878, 0.289796, 0.477961, 0.788836, 1.30796", \ + "0.0221178, 0.1113, 0.178879, 0.289797, 0.477962, 0.788837, 1.30797" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.250085, 0.309945, 0.349836, 0.415622, 0.525606, 0.708986, 1.01455", \ + "0.282272, 0.342162, 0.381998, 0.447793, 0.557842, 0.741273, 1.04672", \ + "0.303639, 0.363467, 0.403289, 0.469082, 0.579066, 0.762434, 1.06777", \ + "0.334541, 0.394353, 0.434212, 0.500083, 0.610025, 0.793323, 1.09877", \ + "0.376795, 0.43655, 0.476473, 0.542288, 0.652336, 0.835541, 1.14097", \ + "0.432554, 0.492306, 0.53216, 0.59801, 0.70804, 0.891296, 1.19673", \ + "0.505155, 0.564837, 0.604704, 0.670429, 0.780608, 0.963995, 1.26934" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0182714, 0.0895602, 0.14205, 0.229585, 0.376807, 0.621588, 1.02978", \ + "0.0182724, 0.0895998, 0.142051, 0.229767, 0.376808, 0.621589, 1.02981", \ + "0.0182925, 0.0896008, 0.142125, 0.229768, 0.376809, 0.622538, 1.03032", \ + "0.0183168, 0.0896018, 0.142126, 0.229769, 0.37681, 0.622539, 1.03033", \ + "0.0183305, 0.0896028, 0.142127, 0.22977, 0.376811, 0.62254, 1.03034", \ + "0.018374, 0.0896038, 0.142128, 0.229771, 0.376812, 0.622541, 1.03035", \ + "0.018447, 0.0896048, 0.142129, 0.229772, 0.376813, 0.622542, 1.03036" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.205628, 0.265459, 0.305359, 0.3712, 0.481254, 0.664512, 0.969951", \ + "0.241176, 0.300979, 0.34087, 0.406747, 0.516659, 0.700047, 1.00559", \ + "0.268628, 0.328353, 0.368258, 0.434124, 0.54413, 0.727412, 1.0331", \ + "0.308416, 0.368164, 0.407991, 0.473776, 0.583979, 0.767189, 1.07275", \ + "0.363895, 0.423441, 0.463224, 0.529048, 0.6392, 0.822443, 1.12773", \ + "0.439389, 0.498434, 0.538276, 0.604088, 0.714028, 0.897292, 1.20274", \ + "0.534063, 0.592215, 0.63187, 0.697555, 0.807581, 0.990839, 1.29642" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0187018, 0.0897364, 0.142261, 0.229725, 0.376918, 0.621543, 1.03041", \ + "0.0187028, 0.0897374, 0.142262, 0.229898, 0.376919, 0.62161, 1.03042", \ + "0.0187166, 0.0897838, 0.142263, 0.229899, 0.37692, 0.621772, 1.03043", \ + "0.0187829, 0.0897848, 0.142264, 0.2299, 0.376921, 0.621773, 1.03044", \ + "0.0189359, 0.0897858, 0.142265, 0.229908, 0.376922, 0.621774, 1.03045", \ + "0.019381, 0.089879, 0.142378, 0.229909, 0.376923, 0.621775, 1.03046", \ + "0.020446, 0.090148, 0.142379, 0.22991, 0.376924, 0.621776, 1.03047" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.123776, 0.189864, 0.235923, 0.311917, 0.439215, 0.650978, 1.00438", \ + "0.160142, 0.225943, 0.272068, 0.348093, 0.4751, 0.687376, 1.04045", \ + "0.18896, 0.253985, 0.299984, 0.375963, 0.503331, 0.715337, 1.0687", \ + "0.231224, 0.295039, 0.340869, 0.416797, 0.543884, 0.75578, 1.10903", \ + "0.290994, 0.352514, 0.397849, 0.473536, 0.600698, 0.812469, 1.16563", \ + "0.370813, 0.429731, 0.474655, 0.54975, 0.676539, 0.888351, 1.24143", \ + "0.472252, 0.527328, 0.571314, 0.645831, 0.772049, 0.983794, 1.33678" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0189649, 0.110964, 0.178244, 0.289692, 0.476396, 0.787825, 1.30675", \ + "0.0191957, 0.110965, 0.178245, 0.290858, 0.476397, 0.787862, 1.30676", \ + "0.0197718, 0.11105, 0.178252, 0.290859, 0.476398, 0.789241, 1.30677", \ + "0.0211098, 0.111151, 0.178296, 0.29086, 0.476399, 0.789242, 1.30941", \ + "0.0237624, 0.111512, 0.178376, 0.290861, 0.476401, 0.789243, 1.30942", \ + "0.027326, 0.112121, 0.17862, 0.290862, 0.476402, 0.789244, 1.30943", \ + "0.032222, 0.1133, 0.179019, 0.290863, 0.476457, 0.789245, 1.30944" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "SCE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0209316, 0.0211627, 0.0212171, 0.0212377, 0.0211946, 0.0210562, 0.0208554", \ + "0.0208331, 0.0211, 0.0211792, 0.0211244, 0.0211051, 0.0209592, 0.0207668", \ + "0.0209681, 0.0212055, 0.0213209, 0.0212814, 0.0214092, 0.0212679, 0.0210805", \ + "0.0214789, 0.0216975, 0.0217367, 0.0217703, 0.0217972, 0.0216076, 0.0213582", \ + "0.0226198, 0.0228751, 0.0229437, 0.0229856, 0.0229071, 0.0228922, 0.0225934", \ + "0.0252703, 0.0255064, 0.0255877, 0.0256544, 0.0257004, 0.0255707, 0.0252987", \ + "0.0308955, 0.0311313, 0.0312211, 0.0312281, 0.0312739, 0.0313053, 0.0312353" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204831, 0.0208217, 0.0208384, 0.0207821, 0.020608, 0.0202872, 0.019817", \ + "0.0202979, 0.0207431, 0.0206817, 0.0206206, 0.0204593, 0.0201194, 0.0196387", \ + "0.0204387, 0.0207608, 0.0208139, 0.0208575, 0.0205787, 0.0203094, 0.0197914", \ + "0.020865, 0.0212377, 0.0212655, 0.0211545, 0.021116, 0.0207286, 0.0201541", \ + "0.0220581, 0.0223864, 0.0224991, 0.022532, 0.0223084, 0.0219364, 0.0222462", \ + "0.024781, 0.0250688, 0.0251449, 0.0251928, 0.0252419, 0.0251947, 0.0243172", \ + "0.0305986, 0.0308754, 0.0309334, 0.030984, 0.0309219, 0.0308348, 0.0308274" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0209316, 0.0211627, 0.0212171, 0.0212377, 0.0211946, 0.0210562, 0.0208554", \ + "0.0208331, 0.0211, 0.0211792, 0.0211244, 0.0211051, 0.0209592, 0.0207668", \ + "0.0209681, 0.0212055, 0.0213209, 0.0212814, 0.0214092, 0.0212679, 0.0210805", \ + "0.0214789, 0.0216975, 0.0217367, 0.0217703, 0.0217972, 0.0216076, 0.0213582", \ + "0.0226198, 0.0228751, 0.0229437, 0.0229856, 0.0229071, 0.0228922, 0.0225934", \ + "0.0252703, 0.0255064, 0.0255877, 0.0256544, 0.0257004, 0.0255707, 0.0252987", \ + "0.0308955, 0.0311313, 0.0312211, 0.0312281, 0.0312739, 0.0313053, 0.0312353" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204831, 0.0208217, 0.0208384, 0.0207821, 0.020608, 0.0202872, 0.019817", \ + "0.0202979, 0.0207431, 0.0206817, 0.0206206, 0.0204593, 0.0201194, 0.0196387", \ + "0.0204387, 0.0207608, 0.0208139, 0.0208575, 0.0205787, 0.0203094, 0.0197914", \ + "0.020865, 0.0212377, 0.0212655, 0.0211545, 0.021116, 0.0207286, 0.0201541", \ + "0.0220581, 0.0223864, 0.0224991, 0.022532, 0.0223084, 0.0219364, 0.0222462", \ + "0.024781, 0.0250688, 0.0251449, 0.0251928, 0.0252419, 0.0251947, 0.0243172", \ + "0.0305986, 0.0308754, 0.0309334, 0.030984, 0.0309219, 0.0308348, 0.0308274" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0438843, 0.0649213, 0.0791888, 0.102602, 0.141653, 0.206621, 0.314969", \ + "0.0436722, 0.0649203, 0.079014, 0.102411, 0.141425, 0.20639, 0.314805", \ + "0.0436535, 0.0646684, 0.0790793, 0.102745, 0.141792, 0.206419, 0.314867", \ + "0.0440179, 0.0650277, 0.0792893, 0.102673, 0.142392, 0.20673, 0.3151", \ + "0.0452517, 0.0661466, 0.080493, 0.104171, 0.142813, 0.208323, 0.317", \ + "0.0482373, 0.0689043, 0.0833272, 0.106855, 0.146248, 0.211614, 0.319394", \ + "0.0548325, 0.0752681, 0.0893903, 0.112895, 0.152576, 0.218164, 0.32752" \ + ); + } + } + internal_power () { + related_pin : "SET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0391257, 0.0605027, 0.0748046, 0.0983084, 0.137534, 0.202786, 0.311669", \ + "0.0385486, 0.0598617, 0.0741418, 0.0979389, 0.136846, 0.202225, 0.311063", \ + "0.038845, 0.0598269, 0.0742506, 0.0977673, 0.136932, 0.202685, 0.311152", \ + "0.0400855, 0.0607745, 0.075014, 0.0985683, 0.138003, 0.203517, 0.312923", \ + "0.0437702, 0.0639122, 0.0781737, 0.10176, 0.140948, 0.206758, 0.315107", \ + "0.0520927, 0.0713829, 0.085568, 0.109058, 0.148424, 0.213688, 0.323161", \ + "0.0683899, 0.0864852, 0.100359, 0.12367, 0.163057, 0.228626, 0.337928" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (Q_N) { + direction : output; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + sdf_cond : "SCE == 1'b1"; + timing_sense : non_unate; + timing_type : rising_edge; + when : "SCE"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.206318, 0.29022, 0.337585, 0.414272, 0.542086, 0.754842, 1.10962", \ + "0.23849, 0.3225, 0.369746, 0.446436, 0.574757, 0.787364, 1.14194", \ + "0.259835, 0.343784, 0.391067, 0.467732, 0.595584, 0.808413, 1.16286", \ + "0.290735, 0.374659, 0.421957, 0.498604, 0.626485, 0.839217, 1.19372", \ + "0.332939, 0.416901, 0.464149, 0.540875, 0.668714, 0.881494, 1.23584", \ + "0.388606, 0.472676, 0.519942, 0.596643, 0.724457, 0.937329, 1.2918", \ + "0.460845, 0.545254, 0.592554, 0.669315, 0.797225, 1.01007, 1.36448" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.027652, 0.11814, 0.182577, 0.292551, 0.479156, 0.79147, 1.31204", \ + "0.027653, 0.118141, 0.18258, 0.293699, 0.479913, 0.791492, 1.31206", \ + "0.027654, 0.118154, 0.182637, 0.2937, 0.479914, 0.791493, 1.31207", \ + "0.0276832, 0.118155, 0.182638, 0.293701, 0.479915, 0.791494, 1.31259", \ + "0.027785, 0.118193, 0.182639, 0.293702, 0.479916, 0.791495, 1.3126", \ + "0.028104, 0.118306, 0.182659, 0.293703, 0.479917, 0.791496, 1.31261", \ + "0.028658, 0.118524, 0.182773, 0.293704, 0.479918, 0.791497, 1.31262" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.252981, 0.343599, 0.388008, 0.456003, 0.567191, 0.751378, 1.058", \ + "0.286242, 0.376795, 0.421052, 0.489223, 0.600369, 0.784775, 1.09134", \ + "0.307624, 0.398305, 0.442504, 0.510739, 0.621898, 0.806068, 1.11269", \ + "0.338481, 0.428833, 0.473292, 0.541504, 0.65262, 0.836541, 1.14339", \ + "0.378195, 0.468869, 0.513091, 0.581325, 0.692428, 0.876558, 1.1832", \ + "0.430819, 0.52145, 0.565697, 0.633832, 0.745052, 0.929175, 1.23577", \ + "0.496202, 0.586872, 0.631101, 0.699286, 0.81045, 0.994627, 1.3012" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0328845, 0.108656, 0.156288, 0.23867, 0.382465, 0.626765, 1.03721", \ + "0.0328855, 0.108762, 0.156289, 0.238671, 0.382631, 0.626931, 1.03722", \ + "0.0328993, 0.108763, 0.15629, 0.238672, 0.382632, 0.626932, 1.03723", \ + "0.032904, 0.108764, 0.156291, 0.238673, 0.382633, 0.626933, 1.03724", \ + "0.032905, 0.108765, 0.156292, 0.238871, 0.382634, 0.627306, 1.03725", \ + "0.032906, 0.108766, 0.156293, 0.238872, 0.382635, 0.627307, 1.03726", \ + "0.032914, 0.108767, 0.156295, 0.238873, 0.382636, 0.627308, 1.03727" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.206318, 0.29022, 0.337585, 0.414272, 0.542086, 0.754842, 1.10962", \ + "0.23849, 0.3225, 0.369746, 0.446436, 0.574757, 0.787364, 1.14194", \ + "0.259835, 0.343784, 0.391067, 0.467732, 0.595584, 0.808413, 1.16286", \ + "0.290735, 0.374659, 0.421957, 0.498604, 0.626485, 0.839217, 1.19372", \ + "0.332939, 0.416901, 0.464149, 0.540875, 0.668714, 0.881494, 1.23584", \ + "0.388606, 0.472676, 0.519942, 0.596643, 0.724457, 0.937329, 1.2918", \ + "0.460845, 0.545254, 0.592554, 0.669315, 0.797225, 1.01007, 1.36448" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.027652, 0.11814, 0.182577, 0.292551, 0.479156, 0.79147, 1.31204", \ + "0.027653, 0.118141, 0.18258, 0.293699, 0.479913, 0.791492, 1.31206", \ + "0.027654, 0.118154, 0.182637, 0.2937, 0.479914, 0.791493, 1.31207", \ + "0.0276832, 0.118155, 0.182638, 0.293701, 0.479915, 0.791494, 1.31259", \ + "0.027785, 0.118193, 0.182639, 0.293702, 0.479916, 0.791495, 1.3126", \ + "0.028104, 0.118306, 0.182659, 0.293703, 0.479917, 0.791496, 1.31261", \ + "0.028658, 0.118524, 0.182773, 0.293704, 0.479918, 0.791497, 1.31262" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.252981, 0.343599, 0.388008, 0.456003, 0.567191, 0.751378, 1.058", \ + "0.286242, 0.376795, 0.421052, 0.489223, 0.600369, 0.784775, 1.09134", \ + "0.307624, 0.398305, 0.442504, 0.510739, 0.621898, 0.806068, 1.11269", \ + "0.338481, 0.428833, 0.473292, 0.541504, 0.65262, 0.836541, 1.14339", \ + "0.378195, 0.468869, 0.513091, 0.581325, 0.692428, 0.876558, 1.1832", \ + "0.430819, 0.52145, 0.565697, 0.633832, 0.745052, 0.929175, 1.23577", \ + "0.496202, 0.586872, 0.631101, 0.699286, 0.81045, 0.994627, 1.3012" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0328845, 0.108656, 0.156288, 0.23867, 0.382465, 0.626765, 1.03721", \ + "0.0328855, 0.108762, 0.156289, 0.238671, 0.382631, 0.626931, 1.03722", \ + "0.0328993, 0.108763, 0.15629, 0.238672, 0.382632, 0.626932, 1.03723", \ + "0.032904, 0.108764, 0.156291, 0.238673, 0.382633, 0.626933, 1.03724", \ + "0.032905, 0.108765, 0.156292, 0.238871, 0.382634, 0.627306, 1.03725", \ + "0.032906, 0.108766, 0.156293, 0.238872, 0.382635, 0.627307, 1.03726", \ + "0.032914, 0.108767, 0.156295, 0.238873, 0.382636, 0.627308, 1.03727" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.160949, 0.248039, 0.297225, 0.376107, 0.504839, 0.717752, 1.07236", \ + "0.196397, 0.283622, 0.332773, 0.411672, 0.54034, 0.753439, 1.10819", \ + "0.223768, 0.310976, 0.360127, 0.439038, 0.567775, 0.78166, 1.13559", \ + "0.263332, 0.350802, 0.400026, 0.478853, 0.60763, 0.820516, 1.17492", \ + "0.318029, 0.406382, 0.455548, 0.534385, 0.663082, 0.875989, 1.23031", \ + "0.391967, 0.482156, 0.53135, 0.610114, 0.73879, 0.951652, 1.30604", \ + "0.481955, 0.57677, 0.62616, 0.704812, 0.833385, 1.04632, 1.40102" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0285064, 0.122391, 0.187906, 0.29715, 0.481815, 0.791767, 1.31163", \ + "0.0285443, 0.122397, 0.187962, 0.297242, 0.481824, 0.791907, 1.31164", \ + "0.0287694, 0.122486, 0.187963, 0.297243, 0.482952, 0.792545, 1.31165", \ + "0.0293278, 0.122663, 0.187986, 0.297244, 0.482953, 0.792546, 1.3118", \ + "0.0306798, 0.123239, 0.188246, 0.297245, 0.482954, 0.792547, 1.31181", \ + "0.033308, 0.124339, 0.188748, 0.297289, 0.482955, 0.792548, 1.31182", \ + "0.038699, 0.127087, 0.190173, 0.297698, 0.482956, 0.792549, 1.31183" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0816714, 0.158532, 0.201034, 0.267974, 0.378398, 0.562317, 0.868876", \ + "0.117307, 0.19483, 0.237348, 0.304254, 0.414722, 0.598695, 0.905708", \ + "0.144044, 0.224072, 0.266598, 0.333532, 0.443921, 0.627905, 0.934555", \ + "0.182144, 0.267636, 0.310365, 0.377168, 0.487603, 0.671555, 0.978102", \ + "0.234388, 0.330034, 0.372978, 0.439689, 0.549935, 0.733786, 1.04039", \ + "0.305921, 0.414469, 0.457859, 0.524144, 0.634119, 0.81793, 1.1244", \ + "0.394413, 0.518679, 0.562434, 0.62837, 0.737481, 0.92091, 1.22732" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0227231, 0.0980754, 0.148131, 0.232793, 0.377695, 0.62288, 1.03274", \ + "0.0242727, 0.098423, 0.148132, 0.232799, 0.377696, 0.622881, 1.03307", \ + "0.0274243, 0.0997186, 0.148702, 0.232894, 0.377876, 0.624072, 1.03335", \ + "0.0329829, 0.10328, 0.150309, 0.233274, 0.377877, 0.624073, 1.03485", \ + "0.0427204, 0.110103, 0.153754, 0.234428, 0.378394, 0.624074, 1.03486", \ + "0.054939, 0.120282, 0.15902, 0.236066, 0.378687, 0.624075, 1.03487", \ + "0.073021, 0.133559, 0.16585, 0.23845, 0.379252, 0.624076, 1.03488" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "SCE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204868, 0.0208787, 0.0209366, 0.0209385, 0.020899, 0.0207618, 0.020515", \ + "0.0203019, 0.0207087, 0.0208307, 0.0209045, 0.0207954, 0.0205544, 0.0203119", \ + "0.0204432, 0.0208222, 0.0209301, 0.020897, 0.0208673, 0.020679, 0.0204541", \ + "0.0208711, 0.0212694, 0.0213221, 0.0213183, 0.0213327, 0.021184, 0.0210314", \ + "0.0220656, 0.0224547, 0.0225179, 0.0225835, 0.022504, 0.0224751, 0.0220618", \ + "0.0247782, 0.0251277, 0.0252298, 0.0252447, 0.0252913, 0.025216, 0.0248437", \ + "0.0306027, 0.0309414, 0.0310347, 0.0310336, 0.0311151, 0.0311214, 0.0311036" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0209406, 0.0211046, 0.0211294, 0.0210611, 0.0209197, 0.0205678, 0.0201009", \ + "0.0208485, 0.0210702, 0.021129, 0.0209655, 0.0208138, 0.0205136, 0.0199794", \ + "0.020971, 0.0211303, 0.0212044, 0.0214203, 0.0210065, 0.0206136, 0.0200483", \ + "0.0214844, 0.0216847, 0.021675, 0.0216023, 0.0216087, 0.0211004, 0.0206279", \ + "0.0226236, 0.0228036, 0.022921, 0.0229916, 0.0225321, 0.0224284, 0.023494", \ + "0.0252707, 0.0254107, 0.0255397, 0.0254741, 0.025632, 0.0255475, 0.0248604", \ + "0.0308996, 0.0310354, 0.031136, 0.0311812, 0.0310928, 0.0309883, 0.0309745" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204868, 0.0208787, 0.0209366, 0.0209385, 0.020899, 0.0207618, 0.020515", \ + "0.0203019, 0.0207087, 0.0208307, 0.0209045, 0.0207954, 0.0205544, 0.0203119", \ + "0.0204432, 0.0208222, 0.0209301, 0.020897, 0.0208673, 0.020679, 0.0204541", \ + "0.0208711, 0.0212694, 0.0213221, 0.0213183, 0.0213327, 0.021184, 0.0210314", \ + "0.0220656, 0.0224547, 0.0225179, 0.0225835, 0.022504, 0.0224751, 0.0220618", \ + "0.0247782, 0.0251277, 0.0252298, 0.0252447, 0.0252913, 0.025216, 0.0248437", \ + "0.0306027, 0.0309414, 0.0310347, 0.0310336, 0.0311151, 0.0311214, 0.0311036" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0209406, 0.0211046, 0.0211294, 0.0210611, 0.0209197, 0.0205678, 0.0201009", \ + "0.0208485, 0.0210702, 0.021129, 0.0209655, 0.0208138, 0.0205136, 0.0199794", \ + "0.020971, 0.0211303, 0.0212044, 0.0214203, 0.0210065, 0.0206136, 0.0200483", \ + "0.0214844, 0.0216847, 0.021675, 0.0216023, 0.0216087, 0.0211004, 0.0206279", \ + "0.0226236, 0.0228036, 0.022921, 0.0229916, 0.0225321, 0.0224284, 0.023494", \ + "0.0252707, 0.0254107, 0.0255397, 0.0254741, 0.025632, 0.0255475, 0.0248604", \ + "0.0308996, 0.0310354, 0.031136, 0.0311812, 0.0310928, 0.0309883, 0.0309745" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0438997, 0.0650744, 0.0794112, 0.102958, 0.142165, 0.207499, 0.316408", \ + "0.0436692, 0.0647971, 0.0793584, 0.102692, 0.14191, 0.207323, 0.316225", \ + "0.0436589, 0.0648119, 0.0793139, 0.102742, 0.142235, 0.207498, 0.316233", \ + "0.0440369, 0.0651383, 0.0794005, 0.103033, 0.142286, 0.208884, 0.316683", \ + "0.045253, 0.0662958, 0.0806526, 0.104158, 0.143348, 0.209193, 0.31775", \ + "0.0482296, 0.0690755, 0.0834817, 0.107077, 0.146415, 0.211503, 0.321185", \ + "0.0548403, 0.0753626, 0.0896833, 0.113299, 0.152645, 0.21837, 0.327649" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "SET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0391254, 0.0603128, 0.0745558, 0.0978871, 0.136876, 0.201732, 0.309995", \ + "0.0385187, 0.0596508, 0.074035, 0.0973888, 0.136205, 0.201069, 0.309581", \ + "0.0387776, 0.0596208, 0.0739592, 0.0981572, 0.136451, 0.201611, 0.309572", \ + "0.0400684, 0.0607175, 0.0749323, 0.0982402, 0.137941, 0.202473, 0.310804", \ + "0.0437352, 0.0638677, 0.0781749, 0.101712, 0.140661, 0.205718, 0.315858", \ + "0.0520632, 0.0712431, 0.0855356, 0.109068, 0.148468, 0.213642, 0.321742", \ + "0.0684285, 0.086443, 0.10032, 0.123529, 0.162834, 0.228619, 0.337763" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : input; + max_transition : 2.5074; + capacitance : 0.00298459; + rise_capacitance : 0.00303198; + rise_capacitance_range (0.00303198, 0.00303198); + fall_capacitance : 0.00292535; + fall_capacitance_range (0.00292535, 0.00292535); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0956726, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.121613, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(RESET_B * SCD * SCE * SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0153509, 0.0150911, 0.0153226, 0.016244, 0.0185241, 0.0238313, 0.035046" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0137859, 0.0135586, 0.0139396, 0.0149207, 0.0173781, 0.0228256, 0.0346273" \ + ); + } + } + internal_power () { + when : "(RESET_B * SCD * SCE * SET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.025176, 0.0249122, 0.0252655, 0.0262286, 0.0287793, 0.0343089, 0.0465" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0157747, 0.0155139, 0.0158132, 0.0167002, 0.0189373, 0.0242634, 0.0353784" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00668117, 0.0064999, 0.00690687, 0.00795776, 0.0105366, 0.0162389, 0.0283698" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SCD * SCE * SET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0272598, 0.0271452, 0.0275024, 0.0285546, 0.0311629, 0.0368367, 0.0490049" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SCD * SCE * SET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0149966, 0.0147115, 0.0149662, 0.0159111, 0.018174, 0.0235425, 0.0348097" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0144641, 0.0142678, 0.0146532, 0.0155976, 0.0181102, 0.023496, 0.0352835" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !SCE * SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00888996, 0.00865579, 0.00891414, 0.00983155, 0.0120688, 0.0173973, 0.0286088" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0137885, 0.013555, 0.0139425, 0.0149196, 0.0173746, 0.0228244, 0.034628" \ + ); + } + } + internal_power () { + when : "(!RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0033921, 0.00313126, 0.00339918, 0.00434605, 0.00661739, 0.0119856, 0.0232589" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00125408, 0.00104281, 0.00146153, 0.00239189, 0.00490346, 0.0102937, 0.0220808" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !SCE * SET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.014961, 0.0146939, 0.0149458, 0.015894, 0.0181572, 0.0235347, 0.0348044" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0139701, 0.0137529, 0.014163, 0.0151085, 0.0176205, 0.0230086, 0.0347945" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.014961, 0.0146939, 0.0149458, 0.015894, 0.0181572, 0.0235347, 0.0348044" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0144641, 0.0142678, 0.0146532, 0.0155976, 0.0181102, 0.023496, 0.0352835" \ + ); + } + } + } + pin (D) { + direction : input; + nextstate_type : data; + max_transition : 2.5074; + capacitance : 0.00194674; + rise_capacitance : 0.00197977; + rise_capacitance_range (0.00197977, 0.00197977); + fall_capacitance : 0.00191372; + fall_capacitance_range (0.00191372, 0.00191372); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0978076, -0.0476672, -0.0247187, 0.00187025", \ + "-0.236857, -0.183345, -0.156378, -0.128605", \ + "-0.327615, -0.27632, -0.250948, -0.224705", \ + "-0.422815, -0.377211, -0.35441, -0.330572" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.105143, -0.00274232, 0.0550064, 0.115202", \ + "-0.24684, -0.147695, -0.088195, -0.0241433", \ + "-0.355904, -0.260586, -0.202377, -0.139957", \ + "-0.463291, -0.374462, -0.320511, -0.262687" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.119814, 0.0601463, 0.0350059, 0.0116216", \ + "0.261815, 0.196077, 0.16949, 0.145099", \ + "0.358476, 0.294677, 0.267138, 0.23883", \ + "0.463291, 0.396454, 0.37136, 0.34533" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.151602, 0.0376839, -0.0215733, -0.066631", \ + "0.294261, 0.183345, 0.122286, 0.0763743", \ + "0.404768, 0.294677, 0.234758, 0.185156", \ + "0.517258, 0.412948, 0.357235, 0.30696" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * !SCE * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0140796, 0.0139619, 0.0140108, 0.0143084, 0.0150732, 0.0170894, 0.0218931" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.014193, 0.0140241, 0.014045, 0.0143998, 0.0153448, 0.0175256, 0.0224532" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * !SCE * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.3956e-05, -0.000229923, -0.000183888, 3.36664e-05, 0.000700263, 0.00262168, 0.00688769" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00627494, 0.00610415, 0.00615294, 0.00643706, 0.00723027, 0.00915972, 0.0136319" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.3956e-05, -0.000229923, -0.000183888, 3.36664e-05, 0.000700263, 0.00262168, 0.00688769" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00627494, 0.00610415, 0.00615294, 0.00643706, 0.00723027, 0.00915972, 0.0136319" \ + ); + } + } + } + pin (RESET_B) { + direction : input; + max_transition : 2.5074; + capacitance : 0.00171526; + rise_capacitance : 0.00171526; + rise_capacitance_range (0.00171526, 0.00171526); + fall_capacitance : 0.00171526; + fall_capacitance_range (0.00171526, 0.00171526); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0611298, -0.0371909, -0.0858677, -0.136788", \ + "0.191932, 0.0891261, 0.0383691, -0.0170917", \ + "0.271036, 0.166179, 0.113331, 0.058033", \ + "0.358054, 0.253506, 0.199038, 0.138722" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0415682, 0.0521658, 0.101298, 0.152979", \ + "-0.166974, -0.0713009, -0.0200123, 0.0335857", \ + "-0.242746, -0.1452, -0.0917444, -0.0382583", \ + "-0.320277, -0.226016, -0.170788, -0.112158" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.139771, 0.689697, 1.68457, 3.34351" \ + ); + } + } + } + pin (SCD) { + direction : input; + nextstate_type : scan_in; + max_transition : 2.5074; + capacitance : 0.00195831; + rise_capacitance : 0.00197878; + rise_capacitance_range (0.00197878, 0.00197878); + fall_capacitance : 0.00193784; + fall_capacitance_range (0.00193784, 0.00193784); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.12715, -0.0751213, -0.0530083, -0.0278118", \ + "-0.266807, -0.213903, -0.187847, -0.164342", \ + "-0.371335, -0.323524, -0.299518, -0.272729", \ + "-0.484877, -0.443187, -0.422209, -0.395506" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.136931, -0.035188, 0.0215733, 0.0801228", \ + "-0.261815, -0.162973, -0.106552, -0.0461353", \ + "-0.361048, -0.265831, -0.210472, -0.151256", \ + "-0.465989, -0.37996, -0.32616, -0.265638" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.146711, 0.0876004, 0.0632954, 0.0413036", \ + "0.291765, 0.226635, 0.200959, 0.178087", \ + "0.402196, 0.339258, 0.31301, 0.286854", \ + "0.519956, 0.46243, 0.436334, 0.410264" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.183389, 0.0726254, 0.0118599, -0.0315523", \ + "0.309236, 0.198624, 0.138021, 0.0928683", \ + "0.409912, 0.302544, 0.242853, 0.196456", \ + "0.519956, 0.415697, 0.36006, 0.309912" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * SCE * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0160187, 0.0159344, 0.0159287, 0.0161292, 0.0167085, 0.0184197, 0.0226431" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0186732, 0.0185295, 0.0184453, 0.0186121, 0.0192977, 0.0211735, 0.0257696" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * SCE * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0074807, 0.00736791, 0.00742127, 0.00750335, 0.0079844, 0.00953632, 0.0134009" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00236796, -0.00241848, -0.00246114, -0.00232309, -0.00181905, -0.000188062, 0.00395782" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0074807, 0.00736791, 0.00742127, 0.00750335, 0.0079844, 0.00953632, 0.0134009" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00236796, -0.00241848, -0.00246114, -0.00232309, -0.00181905, -0.000188062, 0.00395782" \ + ); + } + } + } + pin (SCE) { + direction : input; + nextstate_type : scan_enable; + max_transition : 2.5074; + capacitance : 0.00350505; + rise_capacitance : 0.00381534; + rise_capacitance_range (0.00381534, 0.00381534); + fall_capacitance : 0.00319476; + fall_capacitance_range (0.00319476, 0.00319476); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.107588, -0.0576505, -0.0324341, -0.0089232", \ + "-0.259319, -0.203717, -0.177357, -0.150597", \ + "-0.366191, -0.313034, -0.286027, -0.258604", \ + "-0.479481, -0.432191, -0.410909, -0.3837" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.105143, -0.00274232, 0.0550064, 0.112503", \ + "-0.219386, -0.119684, -0.0593484, 0.0033467", \ + "-0.307041, -0.21076, -0.151108, -0.0919324", \ + "-0.395831, -0.302988, -0.249887, -0.188898" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.129595, 0.0701296, 0.045293, 0.022415", \ + "0.284278, 0.216449, 0.190469, 0.167091", \ + "0.397053, 0.328769, 0.302217, 0.275554", \ + "0.519956, 0.451434, 0.427859, 0.401409" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.151602, 0.0376839, -0.0215733, -0.0639326", \ + "0.266807, 0.155334, 0.0934398, 0.0488843", \ + "0.355904, 0.244851, 0.186187, 0.139957", \ + "0.4471, 0.341474, 0.283786, 0.236123" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESET_B * !SCD * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0187738, 0.0186741, 0.0187857, 0.0193715, 0.0205608, 0.0231823, 0.0288929" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0186484, 0.0186009, 0.0187385, 0.0192451, 0.0204367, 0.0232002, 0.0289366" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESET_B * !SCD * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0134135, 0.0127555, 0.0128521, 0.0133768, 0.0145759, 0.0172392, 0.0228409" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0167923, 0.0210599, 0.0233278, 0.0246863, 0.0260065, 0.028757, 0.0345383" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESET_B * SCD * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0164127, 0.0162682, 0.01655, 0.0174546, 0.0195016, 0.0243373, 0.0348869" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00659342, 0.0123036, 0.0218392, 0.0301415, 0.0330209, 0.0379031, 0.0484474" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESET_B * SCD * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00777122, 0.00760273, 0.00787719, 0.0086955, 0.0106425, 0.0152734, 0.0252775" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00471431, -0.00487368, -0.00467134, -0.0039963, -0.00215929, 0.00244504, 0.0124514" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0134135, 0.0127555, 0.0128521, 0.0133768, 0.0145759, 0.0172392, 0.0228409" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0186484, 0.0186009, 0.0187385, 0.0192451, 0.0204367, 0.0232002, 0.0289366" \ + ); + } + } + } + pin (SET_B) { + direction : input; + max_transition : 2.5074; + capacitance : 0.0051711; + rise_capacitance : 0.0051711; + rise_capacitance_range (0.0051711, 0.0051711); + fall_capacitance : 0.0051711; + fall_capacitance_range (0.0051711, 0.0051711); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0146711, -0.107074, -0.17588, -0.228533", \ + "0.132032, 0.0101858, -0.0560377, -0.107809", \ + "0.307041, 0.147822, 0.0701575, 0.0156587", \ + "0.752016, 0.509163, 0.37701, 0.30696" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.039123, 0.149503, 0.211885, 0.274405", \ + "-0.0321992, 0.0763938, 0.137332, 0.201275", \ + "-0.0755806, 0.0331243, 0.0944428, 0.156663", \ + "-0.13409, -0.0253387, 0.0326084, 0.0974008" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : non_seq_hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0782461, -0.0626421, -0.0658672, -0.0709856", \ + "-0.18694, -0.134962, -0.124909, -0.123107", \ + "-0.26332, -0.20027, -0.18079, -0.168206", \ + "-0.349959, -0.278247, -0.258362, -0.242026" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : non_seq_setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0978076, 0.0950879, 0.153308, 0.265268", \ + "0.209403, 0.155334, 0.151133, 0.183585", \ + "0.294182, 0.226494, 0.207774, 0.20493", \ + "0.387736, 0.313984, 0.292261, 0.277445" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0930786, 0.689697, 1.68457, 3.34351" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clear_preset_var1 : "H"; + clear_preset_var2 : "L"; + clocked_on : "CLK"; + next_state : "(SCE*SCD)+(SCE'*D)"; + preset : "SET_B'"; + } + test_cell () { + pin (Q) { + direction : output; + function : "IQ"; + signal_type : test_scan_out; + } + pin (Q_N) { + direction : output; + function : "IQN"; + signal_type : test_scan_out_inverted; + } + pin (CLK) { + direction : input; + } + pin (D) { + direction : input; + } + pin (RESET_B) { + direction : input; + } + pin (SCD) { + direction : input; + signal_type : test_scan_in; + } + pin (SCE) { + direction : input; + signal_type : test_scan_enable; + } + pin (SET_B) { + direction : input; + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clear_preset_var1 : H; + clear_preset_var2 : L; + clocked_on : "CLK"; + next_state : "D"; + preset : "SET_B'"; + } + } + } + cell (sg13g2_sighold) { + area : 9.072; + cell_footprint : "keepstate"; + dont_touch : true; + dont_use : true; + cell_leakage_power : 312.011; + leakage_power () { + value : 290.176; + when : "SH"; + } + leakage_power () { + value : 333.847; + when : "!SH"; + } + pin (SH) { + direction : "inout"; + driver_type : bus_hold; + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_slgcp_1) { + area : 30.8448; + cell_footprint : "sgclk"; + clock_gating_integrated_cell : "latch_posedge_precontrol"; + dont_use : true; + dont_touch : true; + cell_leakage_power : 3177.08; + leakage_power () { + value : 2647.27; + when : "!CLK&GATE&SCE&!GCLK"; + } + leakage_power () { + value : 3302.74; + when : "CLK&GATE&SCE&GCLK"; + } + leakage_power () { + value : 3734.68; + when : "!GATE&SCE&!GCLK"; + } + leakage_power () { + value : 2926.64; + when : "!CLK&GATE&!SCE&!GCLK"; + } + leakage_power () { + value : 3582.1; + when : "CLK&GATE&!SCE&GCLK"; + } + leakage_power () { + value : 2869.08; + when : "!GATE&!SCE&!GCLK"; + } + statetable ("CLK GATE SCE", "int_GATE") { + table : "L L L : - : L,\ + L L H : - : H,\ + L H L : - : H,\ + L H H : - : H,\ + H - - : - : N"; + } + pin (int_GATE) { + direction : "internal"; + internal_node : "int_GATE"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : "output"; + state_function : "CLK * int_GATE"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0734864, 0.146744, 0.19306, 0.269193, 0.39657, 0.608436, 0.961537", \ + "0.0969895, 0.171088, 0.217446, 0.293647, 0.42102, 0.632862, 0.985858", \ + "0.112839, 0.18831, 0.234787, 0.311032, 0.438225, 0.650156, 1.0031", \ + "0.135429, 0.213795, 0.260326, 0.336635, 0.46413, 0.675876, 1.02889", \ + "0.16484, 0.249366, 0.296197, 0.372464, 0.499918, 0.711845, 1.06476", \ + "0.205164, 0.299731, 0.347711, 0.425186, 0.552815, 0.765083, 1.11813", \ + "0.255659, 0.367723, 0.418948, 0.498931, 0.630118, 0.845178, 1.1995" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0202655, 0.112478, 0.179401, 0.290679, 0.477274, 0.788303, 1.3069", \ + "0.0219767, 0.112973, 0.179582, 0.29072, 0.477461, 0.788515, 1.30695", \ + "0.024273, 0.113804, 0.180139, 0.291014, 0.477462, 0.788516, 1.30696", \ + "0.0288232, 0.115483, 0.181067, 0.291652, 0.47781, 0.788637, 1.31111", \ + "0.0359705, 0.119671, 0.183554, 0.29292, 0.478653, 0.789131, 1.31112", \ + "0.046114, 0.129483, 0.190502, 0.297717, 0.481554, 0.790835, 1.31113", \ + "0.062487, 0.14889, 0.206342, 0.310771, 0.492924, 0.800039, 1.31406" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0617666, 0.12624, 0.166389, 0.232308, 0.342429, 0.525775, 0.831056", \ + "0.0935321, 0.158924, 0.199221, 0.265181, 0.375292, 0.558639, 0.863894", \ + "0.11495, 0.181867, 0.222281, 0.288305, 0.398539, 0.581774, 0.88709", \ + "0.144517, 0.214159, 0.254739, 0.32081, 0.43098, 0.614408, 0.919619", \ + "0.183176, 0.259003, 0.299558, 0.36553, 0.475726, 0.65919, 0.964457", \ + "0.23944, 0.324331, 0.365779, 0.432077, 0.542263, 0.725617, 1.03115", \ + "0.306188, 0.40644, 0.4511, 0.519248, 0.631058, 0.81581, 1.12177" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168989, 0.0896387, 0.142217, 0.229654, 0.376428, 0.621262, 1.0293", \ + "0.0190694, 0.0902669, 0.142526, 0.229755, 0.377029, 0.621736, 1.02931", \ + "0.0221528, 0.0913546, 0.143134, 0.230324, 0.37703, 0.621737, 1.02945", \ + "0.027429, 0.0935976, 0.14452, 0.231214, 0.377295, 0.622073, 1.02946", \ + "0.0357936, 0.098452, 0.147607, 0.232881, 0.378718, 0.622844, 1.03046", \ + "0.046966, 0.10776, 0.154067, 0.236873, 0.380919, 0.624494, 1.03145", \ + "0.064938, 0.127203, 0.170357, 0.249077, 0.390232, 0.63153, 1.0363" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.013458, 0.0139258, 0.0139585, 0.013909, 0.0138055, 0.0135185, 0.0134238", \ + "0.0132063, 0.0136095, 0.0136186, 0.0137038, 0.013525, 0.0132784, 0.0132362", \ + "0.0132847, 0.0135805, 0.0136393, 0.013699, 0.0135728, 0.0132524, 0.0132855", \ + "0.0136742, 0.0138522, 0.0139051, 0.0138685, 0.0139943, 0.0135661, 0.0136407", \ + "0.0151951, 0.0151141, 0.0151682, 0.0152558, 0.0151187, 0.0150888, 0.0149494", \ + "0.0188942, 0.0183764, 0.0183476, 0.0183719, 0.0184577, 0.0184676, 0.0178581", \ + "0.0271926, 0.0259827, 0.0258639, 0.0257932, 0.0258628, 0.0258677, 0.0258718" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118947, 0.0124564, 0.0124644, 0.0124368, 0.0123382, 0.012147, 0.011724", \ + "0.0118927, 0.0123055, 0.0125005, 0.0124311, 0.0122987, 0.0121227, 0.0116794", \ + "0.0122029, 0.0125317, 0.0125462, 0.0127039, 0.0125431, 0.0122704, 0.0118909", \ + "0.0131376, 0.0132113, 0.013335, 0.0132321, 0.0132328, 0.0129786, 0.0125976", \ + "0.0150652, 0.0148221, 0.0148898, 0.0148768, 0.014918, 0.0145631, 0.0152407", \ + "0.0196052, 0.0188663, 0.0186446, 0.0186971, 0.0187479, 0.018636, 0.0180838", \ + "0.0280916, 0.0265617, 0.0265562, 0.0262122, 0.0261479, 0.0261457, 0.0263559" \ + ); + } + } + } + pin (CLK) { + clock_gate_clock_pin : true; + direction : "input"; + clock : true; + max_transition : 2.5074; + capacitance : 0.00493849; + rise_capacitance : 0.00500979; + rise_capacitance_range (0.00500979, 0.00500979); + fall_capacitance : 0.0048672; + fall_capacitance_range (0.0048672, 0.0048672); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.240936, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.100861, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00656606, 0.00639084, 0.00659643, 0.00756461, 0.00953933, 0.014116, 0.0237045" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00465917, 0.00448165, 0.00481467, 0.00573464, 0.00795587, 0.012815, 0.0229509" \ + ); + } + } + } + pin (GATE) { + clock_gate_enable_pin : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00195938; + rise_capacitance : 0.0023705; + rise_capacitance_range (0.0023705, 0.0023705); + fall_capacitance : 0.00154827; + fall_capacitance_range (0.00154827, 0.00154827); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0406857, -0.0138052, -0.00928808, -0.0201462", \ + "-0.150402, -0.115526, -0.112295, -0.121867", \ + "-0.204169, -0.174577, -0.169997, -0.179506", \ + "-0.250336, -0.219458, -0.218812, -0.237792" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0655703, 0.00709218, 0.0395757, 0.0640495", \ + "-0.196852, -0.124189, -0.0929916, -0.0632344", \ + "-0.29161, -0.228228, -0.199679, -0.173856", \ + "-0.386501, -0.333826, -0.309211, -0.288861" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0643956, 0.0756457, 0.12759, 0.232877", \ + "0.18815, 0.163414, 0.170091, 0.216687", \ + "0.260749, 0.230729, 0.234758, 0.261429", \ + "0.317383, 0.300641, 0.300736, 0.325932" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.104947, 0.0216495, -0.0112861, -0.0222219", \ + "0.245268, 0.16197, 0.127748, 0.0981107", \ + "0.345617, 0.273027, 0.240154, 0.21058", \ + "0.460176, 0.392869, 0.36006, 0.337005" \ + ); + } + } + internal_power () { + when : "!CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0239254, 0.0243761, 0.0246856, 0.0252395, 0.0265905, 0.0299145, 0.0373638" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018523, 0.0332691, 0.0361265, 0.0375635, 0.0391635, 0.0427472, 0.0503209" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0239254, 0.0243761, 0.0246856, 0.0252395, 0.0265905, 0.0299145, 0.0373638" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018523, 0.0332691, 0.0361265, 0.0375635, 0.0391635, 0.0427472, 0.0503209" \ + ); + } + } + } + pin (SCE) { + clock_gate_test_pin : "true"; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00237312; + rise_capacitance : 0.00232934; + rise_capacitance_range (0.00232934, 0.00232934); + fall_capacitance : 0.0024169; + fall_capacitance_range (0.0024169, 0.0024169); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0485609, -0.0185282, -0.0144316, -0.0237306", \ + "-0.167448, -0.133418, -0.12661, -0.134623", \ + "-0.229887, -0.201141, -0.196981, -0.20493", \ + "-0.290272, -0.264237, -0.264011, -0.277435" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0697877, 0.0021957, 0.0344321, 0.0565541", \ + "-0.17439, -0.106404, -0.071456, -0.0440507", \ + "-0.255605, -0.192902, -0.1646, -0.137132", \ + "-0.331901, -0.279905, -0.255537, -0.233541" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.002, 0, 0, 0", \ + "0.49976, 0.002, 0, 0", \ + "1.2464, 0.74864, 0.002, 0", \ + "2.4908, 1.99304, 1.2464, 0.002" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.111989, 0.0272543, -0.00614259, -0.0173248", \ + "0.222854, 0.142117, 0.107434, 0.0775503", \ + "0.307041, 0.233013, 0.199679, 0.173856", \ + "0.394756, 0.330009, 0.300736, 0.277435" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00812152, 0.00799209, 0.0081394, 0.00867816, 0.0101089, 0.0133935, 0.0208922" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189391, 0.0327006, 0.0353226, 0.0363408, 0.0375675, 0.0408132, 0.0481943" \ + ); + } + } + } + } + cell (sg13g2_tiehi) { + area : 7.2576; + cell_footprint : "tie1"; + cell_leakage_power : 55.1096; + pin (L_HI) { + direction : "output"; + function : "1"; + driver_type : open_drain; + } + } + cell (sg13g2_tielo) { + area : 7.2576; + cell_footprint : "tie0"; + cell_leakage_power : 57.4415; + pin (L_LO) { + direction : "output"; + function : "0"; + driver_type : open_source; + } + } + cell (sg13g2_xnor2_1) { + area : 14.5152; + cell_footprint : "xnor2_1"; + cell_leakage_power : 1366.74; + leakage_power () { + value : 1695.51; + when : "!A&B"; + } + leakage_power () { + value : 1402.96; + when : "A&B"; + } + leakage_power () { + value : 1932.02; + when : "A&!B"; + } + leakage_power () { + value : 436.49; + when : "!A&!B"; + } + pin (Y) { + direction : "output"; + function : "!(A^B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0730574, 0.144843, 0.190942, 0.266739, 0.393978, 0.605549, 0.958091", \ + "0.0980691, 0.170915, 0.217151, 0.293115, 0.420327, 0.631995, 0.984776", \ + "0.114438, 0.188519, 0.234833, 0.310796, 0.43796, 0.649648, 1.0024", \ + "0.137456, 0.214465, 0.260944, 0.337069, 0.464368, 0.676257, 1.02909", \ + "0.166931, 0.250436, 0.296738, 0.373138, 0.500131, 0.712034, 1.0649", \ + "0.207354, 0.300411, 0.34795, 0.424381, 0.552242, 0.764114, 1.11703", \ + "0.255806, 0.365382, 0.416689, 0.495799, 0.626584, 0.841435, 1.19623" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0219767, 0.11453, 0.181522, 0.292652, 0.479204, 0.790379, 1.30869", \ + "0.0239038, 0.11507, 0.181776, 0.292837, 0.479661, 0.790408, 1.30888", \ + "0.026329, 0.115878, 0.18229, 0.293233, 0.479695, 0.790502, 1.30902", \ + "0.0309729, 0.117471, 0.183186, 0.293873, 0.480061, 0.790794, 1.31425", \ + "0.038589, 0.122158, 0.185692, 0.294989, 0.480753, 0.791287, 1.31426", \ + "0.049605, 0.131435, 0.192974, 0.299945, 0.483912, 0.793101, 1.31427", \ + "0.067158, 0.151491, 0.209504, 0.313025, 0.495444, 0.802508, 1.31637" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0712591, 0.173734, 0.241887, 0.35419, 0.541645, 0.854191, 1.37439", \ + "0.102587, 0.205124, 0.273302, 0.38557, 0.574067, 0.885667, 1.40628", \ + "0.123887, 0.226665, 0.294926, 0.407283, 0.594896, 0.907823, 1.42795", \ + "0.154387, 0.2578, 0.325911, 0.4381, 0.62593, 0.938356, 1.45878", \ + "0.193459, 0.30029, 0.367887, 0.479926, 0.667429, 0.979861, 1.50019", \ + "0.24924, 0.361945, 0.429585, 0.540879, 0.728331, 1.04075, 1.56108", \ + "0.316063, 0.44129, 0.509065, 0.621515, 0.808312, 1.1209, 1.64041" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0247794, 0.152448, 0.242653, 0.392364, 0.642729, 1.05929, 1.75427", \ + "0.0264721, 0.152528, 0.242707, 0.39348, 0.643203, 1.06001, 1.75428", \ + "0.0290298, 0.152885, 0.243083, 0.393481, 0.643204, 1.06002, 1.75429", \ + "0.0336885, 0.153804, 0.243326, 0.393482, 0.643205, 1.06251, 1.75544", \ + "0.0417009, 0.156236, 0.244512, 0.393483, 0.643206, 1.06252, 1.75545", \ + "0.052652, 0.161553, 0.247657, 0.395059, 0.644321, 1.06253, 1.75546", \ + "0.070341, 0.175686, 0.257032, 0.401258, 0.648576, 1.06419, 1.75588" \ + ); + } + } + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0543023, 0.191654, 0.284905, 0.439702, 0.697945, 1.12849, 1.84547", \ + "0.0764902, 0.218093, 0.311827, 0.466486, 0.72556, 1.15533, 1.87426", \ + "0.09045, 0.242743, 0.337264, 0.491999, 0.75081, 1.18194, 1.89921", \ + "0.109382, 0.285203, 0.384911, 0.542317, 0.801107, 1.23204, 1.94902", \ + "0.134623, 0.350894, 0.464533, 0.63429, 0.901515, 1.33328, 2.05035", \ + "0.174492, 0.444311, 0.578899, 0.777127, 1.07063, 1.52354, 2.24688", \ + "0.222598, 0.573156, 0.742226, 0.981031, 1.33226, 1.84315, 2.61672" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0428185, 0.233825, 0.366498, 0.585929, 0.95326, 1.56549, 2.58549", \ + "0.0506753, 0.234426, 0.367738, 0.58593, 0.953959, 1.5655, 2.58595", \ + "0.0615188, 0.2406, 0.369385, 0.58865, 0.95396, 1.5661, 2.58651", \ + "0.0826922, 0.261408, 0.384862, 0.59454, 0.955378, 1.56611, 2.58652", \ + "0.117022, 0.309712, 0.430306, 0.631735, 0.977646, 1.57316, 2.58688", \ + "0.171787, 0.391115, 0.519992, 0.723987, 1.06072, 1.62911, 2.61085", \ + "0.254423, 0.529106, 0.673483, 0.89992, 1.24957, 1.8113, 2.74585" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0473874, 0.148174, 0.216618, 0.329528, 0.518521, 0.833134, 1.35742", \ + "0.0698099, 0.179279, 0.24827, 0.361374, 0.550325, 0.865054, 1.38927", \ + "0.0834879, 0.206223, 0.277785, 0.39195, 0.581149, 0.895967, 1.42044", \ + "0.101141, 0.249771, 0.328953, 0.450019, 0.642452, 0.957834, 1.48262", \ + "0.122823, 0.31446, 0.408681, 0.545675, 0.753516, 1.0782, 1.60523", \ + "0.152875, 0.405233, 0.526293, 0.69289, 0.934448, 1.29315, 1.84424", \ + "0.193225, 0.523738, 0.685567, 0.904457, 1.20465, 1.63153, 2.25085" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.029618, 0.161256, 0.252694, 0.403367, 0.65634, 1.07707, 1.7784", \ + "0.0374075, 0.164373, 0.253713, 0.404248, 0.656341, 1.07708, 1.77841", \ + "0.0473487, 0.174119, 0.260638, 0.406626, 0.656342, 1.07709, 1.77883", \ + "0.066279, 0.198306, 0.283123, 0.423693, 0.665871, 1.07871, 1.77884", \ + "0.100036, 0.247209, 0.332665, 0.472295, 0.705179, 1.10307, 1.78574", \ + "0.153568, 0.336877, 0.430109, 0.573529, 0.80559, 1.19264, 1.84665", \ + "0.2382, 0.4828, 0.600814, 0.7622, 1.00785, 1.39832, 2.04082" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.067978, 0.138848, 0.184715, 0.260218, 0.387055, 0.598633, 0.951338", \ + "0.0950785, 0.167247, 0.213298, 0.288943, 0.415943, 0.627623, 0.980277", \ + "0.112586, 0.185767, 0.231805, 0.307765, 0.434595, 0.646287, 0.999476", \ + "0.137525, 0.213767, 0.25969, 0.335616, 0.462545, 0.674316, 1.02697", \ + "0.169029, 0.251173, 0.297352, 0.373092, 0.499687, 0.711037, 1.06417", \ + "0.211402, 0.304871, 0.350628, 0.427005, 0.55425, 0.766079, 1.11829", \ + "0.270062, 0.378405, 0.428085, 0.506772, 0.635685, 0.847839, 1.20057" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0219843, 0.114628, 0.181748, 0.292954, 0.479433, 0.790539, 1.30926", \ + "0.0247476, 0.1153, 0.181974, 0.292968, 0.480172, 0.790593, 1.30927", \ + "0.0278783, 0.116068, 0.182397, 0.293353, 0.480173, 0.790632, 1.30959", \ + "0.0334214, 0.117649, 0.183179, 0.29401, 0.480174, 0.790943, 1.31311", \ + "0.0419271, 0.121917, 0.185638, 0.295024, 0.480903, 0.791558, 1.31312", \ + "0.054615, 0.132846, 0.192996, 0.299709, 0.483699, 0.793131, 1.31313", \ + "0.073563, 0.153355, 0.209554, 0.312914, 0.494577, 0.801952, 1.31658" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.072077, 0.16865, 0.235999, 0.348291, 0.536603, 0.850756, 1.37467", \ + "0.0998309, 0.198553, 0.266117, 0.378246, 0.566401, 0.880428, 1.4044", \ + "0.118355, 0.218273, 0.285581, 0.397663, 0.585856, 0.899822, 1.42375", \ + "0.144824, 0.246447, 0.314083, 0.425542, 0.613228, 0.927658, 1.45104", \ + "0.178422, 0.285114, 0.352791, 0.464279, 0.651624, 0.965055, 1.4883", \ + "0.225734, 0.339336, 0.406925, 0.518484, 0.705556, 1.01887, 1.53909", \ + "0.278458, 0.407433, 0.476951, 0.590239, 0.778971, 1.09157, 1.61242" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0312731, 0.161344, 0.252729, 0.403654, 0.65621, 1.07727, 1.77718", \ + "0.0319224, 0.161345, 0.25273, 0.404189, 0.656211, 1.07728, 1.77719", \ + "0.032855, 0.161346, 0.252731, 0.40419, 0.656212, 1.0773, 1.77882", \ + "0.0359383, 0.161347, 0.252732, 0.404191, 0.656213, 1.07939, 1.77883", \ + "0.0430076, 0.161348, 0.252733, 0.404192, 0.656214, 1.0794, 1.77884", \ + "0.054318, 0.166782, 0.253496, 0.404193, 0.656215, 1.07941, 1.77885", \ + "0.07311, 0.182837, 0.264982, 0.41003, 0.658351, 1.07942, 1.77886" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0480288, 0.186199, 0.279826, 0.434575, 0.692967, 1.12351, 1.84101", \ + "0.0735409, 0.21812, 0.311886, 0.466581, 0.725702, 1.15599, 1.87447", \ + "0.0907293, 0.250942, 0.345532, 0.500027, 0.758433, 1.18978, 1.90715", \ + "0.114788, 0.306585, 0.408703, 0.566426, 0.824173, 1.25471, 1.97136", \ + "0.1475, 0.390718, 0.513199, 0.689382, 0.95874, 1.38908, 2.10529", \ + "0.197827, 0.502646, 0.656863, 0.873619, 1.18388, 1.64458, 2.36776", \ + "0.263494, 0.657974, 0.850447, 1.12576, 1.51878, 2.06547, 2.86303" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0427884, 0.233624, 0.366509, 0.586158, 0.95333, 1.56544, 2.58568", \ + "0.0562097, 0.234902, 0.36703, 0.586159, 0.953952, 1.56603, 2.58596", \ + "0.0701613, 0.245011, 0.37117, 0.589209, 0.953953, 1.56607, 2.58597", \ + "0.0934108, 0.277252, 0.395653, 0.599846, 0.956051, 1.56608, 2.58598", \ + "0.127852, 0.342825, 0.462215, 0.65755, 0.991267, 1.57731, 2.58599", \ + "0.18288, 0.446901, 0.58538, 0.789002, 1.11486, 1.66323, 2.6244", \ + "0.271398, 0.606657, 0.776859, 1.02255, 1.38097, 1.92844, 2.83114" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0402452, 0.141343, 0.209296, 0.321362, 0.508753, 0.821033, 1.34177", \ + "0.0594929, 0.17212, 0.240905, 0.353332, 0.541068, 0.853431, 1.37407", \ + "0.0703061, 0.198335, 0.270065, 0.383836, 0.571926, 0.884709, 1.406", \ + "0.0831285, 0.240471, 0.320407, 0.441654, 0.633265, 0.94669, 1.46787", \ + "0.0966382, 0.3023, 0.398148, 0.535826, 0.744136, 1.06739, 1.59102", \ + "0.112159, 0.386668, 0.511304, 0.68056, 0.924026, 1.28163, 1.83003", \ + "0.125681, 0.492209, 0.660514, 0.885711, 1.18991, 1.61808, 2.23718" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0221349, 0.15226, 0.242843, 0.392061, 0.642161, 1.0593, 1.75438", \ + "0.0303397, 0.155647, 0.24398, 0.392706, 0.642809, 1.05931, 1.75565", \ + "0.0401339, 0.165741, 0.251019, 0.395594, 0.643479, 1.05932, 1.75619", \ + "0.058394, 0.190131, 0.274042, 0.413262, 0.652799, 1.06279, 1.7562", \ + "0.0921255, 0.23953, 0.325049, 0.462046, 0.693026, 1.08682, 1.76323", \ + "0.147804, 0.331742, 0.422738, 0.564551, 0.794762, 1.17813, 1.82535", \ + "0.235915, 0.478779, 0.597714, 0.757323, 1.00002, 1.38453, 2.02105" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0097585, 0.0101107, 0.0100609, 0.00993528, 0.00979558, 0.00945224, 0.00907455", \ + "0.00949598, 0.00990674, 0.00985729, 0.00986401, 0.00964974, 0.00929925, 0.00899364", \ + "0.00957238, 0.00988269, 0.00992245, 0.0098699, 0.00971149, 0.00937143, 0.00900884", \ + "0.0100169, 0.0103094, 0.0103636, 0.0102266, 0.0104252, 0.00985208, 0.00997216", \ + "0.011549, 0.011639, 0.0116952, 0.0117629, 0.011581, 0.0114478, 0.0110143", \ + "0.0152917, 0.0149438, 0.0149105, 0.0149098, 0.0149835, 0.0149054, 0.0142575", \ + "0.0234982, 0.0224794, 0.0223727, 0.0222096, 0.0222, 0.0221553, 0.0221943" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00845282, 0.00893735, 0.00894946, 0.00892577, 0.00881216, 0.00855305, 0.00819233", \ + "0.00829578, 0.00873078, 0.00875643, 0.00884518, 0.00873975, 0.00846446, 0.0080437", \ + "0.00856682, 0.00886363, 0.00906297, 0.00893703, 0.00885306, 0.00869189, 0.00828101", \ + "0.00933009, 0.00949864, 0.009477, 0.00958779, 0.00959301, 0.00948454, 0.0089828", \ + "0.011144, 0.0110916, 0.0111499, 0.0111431, 0.0110317, 0.0109413, 0.0113012", \ + "0.0154606, 0.014978, 0.0150145, 0.0149993, 0.0150197, 0.0146276, 0.0152977", \ + "0.024069, 0.0230259, 0.0227687, 0.0227672, 0.0227223, 0.022773, 0.0223167" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00979432, 0.00976647, 0.0096128, 0.00938862, 0.00915018, 0.00883453, 0.00838675", \ + "0.0095298, 0.00967732, 0.00955109, 0.00938693, 0.00921152, 0.00881536, 0.00849528", \ + "0.00972114, 0.00982402, 0.00976, 0.0097378, 0.0095487, 0.00904698, 0.00876874", \ + "0.0104721, 0.010606, 0.0105768, 0.0104172, 0.0104463, 0.00984753, 0.00985917", \ + "0.0123128, 0.012184, 0.0122197, 0.0122099, 0.011886, 0.0116958, 0.0112936", \ + "0.0164557, 0.0159741, 0.0158232, 0.0157759, 0.0157636, 0.0156269, 0.0148594", \ + "0.025401, 0.0241357, 0.0238485, 0.0236544, 0.0234414, 0.0231671, 0.0229201" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00943626, 0.00849675, 0.00822762, 0.00799981, 0.00774912, 0.00745879, 0.00699676", \ + "0.00883759, 0.00848196, 0.00816597, 0.00795455, 0.00764223, 0.00726463, 0.00683959", \ + "0.00893073, 0.00862791, 0.00855654, 0.00840219, 0.00790162, 0.00757628, 0.00720504", \ + "0.00949975, 0.00935874, 0.00912079, 0.00900002, 0.00872272, 0.00849813, 0.00787244", \ + "0.0111933, 0.0110976, 0.0110532, 0.0107926, 0.0105018, 0.0104254, 0.00969832", \ + "0.0154512, 0.0150439, 0.015014, 0.014897, 0.0147019, 0.0140902, 0.0143601", \ + "0.0241676, 0.0232194, 0.0230112, 0.0229498, 0.0228006, 0.0225976, 0.0218454" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00542997; + rise_capacitance : 0.00554687; + rise_capacitance_range (0.00554687, 0.00554687); + fall_capacitance : 0.00531306; + fall_capacitance_range (0.00531306, 0.00531306); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00494233; + rise_capacitance : 0.00491074; + rise_capacitance_range (0.00491074, 0.00491074); + fall_capacitance : 0.00497392; + fall_capacitance_range (0.00497392, 0.00497392); + } + } + cell (sg13g2_xor2_1) { + area : 14.5152; + cell_footprint : "xor2_1"; + cell_leakage_power : 1356.1; + leakage_power () { + value : 1079.38; + when : "!A&!B"; + } + leakage_power () { + value : 1948.47; + when : "A&B"; + } + leakage_power () { + value : 1309.18; + when : "A&!B"; + } + leakage_power () { + value : 1087.36; + when : "!A&B"; + } + pin (X) { + direction : "output"; + function : "(A^B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0742863, 0.213415, 0.307337, 0.462324, 0.721442, 1.15233, 1.87107", \ + "0.10612, 0.244001, 0.338187, 0.493257, 0.753112, 1.18354, 1.90314", \ + "0.126864, 0.264066, 0.358126, 0.513266, 0.772509, 1.20401, 1.92279", \ + "0.157045, 0.294102, 0.387851, 0.542868, 0.80199, 1.23348, 1.95484", \ + "0.196595, 0.335313, 0.428728, 0.583343, 0.842114, 1.27357, 1.99231", \ + "0.249653, 0.393258, 0.485886, 0.639769, 0.897933, 1.32884, 2.04735", \ + "0.320827, 0.473726, 0.564524, 0.717043, 0.974927, 1.40483, 2.1226" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0325732, 0.222829, 0.355643, 0.575369, 0.943235, 1.55684, 2.57787", \ + "0.0341725, 0.22283, 0.35651, 0.576762, 0.944235, 1.55685, 2.57909", \ + "0.0362792, 0.222831, 0.356511, 0.576763, 0.944236, 1.55688, 2.5791", \ + "0.0409119, 0.222943, 0.356512, 0.576764, 0.944237, 1.55689, 2.58053", \ + "0.0481868, 0.223879, 0.356513, 0.576765, 0.944238, 1.5569, 2.58054", \ + "0.058171, 0.226722, 0.357486, 0.576766, 0.944239, 1.55691, 2.58055", \ + "0.07322, 0.234059, 0.361328, 0.57871, 0.945532, 1.55723, 2.58056" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0870577, 0.156332, 0.196934, 0.26315, 0.373684, 0.557563, 0.864146", \ + "0.110789, 0.18119, 0.221934, 0.288165, 0.398552, 0.58242, 0.888812", \ + "0.126859, 0.199003, 0.24002, 0.306424, 0.416905, 0.601016, 0.907759", \ + "0.149184, 0.224315, 0.265677, 0.33238, 0.443049, 0.627131, 0.933341", \ + "0.178167, 0.260252, 0.302681, 0.36935, 0.480113, 0.66421, 0.970824", \ + "0.220323, 0.312104, 0.356281, 0.424673, 0.535725, 0.720262, 1.02612", \ + "0.265349, 0.374116, 0.423397, 0.49575, 0.611661, 0.797524, 1.10566" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0233567, 0.0960552, 0.147652, 0.234474, 0.381378, 0.627499, 1.03678", \ + "0.0245652, 0.0965099, 0.147894, 0.234796, 0.381621, 0.6275, 1.03693", \ + "0.0268215, 0.097911, 0.148799, 0.235118, 0.381766, 0.627536, 1.0375", \ + "0.031134, 0.100722, 0.15099, 0.236537, 0.382556, 0.627537, 1.03942", \ + "0.0388957, 0.1069, 0.155373, 0.239038, 0.38387, 0.628709, 1.03943", \ + "0.050734, 0.118177, 0.164344, 0.245643, 0.387876, 0.630781, 1.03944", \ + "0.069135, 0.140986, 0.185253, 0.263537, 0.403183, 0.641705, 1.04618" \ + ); + } + } + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0593731, 0.196398, 0.290235, 0.445367, 0.704753, 1.1372, 1.85861", \ + "0.0832812, 0.224514, 0.318744, 0.473923, 0.733676, 1.16603, 1.8872", \ + "0.0975665, 0.24924, 0.344112, 0.499587, 0.75959, 1.1929, 1.91347", \ + "0.11527, 0.291274, 0.391348, 0.549555, 0.809557, 1.24271, 1.96328", \ + "0.135019, 0.35596, 0.469842, 0.640618, 0.908919, 1.34297, 2.06388", \ + "0.168185, 0.445205, 0.581691, 0.781255, 1.07682, 1.5321, 2.25944", \ + "0.212505, 0.570354, 0.742049, 0.982788, 1.33532, 1.85042, 2.6268" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.039843, 0.232161, 0.365685, 0.586008, 0.95501, 1.57091, 2.59542", \ + "0.0458401, 0.232766, 0.367097, 0.586144, 0.955483, 1.57092, 2.59543", \ + "0.0551185, 0.238196, 0.368078, 0.589419, 0.955484, 1.57101, 2.59639", \ + "0.0743615, 0.257847, 0.382722, 0.594023, 0.957325, 1.57102, 2.5964", \ + "0.110579, 0.305592, 0.42669, 0.630434, 0.97872, 1.57754, 2.59719", \ + "0.171283, 0.386959, 0.516701, 0.720731, 1.06045, 1.6321, 2.6202", \ + "0.258558, 0.52762, 0.672399, 0.895308, 1.24586, 1.81174, 2.753" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0450821, 0.145606, 0.213557, 0.325455, 0.512805, 0.824154, 1.34326", \ + "0.0684228, 0.177213, 0.245564, 0.357646, 0.544794, 0.856353, 1.37557", \ + "0.0828992, 0.204718, 0.275618, 0.388643, 0.575998, 0.887834, 1.40766", \ + "0.102102, 0.249308, 0.327686, 0.44761, 0.638112, 0.950369, 1.46988", \ + "0.126136, 0.314782, 0.40812, 0.543997, 0.749964, 1.07159, 1.59331", \ + "0.159336, 0.407187, 0.52716, 0.692362, 0.932608, 1.28773, 1.83378", \ + "0.202761, 0.527733, 0.688019, 0.905939, 1.20346, 1.62841, 2.24245" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0304878, 0.160183, 0.250266, 0.399698, 0.64995, 1.06623, 1.76062", \ + "0.0394509, 0.163479, 0.251877, 0.400025, 0.649951, 1.06624, 1.76063", \ + "0.0501633, 0.173694, 0.258962, 0.403194, 0.649952, 1.06625, 1.76085", \ + "0.0698556, 0.198627, 0.282055, 0.420805, 0.660169, 1.06918, 1.76086", \ + "0.102639, 0.248259, 0.332337, 0.470083, 0.700639, 1.09334, 1.76807", \ + "0.155047, 0.338212, 0.430949, 0.572131, 0.803296, 1.1847, 1.83026", \ + "0.237016, 0.48429, 0.601179, 0.761965, 1.007, 1.39262, 2.02754" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0772661, 0.210776, 0.303278, 0.457088, 0.715343, 1.14756, 1.86795", \ + "0.103328, 0.23849, 0.331612, 0.485887, 0.744682, 1.17638, 1.89675", \ + "0.120563, 0.255716, 0.348853, 0.503023, 0.762082, 1.19334, 1.91351", \ + "0.145595, 0.281808, 0.375069, 0.528889, 0.787686, 1.21925, 1.94258", \ + "0.177159, 0.315908, 0.409416, 0.563674, 0.821888, 1.25296, 1.97264", \ + "0.219281, 0.363553, 0.456327, 0.610779, 0.86933, 1.29863, 2.0175", \ + "0.270317, 0.427266, 0.518113, 0.672204, 0.930314, 1.36089, 2.07921" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0399824, 0.232189, 0.365559, 0.585981, 0.955391, 1.57034, 2.59538", \ + "0.0399834, 0.23219, 0.36556, 0.586843, 0.955392, 1.57035, 2.59539", \ + "0.0399844, 0.232191, 0.365561, 0.586844, 0.957045, 1.57036, 2.5954", \ + "0.0428667, 0.232192, 0.365562, 0.586845, 0.957046, 1.57039, 2.59903", \ + "0.0493914, 0.232193, 0.365563, 0.586846, 0.957047, 1.5704, 2.59904", \ + "0.059194, 0.232194, 0.365564, 0.586847, 0.957048, 1.57041, 2.59905", \ + "0.076224, 0.240743, 0.366841, 0.586848, 0.957049, 1.57042, 2.59906" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0804014, 0.14892, 0.189196, 0.255308, 0.365515, 0.549389, 0.855867", \ + "0.107954, 0.177887, 0.218371, 0.284375, 0.394598, 0.578245, 0.884541", \ + "0.127411, 0.198991, 0.239952, 0.306159, 0.416073, 0.600007, 0.906647", \ + "0.155114, 0.229366, 0.270305, 0.336344, 0.446609, 0.630585, 0.936987", \ + "0.191506, 0.272105, 0.313215, 0.379911, 0.490168, 0.673622, 0.979952", \ + "0.245016, 0.334753, 0.377679, 0.444664, 0.554414, 0.737507, 1.04228", \ + "0.309117, 0.415488, 0.462612, 0.53324, 0.644813, 0.829477, 1.13693" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0233794, 0.0963209, 0.147913, 0.234847, 0.381734, 0.627222, 1.03691", \ + "0.0253802, 0.0970092, 0.148244, 0.234921, 0.382577, 0.627223, 1.03712", \ + "0.0282595, 0.0986944, 0.149514, 0.235567, 0.382578, 0.627608, 1.03757", \ + "0.0337137, 0.101209, 0.151538, 0.237482, 0.383294, 0.628437, 1.03758", \ + "0.0428634, 0.107053, 0.154773, 0.239368, 0.384748, 0.629685, 1.03841", \ + "0.05576, 0.117422, 0.162708, 0.244152, 0.387594, 0.631566, 1.04075", \ + "0.076826, 0.141229, 0.183541, 0.26076, 0.400243, 0.640322, 1.04561" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0507573, 0.188817, 0.282516, 0.437258, 0.696322, 1.12696, 1.84554", \ + "0.072357, 0.21597, 0.310316, 0.465458, 0.72505, 1.15588, 1.87564", \ + "0.0841386, 0.240075, 0.33524, 0.490584, 0.750015, 1.18243, 1.90105", \ + "0.0978779, 0.280895, 0.381629, 0.540018, 0.799832, 1.23208, 1.95076", \ + "0.110375, 0.343412, 0.458439, 0.630216, 0.898611, 1.3323, 2.05143", \ + "0.131804, 0.428927, 0.567113, 0.768759, 1.06533, 1.52056, 2.24634", \ + "0.156712, 0.544392, 0.721503, 0.965923, 1.32089, 1.83761, 2.61464" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0318861, 0.22292, 0.355614, 0.575294, 0.943237, 1.55558, 2.57884", \ + "0.0385971, 0.223485, 0.358276, 0.575525, 0.943652, 1.55619, 2.57885", \ + "0.0480356, 0.229353, 0.358553, 0.576588, 0.944005, 1.55687, 2.57886", \ + "0.0671336, 0.249507, 0.373481, 0.583738, 0.945209, 1.55688, 2.57887", \ + "0.104422, 0.297857, 0.418452, 0.620744, 0.967123, 1.56395, 2.57922", \ + "0.171317, 0.380814, 0.508918, 0.713873, 1.04998, 1.61902, 2.60323", \ + "0.264768, 0.526273, 0.667255, 0.888445, 1.23713, 1.80052, 2.73918" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0396425, 0.140511, 0.208597, 0.320417, 0.507715, 0.819294, 1.3382", \ + "0.064482, 0.177565, 0.245913, 0.357802, 0.544929, 0.856397, 1.37563", \ + "0.079543, 0.210534, 0.282255, 0.395176, 0.582158, 0.893638, 1.41334", \ + "0.100095, 0.261217, 0.34384, 0.466252, 0.657071, 0.968383, 1.48738", \ + "0.127056, 0.332606, 0.434528, 0.578692, 0.791571, 1.11558, 1.63597", \ + "0.163705, 0.43188, 0.562194, 0.743959, 1.00082, 1.37277, 1.92661", \ + "0.212002, 0.559682, 0.733533, 0.971772, 1.2992, 1.75887, 2.41033" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0306183, 0.160157, 0.250464, 0.399601, 0.649639, 1.06637, 1.7589", \ + "0.0449841, 0.16569, 0.252695, 0.401135, 0.649896, 1.06638, 1.76022", \ + "0.0582569, 0.181665, 0.264006, 0.405102, 0.65135, 1.06639, 1.76069", \ + "0.0801818, 0.217541, 0.298892, 0.432468, 0.665254, 1.06947, 1.7607", \ + "0.113471, 0.281069, 0.368045, 0.503431, 0.726375, 1.10844, 1.77474", \ + "0.167271, 0.384893, 0.489204, 0.637312, 0.870267, 1.2419, 1.86828", \ + "0.257116, 0.55142, 0.685315, 0.865724, 1.12893, 1.52479, 2.15324" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00874572, 0.00924182, 0.00925032, 0.00920706, 0.00908771, 0.00881274, 0.0085902", \ + "0.00856719, 0.00891576, 0.00900288, 0.00904684, 0.00892067, 0.00859682, 0.0085868", \ + "0.00877302, 0.00905244, 0.00906418, 0.00924436, 0.0089708, 0.00876022, 0.0086295", \ + "0.00944452, 0.00953862, 0.00957945, 0.00974618, 0.00947366, 0.00927283, 0.00934089", \ + "0.0111515, 0.0110983, 0.0110976, 0.0110184, 0.0112362, 0.0107192, 0.010621", \ + "0.0150821, 0.014679, 0.0146459, 0.014653, 0.014508, 0.0143156, 0.014725", \ + "0.0231748, 0.022314, 0.0221117, 0.0219901, 0.021964, 0.0218136, 0.0214335" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0106211, 0.0108547, 0.0107923, 0.0106912, 0.0105582, 0.0103909, 0.00995676", \ + "0.0103981, 0.0107563, 0.0108744, 0.010683, 0.0105222, 0.0102892, 0.0098968", \ + "0.0105279, 0.010789, 0.0107793, 0.0108794, 0.0105953, 0.010411, 0.0100506", \ + "0.0109558, 0.011132, 0.0111728, 0.0109711, 0.0110103, 0.0106441, 0.0104801", \ + "0.0124061, 0.0124878, 0.0125562, 0.0125202, 0.0124553, 0.0120776, 0.0127666", \ + "0.0163278, 0.0159239, 0.0158389, 0.0159741, 0.015963, 0.0158684, 0.0150984", \ + "0.0246703, 0.0235907, 0.0235413, 0.0232773, 0.0233075, 0.0231816, 0.023301" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00943426, 0.00888824, 0.00859892, 0.00832233, 0.00800912, 0.00760763, 0.00736713", \ + "0.00864657, 0.0085186, 0.00835086, 0.00815851, 0.00779605, 0.007421, 0.00725405", \ + "0.00864325, 0.00863571, 0.00845742, 0.00845326, 0.0081154, 0.00757475, 0.00763844", \ + "0.00915715, 0.00913388, 0.00909853, 0.00907011, 0.00864923, 0.0082633, 0.0083168", \ + "0.0107863, 0.0107037, 0.0106734, 0.0105318, 0.0105621, 0.0100188, 0.00985383", \ + "0.0144872, 0.0142382, 0.0141672, 0.0141791, 0.0139845, 0.0136318, 0.0135035", \ + "0.0227076, 0.0220101, 0.0217649, 0.0216874, 0.0215602, 0.0213828, 0.0208464" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00987958, 0.00969017, 0.00947242, 0.00932639, 0.00910423, 0.00879374, 0.00838449", \ + "0.009722, 0.00973287, 0.00970876, 0.00940037, 0.00927166, 0.00886157, 0.00840633", \ + "0.0100194, 0.0100064, 0.00988897, 0.00984507, 0.00960891, 0.00920261, 0.00886871", \ + "0.0107815, 0.0107762, 0.0106787, 0.0103511, 0.0101728, 0.00976084, 0.00921481", \ + "0.0126311, 0.0124944, 0.0123895, 0.0124006, 0.0121414, 0.0115064, 0.0117841", \ + "0.0168422, 0.0161076, 0.0160272, 0.0160842, 0.0159108, 0.0155081, 0.0146855", \ + "0.0256036, 0.0241026, 0.0240676, 0.0237723, 0.0236194, 0.023192, 0.0232049" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00575369; + rise_capacitance : 0.00581266; + rise_capacitance_range (0.00581266, 0.00581266); + fall_capacitance : 0.00569473; + fall_capacitance_range (0.00569473, 0.00569473); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00508898; + rise_capacitance : 0.00515481; + rise_capacitance_range (0.00515481, 0.00515481); + fall_capacitance : 0.00502315; + fall_capacitance_range (0.00502315, 0.00502315); + } + } +} diff --git a/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_typ_1p20V_25C.lib b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_typ_1p20V_25C.lib index 1dfd64a201..cfc10040bc 100644 --- a/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_typ_1p20V_25C.lib +++ b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_typ_1p20V_25C.lib @@ -34,7 +34,7 @@ library (sg13g2_stdcell_typ_1p20V_25C) { default_max_fanout : 8; default_wire_load_area : 0.29; default_wire_load_capacitance : 0.0003; - default_wire_load_mode : "enclosed"; + default_wire_load_mode : "top"; default_wire_load_resistance : 0.0057; default_fanout_load : 1; default_cell_leakage_power : 0; @@ -58,114 +58,114 @@ library (sg13g2_stdcell_typ_1p20V_25C) { temperature : 25; voltage : 1.2; } - wire_load("Zero") { - capacitance: 0.0001; - resistance: 0.0001; - area : 0.01; - slope : 1.0; - fanout_length(1, 0.01); - fanout_length(5, 0.01); - fanout_length(20, 0.01); -} -wire_load("0_1k") { - capacitance: 0.0001; - resistance: 0.0035; - area : 0.36; - slope: 10.83; - fanout_length(1, 6.43); - fanout_length(5, 38.56); - fanout_length(20, 146.8); -} -wire_load("0_5k") { - capacitance: 0.0001; - resistance: 0.0042; - area : 0.36; - slope: 10.99; - fanout_length(1, 7.89); - fanout_length(5, 47.32); - fanout_length(20, 169.4); -} -wire_load("1k") { - capacitance: 0.0002; - resistance: 0.0053; - area : 0.36; - slope: 10.9; - fanout_length(1, 9.93); - fanout_length(5, 59.59); - fanout_length(20, 215.6); -} -wire_load("2k") { - capacitance: 0.0002; - resistance: 0.0063; - area : 0.36; - slope: 16.87; - fanout_length(1, 11.68); - fanout_length(5, 70.11); - fanout_length(20, 239.5); -} -wire_load("5k") { - capacitance: 0.0003; - resistance: 0.0072; - area : 0.36; - slope: 14.58; - fanout_length(1, 13.44); - fanout_length(5, 80.62); - fanout_length(20, 287.1); -} -wire_load("10k") { - capacitance: 0.0003; - resistance: 0.008; - area : 0.37; - slope: 18.94; - fanout_length(1, 14.9); - fanout_length(5, 89.39); - fanout_length(20, 381.6); -} -wire_load("30k") { - capacitance: 0.0003; - resistance: 0.0094; - area : 0.37; - slope: 24.61; - fanout_length(1, 17.53); - fanout_length(5, 105.2); - fanout_length(20, 515.5); -} -wire_load("50k") { - capacitance: 0.0005; - resistance: 0.0152; - area : 0.37; - slope: 31.81; - fanout_length(1, 28.33); - fanout_length(5, 170); - fanout_length(20, 607.6); -} -wire_load("100k") { - capacitance: 0.0009; - resistance: 0.0265; + wire_load (Zero) { + area : 0.01; + capacitance : 0.0001; + resistance : 0.0001; + slope : 1; + fanout_length (1, 0.01); + fanout_length (5, 0.01); + fanout_length (20, 0.01); + } + wire_load ("0_1k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0035; + slope : 7.387; + fanout_length (1, 6.43); + fanout_length (5, 38.56); + fanout_length (20, 146.8); + } + wire_load ("0_5k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0042; + slope : 10.99; + fanout_length (1, 7.89); + fanout_length (5, 47.32); + fanout_length (20, 169.4); + } + wire_load ("1k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0053; + slope : 10.9; + fanout_length (1, 9.93); + fanout_length (5, 59.59); + fanout_length (20, 215.6); + } + wire_load ("2k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0063; + slope : 16.87; + fanout_length (1, 11.68); + fanout_length (5, 70.11); + fanout_length (20, 239.5); + } + wire_load ("5k") { + area : 0.36; + capacitance : 0.0003; + resistance : 0.0072; + slope : 14.58; + fanout_length (1, 13.44); + fanout_length (5, 80.62); + fanout_length (20, 287.1); + } + wire_load ("10k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.008; + slope : 18.94; + fanout_length (1, 14.9); + fanout_length (5, 89.39); + fanout_length (20, 381.6); + } + wire_load ("30k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.0094; + slope : 24.61; + fanout_length (1, 17.53); + fanout_length (5, 105.2); + fanout_length (20, 515.5); + } + wire_load ("50k") { + area : 0.37; + capacitance : 0.0005; + resistance : 0.0152; + slope : 31.81; + fanout_length (1, 28.33); + fanout_length (5, 170); + fanout_length (20, 607.6); + } + wire_load ("100k") { area : 0.39; - slope: 40.22; - fanout_length(1, 49.37); - fanout_length(5, 296.2); - fanout_length(20, 812.1); -} -wire_load("200k") { - capacitance: 0.0016; - resistance: 0.0456; + capacitance : 0.0009; + resistance : 0.0265; + slope : 40.22; + fanout_length (1, 49.37); + fanout_length (5, 296.2); + fanout_length (20, 812.1); + } + wire_load ("200k") { area : 0.41; - slope: 52.68; - fanout_length(1, 84.71); - fanout_length(5, 508.3); - fanout_length(20, 1051.7); -} -wire_load("500k") { - capacitance: 0.0027; - resistance: 0.076; + capacitance : 0.0016; + resistance : 0.0456; + slope : 52.68; + fanout_length (1, 84.71); + fanout_length (5, 508.3); + fanout_length (20, 1051.7); + } + wire_load ("500k") { area : 0.45; - slope: 67.44; - fanout_length(1, 141.4); - fanout_length(5, 848.3); - fanout_length(20, 1527.1); -} + capacitance : 0.0027; + resistance : 0.076; + slope : 67.44; + fanout_length (1, 141.4); + fanout_length (5, 848.3); + fanout_length (20, 1527.1); + } wire_load_selection ("4_metls_routing") { wire_load_from_area (0, 635, 0_1k); wire_load_from_area (635, 3175, 0_5k); @@ -179,7 +179,7 @@ wire_load("500k") { wire_load_from_area (635040, 1.27008e+06, 200k); wire_load_from_area (1.27008e+06, 3.1752e+06, 500k); } - default_wire_load : "10k"; + default_wire_load : "1k"; default_operating_conditions : sg13g2_stdcell_typ_1p20V_25C; default_wire_load_selection : "4_metls_routing"; lu_table_template (CONSTRAINT_4x4) { diff --git a/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_typ_1p50V_25C.lib b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_typ_1p50V_25C.lib new file mode 100644 index 0000000000..a5b28dd097 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/lib/sg13g2_stdcell_typ_1p50V_25C.lib @@ -0,0 +1,28275 @@ +/************************************************************************ + + Copyright 2024 IHP PDK Authors + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + https://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. + +************************************************************************/ + +library (sg13g2_stdcell_typ_1p50V_25C) { + comment : "IHP Microelectronics GmbH, 2024"; + date : "$Date: Thu Mar 14 14:52:32 2024 $"; + revision : "$Revision: 0.1.0 $"; + delay_model : table_lookup; + capacitive_load_unit (1,pf); + current_unit : "1uA"; + leakage_power_unit : "1pW"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ns"; + voltage_unit : "1V"; + default_inout_pin_cap : 0.006; + default_input_pin_cap : 0.006; + default_leakage_power_density : 0; + default_max_capacitance : 0.3; + default_max_fanout : 8; + default_wire_load_area : 0.29; + default_wire_load_capacitance : 0.0003; + default_wire_load_mode : "top"; + default_wire_load_resistance : 0.0057; + default_fanout_load : 1; + default_cell_leakage_power : 0; + default_output_pin_cap : 0; + default_max_transition : 2.5074; + in_place_swap_mode : match_footprint; + input_threshold_pct_fall : 50; + input_threshold_pct_rise : 50; + nom_process : 1; + nom_temperature : 25; + nom_voltage : 1.5; + output_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + slew_derate_from_library : 1; + slew_lower_threshold_pct_fall : 20; + slew_lower_threshold_pct_rise : 20; + slew_upper_threshold_pct_fall : 80; + slew_upper_threshold_pct_rise : 80; + operating_conditions (sg13g2_stdcell_typ_1p50V_25C) { + process : 1; + temperature : 25; + voltage : 1.5; + } + wire_load (Zero) { + area : 0.01; + capacitance : 0.0001; + resistance : 0.0001; + slope : 1; + fanout_length (1, 0.01); + fanout_length (5, 0.01); + fanout_length (20, 0.01); + } + wire_load ("0_1k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0035; + slope : 7.387; + fanout_length (1, 6.43); + fanout_length (5, 38.56); + fanout_length (20, 146.8); + } + wire_load ("0_5k") { + area : 0.36; + capacitance : 0.0001; + resistance : 0.0042; + slope : 10.99; + fanout_length (1, 7.89); + fanout_length (5, 47.32); + fanout_length (20, 169.4); + } + wire_load ("1k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0053; + slope : 10.9; + fanout_length (1, 9.93); + fanout_length (5, 59.59); + fanout_length (20, 215.6); + } + wire_load ("2k") { + area : 0.36; + capacitance : 0.0002; + resistance : 0.0063; + slope : 16.87; + fanout_length (1, 11.68); + fanout_length (5, 70.11); + fanout_length (20, 239.5); + } + wire_load ("5k") { + area : 0.36; + capacitance : 0.0003; + resistance : 0.0072; + slope : 14.58; + fanout_length (1, 13.44); + fanout_length (5, 80.62); + fanout_length (20, 287.1); + } + wire_load ("10k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.008; + slope : 18.94; + fanout_length (1, 14.9); + fanout_length (5, 89.39); + fanout_length (20, 381.6); + } + wire_load ("30k") { + area : 0.37; + capacitance : 0.0003; + resistance : 0.0094; + slope : 24.61; + fanout_length (1, 17.53); + fanout_length (5, 105.2); + fanout_length (20, 515.5); + } + wire_load ("50k") { + area : 0.37; + capacitance : 0.0005; + resistance : 0.0152; + slope : 31.81; + fanout_length (1, 28.33); + fanout_length (5, 170); + fanout_length (20, 607.6); + } + wire_load ("100k") { + area : 0.39; + capacitance : 0.0009; + resistance : 0.0265; + slope : 40.22; + fanout_length (1, 49.37); + fanout_length (5, 296.2); + fanout_length (20, 812.1); + } + wire_load ("200k") { + area : 0.41; + capacitance : 0.0016; + resistance : 0.0456; + slope : 52.68; + fanout_length (1, 84.71); + fanout_length (5, 508.3); + fanout_length (20, 1051.7); + } + wire_load ("500k") { + area : 0.45; + capacitance : 0.0027; + resistance : 0.076; + slope : 67.44; + fanout_length (1, 141.4); + fanout_length (5, 848.3); + fanout_length (20, 1527.1); + } + wire_load_selection ("4_metls_routing") { + wire_load_from_area (0, 635, 0_1k); + wire_load_from_area (635, 3175, 0_5k); + wire_load_from_area (3175, 6350, 1k); + wire_load_from_area (6350, 12701, 2k); + wire_load_from_area (12701, 31752, 5k); + wire_load_from_area (31752, 63504, 10k); + wire_load_from_area (63504, 190512, 30k); + wire_load_from_area (190512, 317520, 50k); + wire_load_from_area (317520, 635040, 100k); + wire_load_from_area (635040, 1.27008e+06, 200k); + wire_load_from_area (1.27008e+06, 3.1752e+06, 500k); + } + default_wire_load : "1k"; + default_operating_conditions : sg13g2_stdcell_typ_1p50V_25C; + default_wire_load_selection : "4_metls_routing"; + lu_table_template (CONSTRAINT_4x4) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + } + power_lut_template (POWER_7x7ds1) { + variable_1 : input_transition_time; + variable_2 : total_output_net_capacitance; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + } + lu_table_template (TIMING_DELAY_7x7ds1) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + } + lu_table_template (mpw_CONSTRAINT_4x4) { + variable_1 : constrained_pin_transition; + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + } + power_lut_template (passive_POWER_7x1ds1) { + variable_1 : input_transition_time; + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + } + cell (sg13g2_a21o_1) { + area : 12.7008; + cell_footprint : "AO21"; + cell_leakage_power : 458.077; + leakage_power () { + value : 437.718; + when : "!A1&!A2&!B1"; + } + leakage_power () { + value : 521.369; + when : "!A1&A2&!B1"; + } + leakage_power () { + value : 405.417; + when : "A1&!A2&!B1"; + } + leakage_power () { + value : 469.615; + when : "A1&A2&!B1"; + } + leakage_power () { + value : 407.421; + when : "!A1&!A2&B1"; + } + leakage_power () { + value : 471.231; + when : "!A1&A2&B1"; + } + leakage_power () { + value : 471.22; + when : "A1&!A2&B1"; + } + leakage_power () { + value : 480.629; + when : "A1&A2&B1"; + } + pin (X) { + direction : "output"; + function : "((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0555676, 0.105924, 0.137151, 0.188458, 0.273692, 0.415643, 0.651838", \ + "0.0806446, 0.131541, 0.162892, 0.214231, 0.29965, 0.4415, 0.677846", \ + "0.0979435, 0.149734, 0.180941, 0.232162, 0.317592, 0.459687, 0.69623", \ + "0.119417, 0.173487, 0.204802, 0.255752, 0.341153, 0.483423, 0.719748", \ + "0.150568, 0.208362, 0.239343, 0.290585, 0.375789, 0.51786, 0.754294", \ + "0.193822, 0.259772, 0.291604, 0.342136, 0.427984, 0.570056, 0.806271", \ + "0.257646, 0.335609, 0.370617, 0.423744, 0.509922, 0.654588, 0.893334" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149595, 0.0771776, 0.122385, 0.197937, 0.324433, 0.53577, 0.887521", \ + "0.0172427, 0.0778887, 0.122852, 0.198077, 0.32454, 0.535771, 0.887684", \ + "0.0196679, 0.0786522, 0.123348, 0.198489, 0.324891, 0.535808, 0.888932", \ + "0.0235735, 0.0802144, 0.124182, 0.199011, 0.325365, 0.536254, 0.888933", \ + "0.0291863, 0.0839415, 0.126642, 0.200307, 0.32635, 0.537152, 0.888934", \ + "0.038697, 0.092126, 0.132314, 0.204879, 0.329784, 0.53983, 0.890167", \ + "0.053413, 0.109326, 0.146573, 0.215554, 0.339318, 0.548954, 0.898364" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0624676, 0.108442, 0.134342, 0.176073, 0.245476, 0.360715, 0.552861", \ + "0.0809506, 0.128104, 0.154121, 0.195994, 0.265353, 0.380669, 0.57258", \ + "0.0921867, 0.141043, 0.167391, 0.209435, 0.27892, 0.394364, 0.58639", \ + "0.108542, 0.160188, 0.186951, 0.229052, 0.298617, 0.414156, 0.60627", \ + "0.131407, 0.18948, 0.217577, 0.260341, 0.329824, 0.445616, 0.637458", \ + "0.153611, 0.219646, 0.250457, 0.296661, 0.367922, 0.485893, 0.678092", \ + "0.183891, 0.261717, 0.297071, 0.34769, 0.424461, 0.547823, 0.746994" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145847, 0.0612365, 0.0938724, 0.148779, 0.24231, 0.398627, 0.6594", \ + "0.016242, 0.0622936, 0.0944527, 0.149241, 0.242366, 0.399182, 0.659449", \ + "0.0181029, 0.0637523, 0.095584, 0.149946, 0.242714, 0.399183, 0.660234", \ + "0.0219913, 0.0667961, 0.0978776, 0.151375, 0.243666, 0.399297, 0.660235", \ + "0.0286672, 0.0738365, 0.1035, 0.155038, 0.24558, 0.400362, 0.660447", \ + "0.037458, 0.084904, 0.114626, 0.166077, 0.254435, 0.406624, 0.664211", \ + "0.052385, 0.104655, 0.134073, 0.184979, 0.273977, 0.426812, 0.681888" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0577657, 0.108134, 0.139439, 0.190474, 0.275898, 0.417983, 0.653973", \ + "0.0786308, 0.129649, 0.160965, 0.212187, 0.297605, 0.439635, 0.675829", \ + "0.093678, 0.145749, 0.177019, 0.228357, 0.313715, 0.455762, 0.692238", \ + "0.112081, 0.166768, 0.197834, 0.248797, 0.334286, 0.476321, 0.712757", \ + "0.138301, 0.196849, 0.228158, 0.279481, 0.36468, 0.506887, 0.743287", \ + "0.17509, 0.24084, 0.273508, 0.325469, 0.41177, 0.554636, 0.791012", \ + "0.220826, 0.298822, 0.334724, 0.388757, 0.476866, 0.622915, 0.862518" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0150221, 0.0771734, 0.122456, 0.197829, 0.324422, 0.535653, 0.887545", \ + "0.0165551, 0.0777549, 0.122713, 0.198011, 0.324439, 0.535939, 0.887546", \ + "0.0184004, 0.0784721, 0.123155, 0.19825, 0.324706, 0.53594, 0.890874", \ + "0.0216503, 0.0803701, 0.124095, 0.198747, 0.325087, 0.535941, 0.890875", \ + "0.0268963, 0.0839087, 0.126599, 0.200515, 0.326126, 0.536688, 0.890876", \ + "0.035201, 0.091878, 0.132928, 0.205341, 0.330247, 0.539933, 0.890877", \ + "0.048414, 0.108342, 0.147218, 0.216844, 0.340966, 0.550519, 0.89959" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0689655, 0.115683, 0.141743, 0.183645, 0.253025, 0.368516, 0.560616", \ + "0.0889754, 0.136679, 0.162974, 0.204889, 0.274322, 0.389661, 0.581782", \ + "0.101593, 0.150691, 0.17724, 0.219437, 0.288954, 0.404447, 0.596608", \ + "0.120437, 0.172017, 0.198917, 0.241181, 0.310829, 0.42644, 0.61861", \ + "0.148369, 0.205455, 0.233347, 0.276191, 0.345793, 0.461547, 0.653867", \ + "0.179035, 0.243239, 0.27321, 0.318108, 0.390379, 0.507348, 0.699661", \ + "0.227659, 0.302558, 0.336276, 0.384977, 0.460917, 0.58232, 0.77945" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0155383, 0.061941, 0.0943047, 0.149238, 0.242418, 0.398849, 0.659608", \ + "0.0167714, 0.0627327, 0.0949743, 0.149565, 0.242678, 0.399017, 0.659698", \ + "0.0185787, 0.0640624, 0.0959597, 0.150284, 0.243126, 0.399054, 0.660591", \ + "0.0219763, 0.0667522, 0.0978791, 0.151497, 0.243726, 0.399595, 0.660592", \ + "0.0278679, 0.0727515, 0.102669, 0.154552, 0.245422, 0.400626, 0.660699", \ + "0.035694, 0.082502, 0.111944, 0.163046, 0.252353, 0.40514, 0.663127", \ + "0.049152, 0.099219, 0.128273, 0.178584, 0.268157, 0.42086, 0.676952" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0380163, 0.0854118, 0.116252, 0.167131, 0.2522, 0.393979, 0.630144", \ + "0.0591346, 0.107948, 0.138941, 0.189846, 0.274943, 0.416627, 0.652865", \ + "0.0722237, 0.122909, 0.153745, 0.20482, 0.289901, 0.431563, 0.667758", \ + "0.0870031, 0.140949, 0.171715, 0.222648, 0.307605, 0.44937, 0.685402", \ + "0.104984, 0.16487, 0.195899, 0.246836, 0.33174, 0.473558, 0.709583", \ + "0.123103, 0.192804, 0.225618, 0.277281, 0.363172, 0.505803, 0.742109", \ + "0.13362, 0.21782, 0.255404, 0.309778, 0.398043, 0.543592, 0.783214" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0125176, 0.0750122, 0.120668, 0.196356, 0.323063, 0.53431, 0.886297", \ + "0.0165932, 0.0756487, 0.120965, 0.196459, 0.323141, 0.534311, 0.886314", \ + "0.0196947, 0.0764977, 0.121338, 0.19671, 0.323298, 0.534357, 0.887976", \ + "0.0242708, 0.0786112, 0.122305, 0.197135, 0.323615, 0.534561, 0.887977", \ + "0.0316065, 0.0837997, 0.125528, 0.19902, 0.324667, 0.535289, 0.887978", \ + "0.0418843, 0.095159, 0.134079, 0.204891, 0.328938, 0.538457, 0.888625", \ + "0.056397, 0.117637, 0.153875, 0.2197, 0.340637, 0.54911, 0.897948" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0616643, 0.10849, 0.134538, 0.17636, 0.245923, 0.36127, 0.553378", \ + "0.0869686, 0.134621, 0.160886, 0.202887, 0.272316, 0.387761, 0.579845", \ + "0.104535, 0.153248, 0.179628, 0.22186, 0.291537, 0.40712, 0.599137", \ + "0.130435, 0.180665, 0.207158, 0.249311, 0.319147, 0.435149, 0.627267", \ + "0.169697, 0.224345, 0.251351, 0.293535, 0.363019, 0.478781, 0.671299", \ + "0.215387, 0.278273, 0.307028, 0.350834, 0.421557, 0.537834, 0.73065", \ + "0.294708, 0.369374, 0.402196, 0.448927, 0.522723, 0.643769, 0.839527" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0155249, 0.0620249, 0.094396, 0.149298, 0.242551, 0.398861, 0.659607", \ + "0.0174746, 0.0631051, 0.0952133, 0.149738, 0.242826, 0.399261, 0.659672", \ + "0.0197377, 0.0644478, 0.0963448, 0.150757, 0.243405, 0.399262, 0.661031", \ + "0.0235534, 0.0664342, 0.0977814, 0.151806, 0.24443, 0.400094, 0.661032", \ + "0.0301729, 0.0712734, 0.101015, 0.153606, 0.2454, 0.401473, 0.661542", \ + "0.040238, 0.08198, 0.110057, 0.161063, 0.251037, 0.404423, 0.663339", \ + "0.055471, 0.101038, 0.128247, 0.177151, 0.266094, 0.41922, 0.675386" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0359022, 0.0823196, 0.113199, 0.164028, 0.249293, 0.390949, 0.626962", \ + "0.0557201, 0.102653, 0.133489, 0.184383, 0.269648, 0.411339, 0.647494", \ + "0.0677735, 0.115805, 0.14661, 0.197386, 0.282447, 0.424201, 0.660528", \ + "0.0805117, 0.131053, 0.161604, 0.212175, 0.296716, 0.438354, 0.674436", \ + "0.0954015, 0.15149, 0.182217, 0.233019, 0.317863, 0.457806, 0.693902", \ + "0.1085, 0.174477, 0.206188, 0.257391, 0.343465, 0.485745, 0.721461", \ + "0.111903, 0.191952, 0.228342, 0.281956, 0.370726, 0.515748, 0.755145" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108492, 0.0749229, 0.120648, 0.196325, 0.323207, 0.53429, 0.886221", \ + "0.0141217, 0.0753851, 0.120956, 0.196496, 0.323208, 0.534291, 0.886591", \ + "0.0166618, 0.0759914, 0.121234, 0.196749, 0.323277, 0.534371, 0.887766", \ + "0.0207719, 0.0774928, 0.121998, 0.197221, 0.323643, 0.534598, 0.887767", \ + "0.0274433, 0.0817823, 0.124733, 0.198998, 0.324954, 0.535333, 0.887768", \ + "0.0381023, 0.092461, 0.132462, 0.204754, 0.329579, 0.538969, 0.889151", \ + "0.055131, 0.113781, 0.150832, 0.218796, 0.341741, 0.550647, 0.899224" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0541865, 0.100147, 0.125971, 0.167789, 0.237113, 0.352418, 0.544541", \ + "0.0775711, 0.124618, 0.150888, 0.192611, 0.262014, 0.37737, 0.569309", \ + "0.0932966, 0.141456, 0.167777, 0.209949, 0.279674, 0.39507, 0.587278", \ + "0.11672, 0.166588, 0.192868, 0.234878, 0.304517, 0.420113, 0.612526", \ + "0.152517, 0.206991, 0.234059, 0.276057, 0.34489, 0.46026, 0.65235", \ + "0.193922, 0.256275, 0.285256, 0.329015, 0.40033, 0.516411, 0.709159", \ + "0.268058, 0.341539, 0.374581, 0.421482, 0.496266, 0.616915, 0.813492" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145805, 0.0611683, 0.0937438, 0.148768, 0.242261, 0.398808, 0.6594", \ + "0.0169863, 0.0627184, 0.0949115, 0.149543, 0.242448, 0.398809, 0.659426", \ + "0.0192981, 0.0640011, 0.0960154, 0.150479, 0.243229, 0.399057, 0.660641", \ + "0.0233993, 0.0662299, 0.0975296, 0.151742, 0.244332, 0.400111, 0.660642", \ + "0.0301422, 0.0715805, 0.10129, 0.153889, 0.245405, 0.401085, 0.661483", \ + "0.040071, 0.08285, 0.111428, 0.161973, 0.252031, 0.405032, 0.663674", \ + "0.056057, 0.102247, 0.129738, 0.179437, 0.268411, 0.421083, 0.677056" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0380163, 0.0854118, 0.116252, 0.167131, 0.2522, 0.393979, 0.630144", \ + "0.0591346, 0.107948, 0.138941, 0.189846, 0.274943, 0.416627, 0.652865", \ + "0.0722237, 0.122909, 0.153745, 0.20482, 0.289901, 0.431563, 0.667758", \ + "0.0870031, 0.140949, 0.171715, 0.222648, 0.307605, 0.44937, 0.685402", \ + "0.104984, 0.16487, 0.195899, 0.246836, 0.33174, 0.473558, 0.709583", \ + "0.123103, 0.192804, 0.225618, 0.277281, 0.363172, 0.505803, 0.742109", \ + "0.13362, 0.21782, 0.255404, 0.309778, 0.398043, 0.543592, 0.783214" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0125176, 0.0750122, 0.120668, 0.196356, 0.323063, 0.53431, 0.886297", \ + "0.0165932, 0.0756487, 0.120965, 0.196459, 0.323141, 0.534311, 0.886314", \ + "0.0196947, 0.0764977, 0.121338, 0.19671, 0.323298, 0.534357, 0.887976", \ + "0.0242708, 0.0786112, 0.122305, 0.197135, 0.323615, 0.534561, 0.887977", \ + "0.0316065, 0.0837997, 0.125528, 0.19902, 0.324667, 0.535289, 0.887978", \ + "0.0418843, 0.095159, 0.134079, 0.204891, 0.328938, 0.538457, 0.888625", \ + "0.056397, 0.117637, 0.153875, 0.2197, 0.340637, 0.54911, 0.897948" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0616643, 0.10849, 0.134538, 0.17636, 0.245923, 0.36127, 0.553378", \ + "0.0869686, 0.134621, 0.160886, 0.202887, 0.272316, 0.387761, 0.579845", \ + "0.104535, 0.153248, 0.179628, 0.22186, 0.291537, 0.40712, 0.599137", \ + "0.130435, 0.180665, 0.207158, 0.249311, 0.319147, 0.435149, 0.627267", \ + "0.169697, 0.224345, 0.251351, 0.293535, 0.363019, 0.478781, 0.671299", \ + "0.215387, 0.278273, 0.307028, 0.350834, 0.421557, 0.537834, 0.73065", \ + "0.294708, 0.369374, 0.402196, 0.448927, 0.522723, 0.643769, 0.839527" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0155249, 0.0620249, 0.094396, 0.149298, 0.242551, 0.398861, 0.659607", \ + "0.0174746, 0.0631051, 0.0952133, 0.149738, 0.242826, 0.399261, 0.659672", \ + "0.0197377, 0.0644478, 0.0963448, 0.150757, 0.243405, 0.399262, 0.661031", \ + "0.0235534, 0.0664342, 0.0977814, 0.151806, 0.24443, 0.400094, 0.661032", \ + "0.0301729, 0.0712734, 0.101015, 0.153606, 0.2454, 0.401473, 0.661542", \ + "0.040238, 0.08198, 0.110057, 0.161063, 0.251037, 0.404423, 0.663339", \ + "0.055471, 0.101038, 0.128247, 0.177151, 0.266094, 0.41922, 0.675386" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114806, 0.0119466, 0.0119987, 0.0120378, 0.0119048, 0.011633, 0.0111581", \ + "0.0118508, 0.0119083, 0.0120697, 0.0120037, 0.0119391, 0.0115883, 0.0111734", \ + "0.0126024, 0.0125087, 0.0124883, 0.0125304, 0.01244, 0.012399, 0.0119234", \ + "0.0147274, 0.0142531, 0.0143203, 0.0142387, 0.0139951, 0.0143624, 0.0141752", \ + "0.0193738, 0.0183465, 0.0182654, 0.0181943, 0.018104, 0.0176375, 0.0179155", \ + "0.0295053, 0.0274937, 0.0272327, 0.0268725, 0.0266041, 0.026406, 0.0258531", \ + "0.0501072, 0.0466376, 0.0460795, 0.0455828, 0.0450384, 0.0445501, 0.044072" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0129329, 0.0135037, 0.0135601, 0.0135118, 0.0134226, 0.0131402, 0.0126933", \ + "0.012726, 0.0130247, 0.013111, 0.0133516, 0.0130522, 0.0129283, 0.0122425", \ + "0.0131325, 0.0133449, 0.0133918, 0.0133357, 0.0136524, 0.0134272, 0.0126476", \ + "0.0148963, 0.0146366, 0.0146726, 0.0146756, 0.0144297, 0.0144585, 0.014098", \ + "0.0194625, 0.0185604, 0.0185798, 0.0185091, 0.0185022, 0.0183319, 0.0174225", \ + "0.0292669, 0.0273929, 0.027272, 0.0273798, 0.0269156, 0.0272309, 0.027009", \ + "0.0497778, 0.0465933, 0.0460923, 0.0457551, 0.0452577, 0.0449816, 0.0450356" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0138033, 0.014278, 0.0143642, 0.0143186, 0.0141944, 0.0139041, 0.0134361", \ + "0.0139139, 0.0140816, 0.0142245, 0.014181, 0.014498, 0.0138429, 0.0132454", \ + "0.0143903, 0.0144548, 0.0144475, 0.0145106, 0.0145297, 0.0143575, 0.0142626", \ + "0.0161212, 0.015861, 0.0158824, 0.0158339, 0.0156949, 0.0160587, 0.0152398", \ + "0.0203272, 0.0195008, 0.0194457, 0.0193932, 0.0193031, 0.0190908, 0.0193669", \ + "0.0299198, 0.0283545, 0.0281393, 0.0278291, 0.0276753, 0.0276138, 0.0270912", \ + "0.0499396, 0.0470167, 0.0464685, 0.0459161, 0.0455136, 0.045214, 0.0449224" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130923, 0.0134927, 0.0135345, 0.0135277, 0.0133938, 0.0132301, 0.0126968", \ + "0.0129686, 0.0132125, 0.0133183, 0.013443, 0.0131879, 0.0129828, 0.0123926", \ + "0.0133649, 0.0134827, 0.0135078, 0.0134988, 0.0138479, 0.0132891, 0.0129668", \ + "0.0150624, 0.0147371, 0.0147851, 0.0148296, 0.0145746, 0.0146472, 0.014251", \ + "0.0195572, 0.0187138, 0.0187582, 0.018721, 0.0186678, 0.0185758, 0.0178428", \ + "0.0291653, 0.0274441, 0.0272288, 0.0271604, 0.0272084, 0.0273733, 0.0270772", \ + "0.0493037, 0.0462121, 0.0457371, 0.0452545, 0.0450175, 0.0447825, 0.0447512" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112567, 0.0119193, 0.0118594, 0.0117849, 0.0116095, 0.0112599, 0.0107927", \ + "0.011467, 0.011771, 0.0119244, 0.0118339, 0.0122908, 0.0113564, 0.010912", \ + "0.0124158, 0.0124688, 0.0124671, 0.0125219, 0.0124106, 0.0120663, 0.0118726", \ + "0.0147885, 0.0143904, 0.014425, 0.0144514, 0.0141942, 0.0143106, 0.0140189", \ + "0.0199371, 0.0189036, 0.01878, 0.0187441, 0.0186419, 0.0183322, 0.0184966", \ + "0.0308706, 0.0286218, 0.0284049, 0.0281279, 0.0280193, 0.0279853, 0.0275354", \ + "0.0528135, 0.0492377, 0.0485026, 0.047826, 0.047525, 0.0472315, 0.0469478" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00946761, 0.00992091, 0.00996331, 0.00992051, 0.00987821, 0.00959061, 0.00913215", \ + "0.00986861, 0.00996171, 0.0100348, 0.010279, 0.00998171, 0.00982321, 0.00925261", \ + "0.0108507, 0.0107831, 0.010722, 0.0107459, 0.0110605, 0.010404, 0.0103511", \ + "0.0131605, 0.0126536, 0.0126432, 0.0126984, 0.0124264, 0.0126436, 0.011973", \ + "0.0182698, 0.0170573, 0.0170441, 0.0170117, 0.0169759, 0.0167921, 0.0160231", \ + "0.028625, 0.0264104, 0.0260987, 0.0259869, 0.0258928, 0.025851, 0.0257172", \ + "0.0502445, 0.0464943, 0.0459195, 0.0452699, 0.0447607, 0.0442303, 0.0442164" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00895609, 0.00963314, 0.00957084, 0.00948314, 0.00933184, 0.00897361, 0.00844426", \ + "0.00913214, 0.00942627, 0.00953877, 0.00943877, 0.00999067, 0.00898744, 0.00856266", \ + "0.0100121, 0.0101787, 0.0101561, 0.0101391, 0.010173, 0.0095793, 0.0094918", \ + "0.0122235, 0.0120217, 0.011999, 0.0119526, 0.0116167, 0.0119042, 0.0108842", \ + "0.0172807, 0.0164739, 0.0163821, 0.0163097, 0.0162357, 0.0154382, 0.0157521", \ + "0.0279751, 0.0262067, 0.0259649, 0.0257137, 0.0255952, 0.0254425, 0.0250843", \ + "0.0498115, 0.0465859, 0.0459467, 0.0453916, 0.0452029, 0.0446886, 0.0443354" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00913732, 0.00967241, 0.00970381, 0.00971481, 0.00961871, 0.00940471, 0.00888817", \ + "0.00967401, 0.00982271, 0.00991251, 0.0101739, 0.00979231, 0.00959951, 0.0090744", \ + "0.0106991, 0.0107012, 0.0107067, 0.0106909, 0.0110144, 0.0107868, 0.0103152", \ + "0.013134, 0.0126561, 0.0126199, 0.0126998, 0.0123603, 0.0123354, 0.0120144", \ + "0.0184664, 0.0171834, 0.0171744, 0.0171364, 0.0169451, 0.0166029, 0.0157105", \ + "0.0288829, 0.0267096, 0.026483, 0.0262211, 0.0262003, 0.02606, 0.0259425", \ + "0.0507597, 0.0468174, 0.0463032, 0.0457476, 0.0453432, 0.0448167, 0.0447884" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00895609, 0.00963314, 0.00957084, 0.00948314, 0.00933184, 0.00897361, 0.00844426", \ + "0.00913214, 0.00942627, 0.00953877, 0.00943877, 0.00999067, 0.00898744, 0.00856266", \ + "0.0100121, 0.0101787, 0.0101561, 0.0101391, 0.010173, 0.0095793, 0.0094918", \ + "0.0122235, 0.0120217, 0.011999, 0.0119526, 0.0116167, 0.0119042, 0.0108842", \ + "0.0172807, 0.0164739, 0.0163821, 0.0163097, 0.0162357, 0.0154382, 0.0157521", \ + "0.0279751, 0.0262067, 0.0259649, 0.0257137, 0.0255952, 0.0254425, 0.0250843", \ + "0.0498115, 0.0465859, 0.0459467, 0.0453916, 0.0452029, 0.0446886, 0.0443354" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00913732, 0.00967241, 0.00970381, 0.00971481, 0.00961871, 0.00940471, 0.00888817", \ + "0.00967401, 0.00982271, 0.00991251, 0.0101739, 0.00979231, 0.00959951, 0.0090744", \ + "0.0106991, 0.0107012, 0.0107067, 0.0106909, 0.0110144, 0.0107868, 0.0103152", \ + "0.013134, 0.0126561, 0.0126199, 0.0126998, 0.0123603, 0.0123354, 0.0120144", \ + "0.0184664, 0.0171834, 0.0171744, 0.0171364, 0.0169451, 0.0166029, 0.0157105", \ + "0.0288829, 0.0267096, 0.026483, 0.0262211, 0.0262003, 0.02606, 0.0259425", \ + "0.0507597, 0.0468174, 0.0463032, 0.0457476, 0.0453432, 0.0448167, 0.0447884" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00292582; + rise_capacitance : 0.00292148; + rise_capacitance_range (0.00292148, 0.00292148); + fall_capacitance : 0.00293017; + fall_capacitance_range (0.00293017, 0.00293017); + internal_power () { + when : "(A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000103326, -0.000254857, -0.000254398, -0.000239055, -0.000248615, -0.000250245, -0.000249344" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000256183, 0.000254857, 0.000254398, 0.000239055, 0.000248615, 0.000250245, 0.000249344" \ + ); + } + } + internal_power () { + when : "(!A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000103326, -0.000254857, -0.000254398, -0.000239055, -0.000248615, -0.000250245, -0.000249344" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000256183, 0.000254857, 0.000254398, 0.000239055, 0.000248615, 0.000250245, 0.000249344" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00299424; + rise_capacitance : 0.00302894; + rise_capacitance_range (0.00302894, 0.00302894); + fall_capacitance : 0.00295954; + fall_capacitance_range (0.00295954, 0.00295954); + internal_power () { + when : "(A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.96488e-05, -0.000218513, -0.000219769, -0.000207276, -0.00021302, -0.000217221, -0.000215952" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000222563, 0.000218513, 0.000219769, 0.000207276, 0.00021302, 0.000217221, 0.000215952" \ + ); + } + } + internal_power () { + when : "(!A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.96488e-05, -0.000218513, -0.000219769, -0.000207276, -0.00021302, -0.000217221, -0.000215952" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000222563, 0.000218513, 0.000219769, 0.000207276, 0.00021302, 0.000217221, 0.000215952" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00258648; + rise_capacitance : 0.00264243; + rise_capacitance_range (0.00264243, 0.00264243); + fall_capacitance : 0.00253052; + fall_capacitance_range (0.00253052, 0.00253052); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000700259, 0.000698934, 0.000700603, 0.000702479, 0.000704088, 0.00070488, 0.00070666" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000800292, 0.000816792, 0.000830289, 0.000834369, 0.000820085, 0.000844593, 0.000826617" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000700259, 0.000698934, 0.000700603, 0.000702479, 0.000704088, 0.00070488, 0.00070666" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000800292, 0.000816792, 0.000830289, 0.000834369, 0.000820085, 0.000844593, 0.000826617" \ + ); + } + } + } + } + cell (sg13g2_a21o_2) { + area : 14.5152; + cell_footprint : "AO21"; + cell_leakage_power : 642.534; + leakage_power () { + value : 685.812; + when : "!A1&!A2&!B1"; + } + leakage_power () { + value : 796.599; + when : "!A1&A2&!B1"; + } + leakage_power () { + value : 656.738; + when : "A1&!A2&!B1"; + } + leakage_power () { + value : 609.812; + when : "A1&A2&!B1"; + } + leakage_power () { + value : 524.442; + when : "!A1&!A2&B1"; + } + leakage_power () { + value : 611.428; + when : "!A1&A2&B1"; + } + leakage_power () { + value : 611.428; + when : "A1&!A2&B1"; + } + leakage_power () { + value : 644.012; + when : "A1&A2&B1"; + } + pin (X) { + direction : "output"; + function : "((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0592725, 0.114177, 0.145575, 0.196902, 0.282354, 0.424496, 0.660821", \ + "0.0863358, 0.141856, 0.1733, 0.224529, 0.310018, 0.452043, 0.688634", \ + "0.104921, 0.161607, 0.192965, 0.244217, 0.32964, 0.471693, 0.708233", \ + "0.12784, 0.18751, 0.21902, 0.269932, 0.355072, 0.49723, 0.733733", \ + "0.158817, 0.224007, 0.255397, 0.306112, 0.391213, 0.532507, 0.769182", \ + "0.202044, 0.273441, 0.307007, 0.357054, 0.442253, 0.583886, 0.82099", \ + "0.257506, 0.342286, 0.378537, 0.431131, 0.517121, 0.660103, 0.897798" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0151225, 0.0784176, 0.123238, 0.198511, 0.325209, 0.536713, 0.889184", \ + "0.0176856, 0.0792935, 0.123764, 0.198768, 0.325307, 0.537131, 0.889219", \ + "0.0206917, 0.0804417, 0.124429, 0.19923, 0.325628, 0.537132, 0.894441", \ + "0.0256933, 0.082721, 0.125859, 0.19997, 0.326165, 0.537222, 0.894442", \ + "0.0331047, 0.08827, 0.129257, 0.201644, 0.327252, 0.537978, 0.894443", \ + "0.044974, 0.098758, 0.137343, 0.207093, 0.330722, 0.540549, 0.894444", \ + "0.064819, 0.120359, 0.155171, 0.219936, 0.340405, 0.548972, 0.898912" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0786562, 0.132584, 0.159558, 0.201912, 0.27117, 0.38609, 0.577028", \ + "0.0990671, 0.153769, 0.180847, 0.223197, 0.292549, 0.407347, 0.598316", \ + "0.113368, 0.169579, 0.196898, 0.239563, 0.30901, 0.423752, 0.614833", \ + "0.135661, 0.19437, 0.222085, 0.265093, 0.334409, 0.449457, 0.64026", \ + "0.169956, 0.233466, 0.262758, 0.305914, 0.375566, 0.490135, 0.681076", \ + "0.208812, 0.280681, 0.312949, 0.358995, 0.431333, 0.547248, 0.738898", \ + "0.270029, 0.352172, 0.388686, 0.439561, 0.516906, 0.638671, 0.835606" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.018677, 0.0672148, 0.0989478, 0.152633, 0.244394, 0.39925, 0.658438", \ + "0.020055, 0.0679496, 0.0994406, 0.152792, 0.244433, 0.399251, 0.658439", \ + "0.0222246, 0.0697929, 0.100781, 0.153835, 0.244863, 0.399614, 0.658693", \ + "0.0261141, 0.0734309, 0.103634, 0.155741, 0.246131, 0.400102, 0.658694", \ + "0.033874, 0.0801946, 0.10942, 0.159719, 0.248576, 0.401405, 0.659287", \ + "0.04554, 0.093767, 0.122826, 0.171466, 0.257824, 0.407341, 0.662559", \ + "0.063529, 0.115077, 0.144297, 0.193841, 0.279401, 0.428491, 0.67937" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0612721, 0.116193, 0.147576, 0.198884, 0.284337, 0.426589, 0.662918", \ + "0.0832906, 0.138955, 0.170441, 0.221709, 0.307182, 0.449115, 0.6857", \ + "0.0993578, 0.156137, 0.187642, 0.238997, 0.324484, 0.466495, 0.70296", \ + "0.118747, 0.178717, 0.210017, 0.261363, 0.346639, 0.488763, 0.72531", \ + "0.145151, 0.209369, 0.240948, 0.292346, 0.377492, 0.519487, 0.756097", \ + "0.179141, 0.251842, 0.284576, 0.335733, 0.421057, 0.563003, 0.799581", \ + "0.219408, 0.303257, 0.339956, 0.393723, 0.480728, 0.625657, 0.864454" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0151159, 0.0784119, 0.123225, 0.198492, 0.325245, 0.536712, 0.889149", \ + "0.0167303, 0.079041, 0.123604, 0.198719, 0.325288, 0.537081, 0.889182", \ + "0.0188175, 0.0800386, 0.124234, 0.199014, 0.32547, 0.537082, 0.890629", \ + "0.0227162, 0.082482, 0.12556, 0.199602, 0.325859, 0.537083, 0.89063", \ + "0.0290149, 0.0873195, 0.128997, 0.201704, 0.326986, 0.537703, 0.890631", \ + "0.039805, 0.097355, 0.136429, 0.207335, 0.331136, 0.540633, 0.891609", \ + "0.057113, 0.116698, 0.153742, 0.220522, 0.341943, 0.550475, 0.899768" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0858204, 0.140562, 0.167926, 0.210334, 0.279723, 0.394698, 0.585721", \ + "0.107602, 0.163048, 0.19031, 0.232945, 0.302364, 0.417253, 0.608318", \ + "0.123018, 0.17972, 0.207341, 0.250077, 0.319579, 0.434454, 0.625539", \ + "0.147228, 0.206389, 0.234398, 0.277516, 0.347208, 0.462064, 0.653134", \ + "0.18561, 0.249347, 0.277973, 0.32187, 0.391591, 0.506566, 0.697758", \ + "0.232398, 0.303868, 0.335442, 0.381691, 0.452746, 0.569004, 0.759984", \ + "0.310633, 0.391754, 0.427209, 0.476545, 0.55283, 0.673248, 0.868655" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0198351, 0.0681334, 0.0999615, 0.153075, 0.244683, 0.399532, 0.658697", \ + "0.0208541, 0.0686944, 0.0999625, 0.153401, 0.244893, 0.399638, 0.659088", \ + "0.0227976, 0.0703305, 0.101229, 0.154238, 0.245366, 0.399826, 0.659408", \ + "0.0263804, 0.0735821, 0.103814, 0.155924, 0.24637, 0.400307, 0.659409", \ + "0.0333358, 0.0794903, 0.108573, 0.159461, 0.248677, 0.401751, 0.659676", \ + "0.044332, 0.091664, 0.120545, 0.169891, 0.256076, 0.4064, 0.662286", \ + "0.060545, 0.110996, 0.139525, 0.187905, 0.273729, 0.422391, 0.674675" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0404522, 0.0913074, 0.122274, 0.173245, 0.258628, 0.400242, 0.636581", \ + "0.0643273, 0.11689, 0.147806, 0.198726, 0.283917, 0.425752, 0.662104", \ + "0.0790464, 0.133794, 0.164627, 0.215705, 0.300797, 0.442602, 0.67901", \ + "0.0955281, 0.153875, 0.1847, 0.235654, 0.32076, 0.462563, 0.698598", \ + "0.115114, 0.180239, 0.211083, 0.261838, 0.346766, 0.488212, 0.724326", \ + "0.13387, 0.208695, 0.241723, 0.292759, 0.378428, 0.520161, 0.756635", \ + "0.138292, 0.227966, 0.266491, 0.320427, 0.407249, 0.551247, 0.78982" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0119705, 0.0751589, 0.120837, 0.196337, 0.323405, 0.5349, 0.887307", \ + "0.0160517, 0.0758813, 0.12096, 0.196443, 0.323406, 0.534901, 0.887308", \ + "0.0195603, 0.0769869, 0.121531, 0.19679, 0.323407, 0.534902, 0.889937", \ + "0.0247836, 0.0797961, 0.122885, 0.197393, 0.32383, 0.535057, 0.889938", \ + "0.033023, 0.0863881, 0.126785, 0.199441, 0.324834, 0.535649, 0.889939", \ + "0.0454976, 0.100281, 0.136889, 0.205634, 0.329026, 0.538451, 0.88994", \ + "0.064898, 0.125544, 0.160438, 0.222951, 0.341357, 0.548451, 0.897646" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0788575, 0.133693, 0.160969, 0.203437, 0.272848, 0.387807, 0.5789", \ + "0.107489, 0.162837, 0.190238, 0.232765, 0.302271, 0.41716, 0.608194", \ + "0.12947, 0.18583, 0.213539, 0.25606, 0.325658, 0.440505, 0.631601", \ + "0.162502, 0.221429, 0.249172, 0.291883, 0.36156, 0.476607, 0.667707", \ + "0.2134, 0.27592, 0.304251, 0.347108, 0.416767, 0.531816, 0.722869", \ + "0.278777, 0.350354, 0.380957, 0.425562, 0.495675, 0.610357, 0.801303", \ + "0.390946, 0.474352, 0.509156, 0.558611, 0.631926, 0.750623, 0.94433" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0198305, 0.0680579, 0.099647, 0.153259, 0.244831, 0.399496, 0.658732", \ + "0.0215391, 0.0688649, 0.100111, 0.15342, 0.244917, 0.399541, 0.659043", \ + "0.0244134, 0.0706826, 0.101682, 0.154542, 0.245621, 0.399867, 0.659355", \ + "0.0294358, 0.0743057, 0.104337, 0.156254, 0.246919, 0.400808, 0.659356", \ + "0.037607, 0.0798122, 0.108391, 0.159143, 0.248521, 0.402343, 0.66026", \ + "0.051814, 0.094465, 0.120796, 0.168371, 0.254867, 0.4054, 0.662457", \ + "0.072509, 0.118462, 0.143071, 0.189566, 0.272351, 0.420447, 0.673407" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0388511, 0.0882437, 0.119223, 0.170232, 0.255591, 0.39718, 0.633564", \ + "0.0615951, 0.112312, 0.143065, 0.194049, 0.279352, 0.42132, 0.657378", \ + "0.0754187, 0.127559, 0.158522, 0.209403, 0.294627, 0.436415, 0.672854", \ + "0.0903134, 0.145494, 0.176108, 0.226817, 0.311307, 0.453246, 0.689282", \ + "0.107203, 0.168353, 0.199015, 0.249529, 0.333296, 0.474411, 0.710172", \ + "0.121814, 0.192963, 0.225229, 0.275839, 0.360503, 0.50228, 0.737796", \ + "0.121815, 0.206266, 0.243141, 0.296053, 0.382931, 0.526463, 0.765826" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0103987, 0.074858, 0.120597, 0.196364, 0.323444, 0.534917, 0.887238", \ + "0.0140147, 0.0755106, 0.120895, 0.196467, 0.323445, 0.534918, 0.887239", \ + "0.0170036, 0.0764156, 0.121317, 0.196744, 0.323446, 0.534919, 0.888549", \ + "0.0217425, 0.0786776, 0.12261, 0.197321, 0.323822, 0.535008, 0.88855", \ + "0.0300491, 0.083954, 0.125792, 0.199279, 0.324957, 0.535832, 0.888551", \ + "0.043389, 0.097376, 0.1352, 0.205273, 0.329137, 0.538998, 0.889568", \ + "0.065264, 0.122196, 0.156823, 0.221267, 0.341299, 0.549465, 0.898559" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0702075, 0.1243, 0.151258, 0.193719, 0.262936, 0.377818, 0.568963", \ + "0.097871, 0.152427, 0.179603, 0.222017, 0.29136, 0.406125, 0.597142", \ + "0.118356, 0.173976, 0.201434, 0.244001, 0.313652, 0.42838, 0.6195", \ + "0.149196, 0.207093, 0.234327, 0.277144, 0.34651, 0.461395, 0.652411", \ + "0.197212, 0.25905, 0.287261, 0.329788, 0.399028, 0.513779, 0.704669", \ + "0.258424, 0.328799, 0.359854, 0.404438, 0.475047, 0.590111, 0.780988", \ + "0.36534, 0.44692, 0.481922, 0.531152, 0.605245, 0.724469, 0.918967" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0186574, 0.0672138, 0.098785, 0.152786, 0.244337, 0.399427, 0.658473", \ + "0.0208937, 0.0683212, 0.0997041, 0.152878, 0.244516, 0.399428, 0.658474", \ + "0.0240919, 0.0701642, 0.101215, 0.154185, 0.24516, 0.399591, 0.660451", \ + "0.0290621, 0.0740708, 0.10392, 0.156147, 0.24661, 0.400754, 0.660452", \ + "0.0378871, 0.079793, 0.108553, 0.159005, 0.248604, 0.402028, 0.660453", \ + "0.05247, 0.095061, 0.121841, 0.169201, 0.255558, 0.405743, 0.662421", \ + "0.074069, 0.119595, 0.145308, 0.191208, 0.274557, 0.42215, 0.67482" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0404522, 0.0913074, 0.122274, 0.173245, 0.258628, 0.400242, 0.636581", \ + "0.0643273, 0.11689, 0.147806, 0.198726, 0.283917, 0.425752, 0.662104", \ + "0.0790464, 0.133794, 0.164627, 0.215705, 0.300797, 0.442602, 0.67901", \ + "0.0955281, 0.153875, 0.1847, 0.235654, 0.32076, 0.462563, 0.698598", \ + "0.115114, 0.180239, 0.211083, 0.261838, 0.346766, 0.488212, 0.724326", \ + "0.13387, 0.208695, 0.241723, 0.292759, 0.378428, 0.520161, 0.756635", \ + "0.138292, 0.227966, 0.266491, 0.320427, 0.407249, 0.551247, 0.78982" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0119705, 0.0751589, 0.120837, 0.196337, 0.323405, 0.5349, 0.887307", \ + "0.0160517, 0.0758813, 0.12096, 0.196443, 0.323406, 0.534901, 0.887308", \ + "0.0195603, 0.0769869, 0.121531, 0.19679, 0.323407, 0.534902, 0.889937", \ + "0.0247836, 0.0797961, 0.122885, 0.197393, 0.32383, 0.535057, 0.889938", \ + "0.033023, 0.0863881, 0.126785, 0.199441, 0.324834, 0.535649, 0.889939", \ + "0.0454976, 0.100281, 0.136889, 0.205634, 0.329026, 0.538451, 0.88994", \ + "0.064898, 0.125544, 0.160438, 0.222951, 0.341357, 0.548451, 0.897646" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0788575, 0.133693, 0.160969, 0.203437, 0.272848, 0.387807, 0.5789", \ + "0.107489, 0.162837, 0.190238, 0.232765, 0.302271, 0.41716, 0.608194", \ + "0.12947, 0.18583, 0.213539, 0.25606, 0.325658, 0.440505, 0.631601", \ + "0.162502, 0.221429, 0.249172, 0.291883, 0.36156, 0.476607, 0.667707", \ + "0.2134, 0.27592, 0.304251, 0.347108, 0.416767, 0.531816, 0.722869", \ + "0.278777, 0.350354, 0.380957, 0.425562, 0.495675, 0.610357, 0.801303", \ + "0.390946, 0.474352, 0.509156, 0.558611, 0.631926, 0.750623, 0.94433" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0198305, 0.0680579, 0.099647, 0.153259, 0.244831, 0.399496, 0.658732", \ + "0.0215391, 0.0688649, 0.100111, 0.15342, 0.244917, 0.399541, 0.659043", \ + "0.0244134, 0.0706826, 0.101682, 0.154542, 0.245621, 0.399867, 0.659355", \ + "0.0294358, 0.0743057, 0.104337, 0.156254, 0.246919, 0.400808, 0.659356", \ + "0.037607, 0.0798122, 0.108391, 0.159143, 0.248521, 0.402343, 0.66026", \ + "0.051814, 0.094465, 0.120796, 0.168371, 0.254867, 0.4054, 0.662457", \ + "0.072509, 0.118462, 0.143071, 0.189566, 0.272351, 0.420447, 0.673407" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0181696, 0.0187107, 0.0188422, 0.0188446, 0.018574, 0.0179923, 0.0170664", \ + "0.0189163, 0.0183972, 0.018774, 0.0185477, 0.0183401, 0.017934, 0.0169292", \ + "0.020198, 0.0190342, 0.0189173, 0.0190712, 0.018678, 0.0190932, 0.0193463", \ + "0.0232969, 0.0210253, 0.0210808, 0.02087, 0.0204148, 0.0212381, 0.0201539", \ + "0.0296028, 0.025638, 0.0253278, 0.0251204, 0.0250072, 0.0241271, 0.0248478", \ + "0.0426072, 0.0359561, 0.035532, 0.0345982, 0.0338234, 0.0336, 0.0328518", \ + "0.0689689, 0.0583057, 0.0568891, 0.0554135, 0.0544263, 0.0533903, 0.0524141" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.020649, 0.0203335, 0.0203462, 0.0203885, 0.0200041, 0.0195541, 0.0185294", \ + "0.0205344, 0.0197397, 0.0199732, 0.0202094, 0.0208341, 0.0192929, 0.0183199", \ + "0.0213623, 0.020092, 0.0200272, 0.0200646, 0.0205725, 0.0193532, 0.0184355", \ + "0.0238798, 0.021647, 0.0214395, 0.0214265, 0.0206025, 0.0211848, 0.0195354", \ + "0.0299189, 0.0258685, 0.0258127, 0.0255146, 0.0252382, 0.0244274, 0.0226952", \ + "0.042759, 0.0356166, 0.0352756, 0.034771, 0.0347511, 0.0347381, 0.0346264", \ + "0.0679093, 0.0572883, 0.0561027, 0.0553778, 0.0545905, 0.0538754, 0.0536607" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0208413, 0.0213755, 0.0214769, 0.0214738, 0.021238, 0.0206855, 0.0198682", \ + "0.0211043, 0.0209494, 0.0213595, 0.0212526, 0.0211656, 0.020539, 0.0193737", \ + "0.0219452, 0.0213162, 0.0213666, 0.021492, 0.0211666, 0.0214129, 0.020164", \ + "0.0242805, 0.022836, 0.0228702, 0.0229167, 0.0224776, 0.0223188, 0.0225272", \ + "0.0299726, 0.0267898, 0.0265264, 0.0264215, 0.0262281, 0.0258947, 0.0258629", \ + "0.0422873, 0.0367865, 0.0361462, 0.0354005, 0.0347683, 0.0344403, 0.0339316", \ + "0.0671534, 0.0580335, 0.0568815, 0.055605, 0.0546313, 0.0539592, 0.0531154" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0208698, 0.0201704, 0.0203004, 0.0203364, 0.0200708, 0.0197168, 0.0185621", \ + "0.0209998, 0.0199598, 0.0201605, 0.020205, 0.0202506, 0.0194548, 0.018667", \ + "0.0217935, 0.0202012, 0.0201347, 0.0201828, 0.0210097, 0.0196545, 0.0189724", \ + "0.0242538, 0.0217086, 0.0216158, 0.0217172, 0.0212183, 0.0213295, 0.0202245", \ + "0.0302157, 0.0259138, 0.0256863, 0.0256985, 0.0255887, 0.0250108, 0.0234975", \ + "0.0426736, 0.0357923, 0.0354392, 0.0353983, 0.0349646, 0.0353178, 0.0349247", \ + "0.0674503, 0.057266, 0.0560638, 0.0549347, 0.0545362, 0.0538478, 0.0543826" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.017985, 0.019338, 0.0194674, 0.0192621, 0.0190685, 0.0183027, 0.0173998", \ + "0.0187262, 0.0188531, 0.0192433, 0.0190072, 0.0196563, 0.0181886, 0.0173253", \ + "0.020117, 0.0195574, 0.0195317, 0.019692, 0.0196703, 0.019057, 0.0187958", \ + "0.0232324, 0.021453, 0.0215322, 0.0216606, 0.0212847, 0.0219039, 0.0212996", \ + "0.030109, 0.0265033, 0.0261115, 0.0260826, 0.0260511, 0.0249731, 0.0255199", \ + "0.0439708, 0.0373743, 0.0366381, 0.0358816, 0.0358388, 0.0356786, 0.0354184", \ + "0.071668, 0.0608319, 0.0593553, 0.0579525, 0.0573529, 0.0565784, 0.0559795" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0173528, 0.0166567, 0.0167828, 0.0166832, 0.0164198, 0.0160609, 0.0149567", \ + "0.0179511, 0.0165718, 0.0168561, 0.0169226, 0.0170818, 0.0162017, 0.0154303", \ + "0.0195433, 0.0173908, 0.0173382, 0.017324, 0.0178917, 0.0168917, 0.0162114", \ + "0.0230607, 0.0197459, 0.0197116, 0.0196205, 0.0190483, 0.0192684, 0.0179293", \ + "0.0296828, 0.024446, 0.0241252, 0.0239598, 0.0239336, 0.0235871, 0.0220963", \ + "0.0433377, 0.0350101, 0.0344828, 0.0336418, 0.0336996, 0.0333143, 0.0328246", \ + "0.0701314, 0.0579776, 0.0560864, 0.0554912, 0.0545894, 0.0536092, 0.053594" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0152712, 0.0166439, 0.0167332, 0.0166438, 0.0164656, 0.015748, 0.0148023", \ + "0.0158287, 0.0162084, 0.0165191, 0.0163964, 0.0168489, 0.0155388, 0.0144897", \ + "0.0171337, 0.0169104, 0.0169693, 0.0169871, 0.0170705, 0.0162243, 0.0155702", \ + "0.0200157, 0.0187016, 0.0187662, 0.0188263, 0.0180885, 0.0184317, 0.0176046", \ + "0.0266969, 0.0233772, 0.0232286, 0.0231299, 0.0225649, 0.021395, 0.0218559", \ + "0.0402862, 0.0342389, 0.0337699, 0.0330869, 0.0326056, 0.0323219, 0.0316156", \ + "0.0676906, 0.0570653, 0.0558299, 0.0547381, 0.0542618, 0.0533284, 0.0530051" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0167143, 0.0165202, 0.0165284, 0.0165548, 0.0164441, 0.0160172, 0.0150155", \ + "0.0175867, 0.0163864, 0.016639, 0.0169272, 0.0165778, 0.0160424, 0.0150595", \ + "0.0192392, 0.0173145, 0.0172269, 0.0172171, 0.017863, 0.016565, 0.0167075", \ + "0.022902, 0.0197499, 0.0194789, 0.0194592, 0.0187515, 0.018936, 0.0173995", \ + "0.0296933, 0.0243698, 0.0242245, 0.0239261, 0.0239102, 0.0228603, 0.0214322", \ + "0.0435229, 0.035066, 0.0346988, 0.0341126, 0.0342186, 0.0341185, 0.0338942", \ + "0.0706135, 0.0581579, 0.0566239, 0.0556922, 0.0549515, 0.0537864, 0.054298" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0152712, 0.0166439, 0.0167332, 0.0166438, 0.0164656, 0.015748, 0.0148023", \ + "0.0158287, 0.0162084, 0.0165191, 0.0163964, 0.0168489, 0.0155388, 0.0144897", \ + "0.0171337, 0.0169104, 0.0169693, 0.0169871, 0.0170705, 0.0162243, 0.0155702", \ + "0.0200157, 0.0187016, 0.0187662, 0.0188263, 0.0180885, 0.0184317, 0.0176046", \ + "0.0266969, 0.0233772, 0.0232286, 0.0231299, 0.0225649, 0.021395, 0.0218559", \ + "0.0402862, 0.0342389, 0.0337699, 0.0330869, 0.0326056, 0.0323219, 0.0316156", \ + "0.0676906, 0.0570653, 0.0558299, 0.0547381, 0.0542618, 0.0533284, 0.0530051" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0167143, 0.0165202, 0.0165284, 0.0165548, 0.0164441, 0.0160172, 0.0150155", \ + "0.0175867, 0.0163864, 0.016639, 0.0169272, 0.0165778, 0.0160424, 0.0150595", \ + "0.0192392, 0.0173145, 0.0172269, 0.0172171, 0.017863, 0.016565, 0.0167075", \ + "0.022902, 0.0197499, 0.0194789, 0.0194592, 0.0187515, 0.018936, 0.0173995", \ + "0.0296933, 0.0243698, 0.0242245, 0.0239261, 0.0239102, 0.0228603, 0.0214322", \ + "0.0435229, 0.035066, 0.0346988, 0.0341126, 0.0342186, 0.0341185, 0.0338942", \ + "0.0706135, 0.0581579, 0.0566239, 0.0556922, 0.0549515, 0.0537864, 0.054298" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00312856; + rise_capacitance : 0.00311197; + rise_capacitance_range (0.00311197, 0.00311197); + fall_capacitance : 0.00314515; + fall_capacitance_range (0.00314515, 0.00314515); + internal_power () { + when : "(A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "4.51574e-06, -3.28622e-05, -3.25821e-05, -1.58403e-05, -2.64836e-05, -2.8818e-05, -2.65872e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.75256e-05, 3.28622e-05, 3.25821e-05, 1.58403e-05, 2.64836e-05, 2.8818e-05, 2.65872e-05" \ + ); + } + } + internal_power () { + when : "(!A2 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "4.51574e-06, -3.28622e-05, -3.25821e-05, -1.58403e-05, -2.64836e-05, -2.8818e-05, -2.65872e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.75256e-05, 3.28622e-05, 3.25821e-05, 1.58403e-05, 2.64836e-05, 2.8818e-05, 2.65872e-05" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.003095; + rise_capacitance : 0.00312188; + rise_capacitance_range (0.00312188, 0.00312188); + fall_capacitance : 0.00306812; + fall_capacitance_range (0.00306812, 0.00306812); + internal_power () { + when : "(A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-8.73196e-05, -0.000121085, -0.000123733, -0.000109456, -0.000118076, -0.000119805, -0.000117025" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000128022, 0.000121085, 0.000123733, 0.000109456, 0.000118076, 0.000119805, 0.000117025" \ + ); + } + } + internal_power () { + when : "(!A1 * B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-8.73196e-05, -0.000121085, -0.000123733, -0.000109456, -0.000118076, -0.000119805, -0.000117025" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000128022, 0.000121085, 0.000123733, 0.000109456, 0.000118076, 0.000119805, 0.000117025" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00273053; + rise_capacitance : 0.00276867; + rise_capacitance_range (0.00276867, 0.00276867); + fall_capacitance : 0.00269238; + fall_capacitance_range (0.00269238, 0.00269238); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000756773, 0.000754175, 0.000756415, 0.000759324, 0.000763442, 0.000764294, 0.000763348" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000636992, 0.000652369, 0.000654608, 0.000659149, 0.000648973, 0.000676147, 0.000659296" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000756773, 0.000754175, 0.000756415, 0.000759324, 0.000763442, 0.000764294, 0.000763348" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000636992, 0.000652369, 0.000654608, 0.000659149, 0.000648973, 0.000676147, 0.000659296" \ + ); + } + } + } + } + cell (sg13g2_a21oi_1) { + area : 9.072; + cell_footprint : "a21oi"; + cell_leakage_power : 358.546; + leakage_power () { + value : 222.655; + when : "!A1*!A2*!B1"; + } + leakage_power () { + value : 359.06; + when : "!A1*!A2*B1"; + } + leakage_power () { + value : 326.679; + when : "!A1*A2*!B1"; + } + leakage_power () { + value : 439.284; + when : "!A1*A2*B1"; + } + leakage_power () { + value : 186.818; + when : "A1*!A2*!B1"; + } + leakage_power () { + value : 439.283; + when : "A1*!A2*B1"; + } + leakage_power () { + value : 434.766; + when : "A1*A2*!B1"; + } + leakage_power () { + value : 459.821; + when : "A1*A2*B1"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.033278, 0.125404, 0.187878, 0.291206, 0.46399, 0.75187, 1.23166", \ + "0.0470351, 0.148451, 0.21156, 0.315118, 0.488084, 0.776369, 1.25588", \ + "0.0542259, 0.167822, 0.233297, 0.33776, 0.510906, 0.799106, 1.27921", \ + "0.0623924, 0.198427, 0.270299, 0.380155, 0.555901, 0.84431, 1.3246", \ + "0.0750943, 0.245077, 0.328355, 0.45196, 0.639535, 0.935483, 1.41621", \ + "0.0871931, 0.302593, 0.407157, 0.555097, 0.76934, 1.09242, 1.59266", \ + "0.100677, 0.383559, 0.517806, 0.703703, 0.967526, 1.3399, 1.8971" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0207647, 0.15018, 0.240141, 0.389225, 0.638833, 1.05513, 1.74825", \ + "0.0309564, 0.153167, 0.24127, 0.389264, 0.638834, 1.05514, 1.74826", \ + "0.0411582, 0.162798, 0.24765, 0.392239, 0.64313, 1.05515, 1.74827", \ + "0.0616343, 0.185138, 0.268369, 0.407698, 0.647302, 1.05818, 1.74911", \ + "0.0954447, 0.228109, 0.312987, 0.450696, 0.682722, 1.07773, 1.75567", \ + "0.139053, 0.306174, 0.40028, 0.543553, 0.773684, 1.15632, 1.8086", \ + "0.219999, 0.430747, 0.541427, 0.707249, 0.952235, 1.33873, 1.97797" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0282358, 0.0924844, 0.135541, 0.206538, 0.325121, 0.522861, 0.851798", \ + "0.0467574, 0.12662, 0.171621, 0.243171, 0.361742, 0.55933, 0.888332", \ + "0.0587858, 0.154028, 0.204152, 0.279643, 0.399945, 0.597524, 0.926419", \ + "0.0730271, 0.190951, 0.251189, 0.337484, 0.467454, 0.669634, 0.999134", \ + "0.0930298, 0.242411, 0.317619, 0.423419, 0.575844, 0.799568, 1.14216", \ + "0.120353, 0.310947, 0.407631, 0.542964, 0.731856, 1.0015, 1.39273", \ + "0.156962, 0.405181, 0.527346, 0.700121, 0.944752, 1.28227, 1.75979" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0208117, 0.103369, 0.161147, 0.257488, 0.417721, 0.685521, 1.1318", \ + "0.0347888, 0.113918, 0.167886, 0.260303, 0.418494, 0.685643, 1.13181", \ + "0.0460875, 0.131324, 0.183834, 0.271838, 0.424631, 0.687395, 1.13182", \ + "0.064674, 0.163642, 0.218695, 0.306217, 0.451466, 0.702839, 1.13637", \ + "0.0954691, 0.216096, 0.280495, 0.373491, 0.521028, 0.762142, 1.17482", \ + "0.146645, 0.30245, 0.379231, 0.489084, 0.651354, 0.9028, 1.30526", \ + "0.23517, 0.442029, 0.53861, 0.676078, 0.8702, 1.15592, 1.58188" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0394525, 0.130844, 0.193587, 0.297182, 0.470413, 0.759432, 1.24083", \ + "0.0558388, 0.154709, 0.217747, 0.32147, 0.495079, 0.783732, 1.26497", \ + "0.0650839, 0.174685, 0.239849, 0.344285, 0.517795, 0.806725, 1.28807", \ + "0.0775377, 0.206385, 0.277588, 0.387108, 0.562936, 0.851999, 1.33364", \ + "0.0975509, 0.255345, 0.337584, 0.459727, 0.647015, 0.943114, 1.42527", \ + "0.120374, 0.319067, 0.421408, 0.566085, 0.778806, 1.1012, 1.60175", \ + "0.156669, 0.413673, 0.542233, 0.723374, 0.980548, 1.35108, 1.90907" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0271403, 0.1573, 0.247925, 0.397576, 0.648176, 1.0661, 1.7618", \ + "0.0365014, 0.160292, 0.248952, 0.397641, 0.648286, 1.06611, 1.76181", \ + "0.0462135, 0.169441, 0.255087, 0.40049, 0.649328, 1.06612, 1.762", \ + "0.0662322, 0.191395, 0.27529, 0.415589, 0.656525, 1.06915, 1.76257", \ + "0.097892, 0.233883, 0.319476, 0.458173, 0.69129, 1.08842, 1.769", \ + "0.138458, 0.311104, 0.406244, 0.549661, 0.781555, 1.16616, 1.8216", \ + "0.212872, 0.43035, 0.543201, 0.70964, 0.954835, 1.34635, 1.98932" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0307237, 0.0946744, 0.137726, 0.208726, 0.327285, 0.524967, 0.853933", \ + "0.0470915, 0.12153, 0.16598, 0.237492, 0.356266, 0.553822, 0.883184", \ + "0.0577164, 0.143936, 0.19179, 0.265981, 0.38602, 0.583802, 0.915923", \ + "0.0692434, 0.175479, 0.230269, 0.312006, 0.438273, 0.639416, 0.969447", \ + "0.0851061, 0.220158, 0.287727, 0.383503, 0.525679, 0.741243, 1.07978", \ + "0.105576, 0.279914, 0.365931, 0.487811, 0.657677, 0.906062, 1.27527", \ + "0.128397, 0.360203, 0.469812, 0.625592, 0.844635, 1.14845, 1.58049" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0201687, 0.103272, 0.16136, 0.257245, 0.417941, 0.685782, 1.13179", \ + "0.0301953, 0.10979, 0.165239, 0.258907, 0.418676, 0.685783, 1.1318", \ + "0.0402297, 0.121431, 0.175344, 0.266246, 0.422029, 0.688571, 1.13536", \ + "0.0583463, 0.145425, 0.199688, 0.288315, 0.438812, 0.696251, 1.13537", \ + "0.0887963, 0.189093, 0.246885, 0.337396, 0.485192, 0.734704, 1.15883", \ + "0.137629, 0.264158, 0.331292, 0.430726, 0.584098, 0.831603, 1.2446", \ + "0.217759, 0.388114, 0.470703, 0.588072, 0.761731, 1.02373, 1.44068" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0320552, 0.125013, 0.187833, 0.291483, 0.465091, 0.753696, 1.23545", \ + "0.0520828, 0.155866, 0.21889, 0.322736, 0.496072, 0.785092, 1.26638", \ + "0.0651249, 0.18422, 0.250487, 0.354961, 0.528145, 0.816749, 1.29788", \ + "0.0843279, 0.228973, 0.304515, 0.416928, 0.593042, 0.881292, 1.36246", \ + "0.114441, 0.293654, 0.385855, 0.517033, 0.711498, 1.00969, 1.49034", \ + "0.150957, 0.381631, 0.498058, 0.661456, 0.896096, 1.23648, 1.74618", \ + "0.216513, 0.511424, 0.661222, 0.869359, 1.16314, 1.57842, 2.17253" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0274622, 0.157553, 0.247922, 0.397589, 0.648246, 1.06576, 1.76189", \ + "0.0412208, 0.1625, 0.249651, 0.39759, 0.648248, 1.06577, 1.7619", \ + "0.0512901, 0.177192, 0.25997, 0.40257, 0.648814, 1.06592, 1.76191", \ + "0.069416, 0.208476, 0.291185, 0.426436, 0.661614, 1.07206, 1.76284", \ + "0.0993443, 0.261737, 0.352684, 0.491316, 0.716481, 1.10202, 1.77216", \ + "0.141769, 0.350862, 0.455905, 0.610499, 0.845962, 1.22275, 1.85654", \ + "0.221744, 0.487881, 0.618055, 0.802264, 1.07154, 1.4747, 2.10822" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0165021, 0.0555828, 0.080749, 0.122255, 0.191703, 0.307072, 0.49924", \ + "0.0278639, 0.0895495, 0.119319, 0.163623, 0.233946, 0.349345, 0.541357", \ + "0.0346452, 0.113006, 0.148968, 0.199661, 0.275057, 0.392781, 0.584877", \ + "0.0399485, 0.142764, 0.188551, 0.251629, 0.340132, 0.469245, 0.667248", \ + "0.0446671, 0.180575, 0.242182, 0.32412, 0.436228, 0.591318, 0.814164", \ + "0.0459775, 0.226762, 0.308038, 0.418978, 0.56772, 0.767338, 1.04181", \ + "0.0459785, 0.274434, 0.384365, 0.530412, 0.731907, 1.00154, 1.35937" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130111, 0.0594904, 0.0930268, 0.149034, 0.242712, 0.398843, 0.659486", \ + "0.0299889, 0.0762182, 0.106287, 0.157291, 0.246152, 0.399592, 0.659487", \ + "0.0432483, 0.0948647, 0.125779, 0.175445, 0.259922, 0.406962, 0.661143", \ + "0.0644782, 0.12633, 0.161865, 0.214141, 0.29825, 0.43721, 0.679037", \ + "0.0989962, 0.178822, 0.220477, 0.282345, 0.372607, 0.514052, 0.745129", \ + "0.156041, 0.263159, 0.317567, 0.393697, 0.501778, 0.658548, 0.902693", \ + "0.252688, 0.404834, 0.474169, 0.572958, 0.711079, 0.903175, 1.1798" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0250847, 0.117385, 0.180086, 0.283399, 0.456175, 0.744227, 1.22406", \ + "0.0411267, 0.148377, 0.211224, 0.314656, 0.487369, 0.775777, 1.25507", \ + "0.0519014, 0.176092, 0.242589, 0.346852, 0.519322, 0.806926, 1.28674", \ + "0.067391, 0.219412, 0.29576, 0.408402, 0.58438, 0.871631, 1.35115", \ + "0.0924538, 0.281759, 0.375047, 0.50742, 0.702132, 0.999968, 1.47914", \ + "0.123305, 0.365807, 0.484878, 0.64948, 0.885165, 1.22491, 1.73456", \ + "0.180313, 0.492191, 0.642674, 0.854087, 1.14887, 1.56555, 2.15939" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0215214, 0.15004, 0.240135, 0.389207, 0.638764, 1.05486, 1.74825", \ + "0.0352378, 0.155857, 0.242184, 0.389208, 0.638765, 1.05487, 1.74826", \ + "0.0448327, 0.171056, 0.253004, 0.39451, 0.640411, 1.05488, 1.74827", \ + "0.0623037, 0.202563, 0.284655, 0.418908, 0.65285, 1.06044, 1.74828", \ + "0.0904716, 0.25536, 0.345535, 0.484413, 0.708501, 1.09206, 1.75949", \ + "0.130677, 0.344347, 0.449534, 0.603865, 0.838384, 1.21326, 1.8442", \ + "0.211251, 0.480337, 0.612648, 0.798799, 1.06322, 1.4681, 2.09597" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0161865, 0.0551384, 0.0801355, 0.121441, 0.190533, 0.305628, 0.497692", \ + "0.0269533, 0.0888835, 0.118585, 0.162794, 0.232843, 0.34791, 0.539701", \ + "0.0330254, 0.112, 0.148007, 0.198612, 0.273841, 0.391284, 0.583273", \ + "0.0367666, 0.141143, 0.187136, 0.250186, 0.338611, 0.467601, 0.665584", \ + "0.0384256, 0.177828, 0.239673, 0.322027, 0.434154, 0.589331, 0.812397", \ + "0.0384266, 0.221861, 0.303645, 0.415217, 0.565001, 0.764749, 1.03974", \ + "0.0384276, 0.264915, 0.377274, 0.524681, 0.727744, 0.998356, 1.35665" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00942923, 0.0557307, 0.0892885, 0.145209, 0.239037, 0.395359, 0.655791", \ + "0.0221715, 0.0721809, 0.102472, 0.153576, 0.242538, 0.395932, 0.655792", \ + "0.0328777, 0.090179, 0.121716, 0.171537, 0.256243, 0.403306, 0.657488", \ + "0.0500566, 0.120513, 0.157006, 0.209996, 0.294044, 0.433605, 0.675722", \ + "0.0782508, 0.171467, 0.21422, 0.27702, 0.367981, 0.510227, 0.741465", \ + "0.126198, 0.252136, 0.308745, 0.387649, 0.497166, 0.655031, 0.898751", \ + "0.210798, 0.390553, 0.461495, 0.563158, 0.706413, 0.898079, 1.17482" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0210399, 0.0897784, 0.136697, 0.21292, 0.341142, 0.554549, 0.910439", \ + "0.0357751, 0.122966, 0.170678, 0.247491, 0.375729, 0.589364, 0.945068", \ + "0.0450814, 0.149783, 0.202466, 0.282143, 0.410606, 0.62394, 0.979548", \ + "0.0575423, 0.189412, 0.252834, 0.343201, 0.479337, 0.694447, 1.04965", \ + "0.0770487, 0.245885, 0.325072, 0.436301, 0.594347, 0.827479, 1.18911", \ + "0.0994209, 0.315832, 0.42113, 0.564483, 0.763092, 1.04305, 1.44752", \ + "0.137978, 0.41913, 0.55422, 0.740921, 0.998773, 1.35193, 1.84873" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0163713, 0.111857, 0.180147, 0.291664, 0.479449, 0.792091, 1.31342", \ + "0.0303187, 0.121036, 0.184077, 0.292875, 0.47945, 0.792321, 1.31343", \ + "0.0405867, 0.138367, 0.198488, 0.301577, 0.482301, 0.792322, 1.31344", \ + "0.0574683, 0.170456, 0.233111, 0.332849, 0.50322, 0.801006, 1.31412", \ + "0.0858015, 0.22304, 0.294687, 0.401522, 0.570456, 0.850328, 1.33908", \ + "0.125557, 0.310588, 0.400131, 0.52283, 0.705533, 0.988057, 1.45333", \ + "0.204663, 0.44078, 0.554828, 0.714918, 0.929493, 1.24997, 1.72856" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015919, 0.0549578, 0.0799743, 0.121257, 0.190353, 0.305427, 0.497282", \ + "0.0268097, 0.0885979, 0.118314, 0.162513, 0.232578, 0.347686, 0.539472", \ + "0.0334371, 0.111793, 0.147754, 0.198331, 0.273618, 0.391101, 0.583036", \ + "0.0386675, 0.14123, 0.18712, 0.250027, 0.338431, 0.467437, 0.665417", \ + "0.0433807, 0.179288, 0.240622, 0.322426, 0.4343, 0.58943, 0.812264", \ + "0.0440093, 0.227311, 0.307632, 0.417984, 0.566625, 0.76547, 1.04001", \ + "0.0440103, 0.281413, 0.389359, 0.533987, 0.733764, 1.00282, 1.35917" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00954895, 0.0557616, 0.089293, 0.145181, 0.239024, 0.395487, 0.655851", \ + "0.0223702, 0.0723229, 0.102554, 0.153601, 0.242528, 0.395826, 0.655852", \ + "0.0329195, 0.090326, 0.121979, 0.171782, 0.25633, 0.403436, 0.657714", \ + "0.0494403, 0.120678, 0.156873, 0.210114, 0.294405, 0.433673, 0.675437", \ + "0.0769795, 0.170412, 0.213707, 0.276535, 0.368158, 0.510313, 0.741501", \ + "0.123746, 0.24866, 0.306766, 0.385818, 0.495825, 0.653217, 0.89767", \ + "0.207214, 0.381258, 0.454584, 0.558899, 0.702043, 0.894655, 1.17378" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0320552, 0.125013, 0.187833, 0.291483, 0.465091, 0.753696, 1.23545", \ + "0.0520828, 0.155866, 0.21889, 0.322736, 0.496072, 0.785092, 1.26638", \ + "0.0651249, 0.18422, 0.250487, 0.354961, 0.528145, 0.816749, 1.29788", \ + "0.0843279, 0.228973, 0.304515, 0.416928, 0.593042, 0.881292, 1.36246", \ + "0.114441, 0.293654, 0.385855, 0.517033, 0.711498, 1.00969, 1.49034", \ + "0.150957, 0.381631, 0.498058, 0.661456, 0.896096, 1.23648, 1.74618", \ + "0.216513, 0.511424, 0.661222, 0.869359, 1.16314, 1.57842, 2.17253" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0274622, 0.157553, 0.247922, 0.397589, 0.648246, 1.06576, 1.76189", \ + "0.0412208, 0.1625, 0.249651, 0.39759, 0.648248, 1.06577, 1.7619", \ + "0.0512901, 0.177192, 0.25997, 0.40257, 0.648814, 1.06592, 1.76191", \ + "0.069416, 0.208476, 0.291185, 0.426436, 0.661614, 1.07206, 1.76284", \ + "0.0993443, 0.261737, 0.352684, 0.491316, 0.716481, 1.10202, 1.77216", \ + "0.141769, 0.350862, 0.455905, 0.610499, 0.845962, 1.22275, 1.85654", \ + "0.221744, 0.487881, 0.618055, 0.802264, 1.07154, 1.4747, 2.10822" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0165021, 0.0555828, 0.080749, 0.122255, 0.191703, 0.307072, 0.49924", \ + "0.0278639, 0.0895495, 0.119319, 0.163623, 0.233946, 0.349345, 0.541357", \ + "0.0346452, 0.113006, 0.148968, 0.199661, 0.275057, 0.392781, 0.584877", \ + "0.0399485, 0.142764, 0.188551, 0.251629, 0.340132, 0.469245, 0.667248", \ + "0.0446671, 0.180575, 0.242182, 0.32412, 0.436228, 0.591318, 0.814164", \ + "0.0459775, 0.226762, 0.308038, 0.418978, 0.56772, 0.767338, 1.04181", \ + "0.0459785, 0.274434, 0.384365, 0.530412, 0.731907, 1.00154, 1.35937" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130111, 0.0594904, 0.0930268, 0.149034, 0.242712, 0.398843, 0.659486", \ + "0.0299889, 0.0762182, 0.106287, 0.157291, 0.246152, 0.399592, 0.659487", \ + "0.0432483, 0.0948647, 0.125779, 0.175445, 0.259922, 0.406962, 0.661143", \ + "0.0644782, 0.12633, 0.161865, 0.214141, 0.29825, 0.43721, 0.679037", \ + "0.0989962, 0.178822, 0.220477, 0.282345, 0.372607, 0.514052, 0.745129", \ + "0.156041, 0.263159, 0.317567, 0.393697, 0.501778, 0.658548, 0.902693", \ + "0.252688, 0.404834, 0.474169, 0.572958, 0.711079, 0.903175, 1.1798" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00550679, 0.00610195, 0.00608092, 0.00601372, 0.00581993, 0.0055271, 0.0051112", \ + "0.0052724, 0.00573123, 0.00584801, 0.00576732, 0.00568823, 0.00539318, 0.00508847", \ + "0.00576243, 0.00567204, 0.0058525, 0.00580807, 0.0059504, 0.00540103, 0.00499762", \ + "0.00757875, 0.00642382, 0.00618238, 0.00612901, 0.00579762, 0.0055351, 0.00516604", \ + "0.012369, 0.00923168, 0.00852612, 0.00779121, 0.0070406, 0.0066918, 0.00557395", \ + "0.0226668, 0.016967, 0.015236, 0.0134583, 0.0115904, 0.00964508, 0.00884028", \ + "0.0440162, 0.0355846, 0.0321597, 0.0285204, 0.0246527, 0.020455, 0.0165947" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00562782, 0.00578112, 0.00570918, 0.00566285, 0.00544739, 0.00519905, 0.00464043", \ + "0.00567392, 0.00564266, 0.00574668, 0.00559175, 0.0053706, 0.00509307, 0.00455791", \ + "0.00646327, 0.00592456, 0.00575972, 0.00573931, 0.00550081, 0.00515478, 0.00460601", \ + "0.00862767, 0.0070037, 0.00669798, 0.00624152, 0.00598141, 0.00534207, 0.00533598", \ + "0.0133043, 0.0101935, 0.00930394, 0.00844423, 0.00753967, 0.00654207, 0.00585267", \ + "0.0235512, 0.0180509, 0.0160319, 0.0139142, 0.0120157, 0.0102314, 0.00804221", \ + "0.0444748, 0.0366872, 0.0332548, 0.0290919, 0.0248454, 0.0204906, 0.0167994" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00695463, 0.00704277, 0.0069947, 0.00690498, 0.00672659, 0.00642747, 0.00597922", \ + "0.00687252, 0.0070139, 0.00698848, 0.00690733, 0.00677134, 0.00647211, 0.00603572", \ + "0.00729794, 0.0071099, 0.00706837, 0.00695419, 0.00682395, 0.006489, 0.00610684", \ + "0.00903333, 0.00793402, 0.00761388, 0.00749644, 0.00703246, 0.00675223, 0.0062651", \ + "0.0137215, 0.0109096, 0.0101528, 0.00928495, 0.00853925, 0.00776518, 0.00683779", \ + "0.0237693, 0.0189567, 0.0172835, 0.0152086, 0.0133082, 0.0112363, 0.0105706", \ + "0.0446405, 0.0378441, 0.034697, 0.0311422, 0.0267814, 0.0225339, 0.0184562" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00781728, 0.00788788, 0.00782852, 0.00772301, 0.00755058, 0.00732104, 0.00671859", \ + "0.00749887, 0.00760393, 0.00767956, 0.00761067, 0.00741289, 0.00705596, 0.00660469", \ + "0.00793356, 0.00777079, 0.00762427, 0.00770786, 0.00743495, 0.00731369, 0.00717424", \ + "0.00962391, 0.00853911, 0.00832938, 0.00800125, 0.00779401, 0.0072393, 0.00674214", \ + "0.014082, 0.0112036, 0.0104469, 0.00983537, 0.00908851, 0.00831063, 0.00772785", \ + "0.0239972, 0.0187651, 0.0168354, 0.0150866, 0.0133396, 0.0117699, 0.00988233", \ + "0.0444031, 0.036677, 0.0334341, 0.0294007, 0.0253165, 0.021208, 0.0179328" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00450528, 0.00503189, 0.00503071, 0.00497989, 0.00481032, 0.00452999, 0.00405049", \ + "0.00498399, 0.0048519, 0.0047974, 0.00474987, 0.00469553, 0.00441203, 0.00401267", \ + "0.00599852, 0.00520544, 0.00507458, 0.00493692, 0.00471554, 0.00444223, 0.00395828", \ + "0.00829581, 0.0065786, 0.00608263, 0.00585162, 0.00515133, 0.00495611, 0.00423543", \ + "0.013731, 0.0105643, 0.00970978, 0.00848168, 0.00741171, 0.00613038, 0.00521023", \ + "0.0242389, 0.0188131, 0.0169922, 0.0150335, 0.0128971, 0.010722, 0.00970855", \ + "0.0465681, 0.0383083, 0.0353171, 0.0315243, 0.0275127, 0.0232777, 0.0184035" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00475821, 0.00545403, 0.00542774, 0.0053845, 0.00524589, 0.00499918, 0.00460988", \ + "0.00510808, 0.00534271, 0.00533286, 0.00552473, 0.00521407, 0.00499115, 0.00458845", \ + "0.00607972, 0.00559878, 0.00559313, 0.00548713, 0.00546483, 0.00518045, 0.00453815", \ + "0.00847468, 0.00666246, 0.00637905, 0.00617963, 0.00566404, 0.0053866, 0.00538647", \ + "0.0136505, 0.0099495, 0.00905868, 0.00829134, 0.00756735, 0.00672703, 0.00545769", \ + "0.0245933, 0.0182989, 0.0161427, 0.0140276, 0.0118287, 0.010401, 0.00879196", \ + "0.0466971, 0.0376123, 0.033895, 0.0298086, 0.0250859, 0.0207389, 0.0172433" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00400165, 0.00463858, 0.00466385, 0.00462833, 0.00447867, 0.00417606, 0.00368299", \ + "0.00471417, 0.00446019, 0.00446194, 0.0044068, 0.00430941, 0.00406025, 0.0036525", \ + "0.0058488, 0.00486757, 0.00485084, 0.00461606, 0.00443542, 0.00404433, 0.00366958", \ + "0.00832681, 0.00630662, 0.00577312, 0.0053687, 0.00482183, 0.00448214, 0.00374505", \ + "0.0139667, 0.0103672, 0.00942329, 0.00818465, 0.00709383, 0.00606812, 0.00472755", \ + "0.0247197, 0.0187943, 0.01689, 0.0148315, 0.0127101, 0.0102604, 0.00907431", \ + "0.0473363, 0.0385382, 0.0351461, 0.0316402, 0.02731, 0.0231565, 0.0181814" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00210381, 0.00277343, 0.00283781, 0.00272279, 0.00259375, 0.00232922, 0.00198293", \ + "0.00245646, 0.00268732, 0.00270542, 0.00284461, 0.00262822, 0.00234957, 0.00191503", \ + "0.00346493, 0.0029467, 0.0029611, 0.00280699, 0.00280426, 0.00252849, 0.00187725", \ + "0.0059162, 0.00402824, 0.00377894, 0.00356758, 0.00297801, 0.00281886, 0.00238088", \ + "0.0111924, 0.00737016, 0.00642036, 0.00560595, 0.0048933, 0.00411849, 0.00297075", \ + "0.0221532, 0.0157168, 0.0135398, 0.0114905, 0.00934052, 0.00798152, 0.00625718", \ + "0.0444837, 0.0351977, 0.0313941, 0.0272258, 0.0227733, 0.0182776, 0.0145708" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00401509, 0.00463922, 0.00475526, 0.00462798, 0.00448535, 0.0041728, 0.00408527", \ + "0.00480833, 0.00451803, 0.00447391, 0.0047854, 0.0043498, 0.00408729, 0.00397933", \ + "0.00607056, 0.00494994, 0.00485555, 0.00459992, 0.00503642, 0.00405423, 0.00402595", \ + "0.00873595, 0.00654493, 0.00598824, 0.00549387, 0.00501268, 0.00441614, 0.00410438", \ + "0.0146126, 0.0110049, 0.0098752, 0.00878694, 0.00729127, 0.0065873, 0.00506525", \ + "0.0264402, 0.0200834, 0.0182251, 0.015939, 0.0135304, 0.0109231, 0.00892199", \ + "0.0507239, 0.041572, 0.0382249, 0.0340485, 0.0294397, 0.0248244, 0.0198839" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00196256, 0.0026395, 0.00263744, 0.00258316, 0.00246539, 0.00224773, 0.00179963", \ + "0.00243102, 0.00254811, 0.00251364, 0.00267109, 0.00243105, 0.00216634, 0.00174307", \ + "0.00355346, 0.00282232, 0.0028292, 0.00265047, 0.00266662, 0.00229445, 0.00174981", \ + "0.00624638, 0.00407913, 0.0037109, 0.00347851, 0.00296797, 0.0026849, 0.0024073", \ + "0.0119123, 0.00774997, 0.0067326, 0.00576049, 0.00499191, 0.00416124, 0.00289316", \ + "0.0237457, 0.0168209, 0.0144909, 0.0122405, 0.00991902, 0.00818377, 0.0062758", \ + "0.0475546, 0.0378766, 0.0337538, 0.0293273, 0.0244319, 0.0197437, 0.015726" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00450528, 0.00503189, 0.00503071, 0.00497989, 0.00481032, 0.00452999, 0.00405049", \ + "0.00498399, 0.0048519, 0.0047974, 0.00474987, 0.00469553, 0.00441203, 0.00401267", \ + "0.00599852, 0.00520544, 0.00507458, 0.00493692, 0.00471554, 0.00444223, 0.00395828", \ + "0.00829581, 0.0065786, 0.00608263, 0.00585162, 0.00515133, 0.00495611, 0.00423543", \ + "0.013731, 0.0105643, 0.00970978, 0.00848168, 0.00741171, 0.00613038, 0.00521023", \ + "0.0242389, 0.0188131, 0.0169922, 0.0150335, 0.0128971, 0.010722, 0.00970855", \ + "0.0465681, 0.0383083, 0.0353171, 0.0315243, 0.0275127, 0.0232777, 0.0184035" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00196256, 0.0026395, 0.00263744, 0.00258316, 0.00246539, 0.00224773, 0.00179963", \ + "0.00243102, 0.00254811, 0.00251364, 0.00267109, 0.00243105, 0.00216634, 0.00174307", \ + "0.00355346, 0.00282232, 0.0028292, 0.00265047, 0.00266662, 0.00229445, 0.00174981", \ + "0.00624638, 0.00407913, 0.0037109, 0.00347851, 0.00296797, 0.0026849, 0.0024073", \ + "0.0119123, 0.00774997, 0.0067326, 0.00576049, 0.00499191, 0.00416124, 0.00289316", \ + "0.0237457, 0.0168209, 0.0144909, 0.0122405, 0.00991902, 0.00818377, 0.0062758", \ + "0.0475546, 0.0378766, 0.0337538, 0.0293273, 0.0244319, 0.0197437, 0.015726" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00295419; + rise_capacitance : 0.00299177; + rise_capacitance_range (0.00299177, 0.00299177); + fall_capacitance : 0.00291661; + fall_capacitance_range (0.00291661, 0.00291661); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000822363, -0.000812513, -0.00081117, -0.000807229, -0.000806707, -0.000805705, -0.000806278" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00137282, 0.00138114, 0.00137516, 0.0013785, 0.00137822, 0.00138342, 0.00138388" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000822363, -0.000812513, -0.00081117, -0.000807229, -0.000806707, -0.000805705, -0.000806278" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00137282, 0.00138114, 0.00137516, 0.0013785, 0.00137822, 0.00138342, 0.00138388" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00311447; + rise_capacitance : 0.00313618; + rise_capacitance_range (0.00313618, 0.00313618); + fall_capacitance : 0.00309277; + fall_capacitance_range (0.00309277, 0.00309277); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000397471, -0.000284123, -0.000257246, -0.000235471, -0.000211807, -0.000199352, -0.000182626" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000397471, 0.000284123, 0.000257246, 0.000235471, 0.000211807, 0.000199352, 0.000182626" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000397471, -0.000284123, -0.000257246, -0.000235471, -0.000211807, -0.000199352, -0.000182626" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000397471, 0.000284123, 0.000257246, 0.000235471, 0.000211807, 0.000199352, 0.000182626" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00282524; + rise_capacitance : 0.00286874; + rise_capacitance_range (0.00286874, 0.00286874); + fall_capacitance : 0.00278173; + fall_capacitance_range (0.00278173, 0.00278173); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000703778, 0.000701556, 0.000705, 0.000705882, 0.000709402, 0.00070928, 0.000709404" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000703778, -0.000701556, -0.000705, -0.000705882, -0.000709402, -0.00070928, -0.000709404" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000703778, 0.000701556, 0.000705, 0.000705882, 0.000709402, 0.00070928, 0.000709404" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000703778, -0.000701556, -0.000705, -0.000705882, -0.000709402, -0.00070928, -0.000709404" \ + ); + } + } + } + } + cell (sg13g2_a21oi_2) { + area : 14.5152; + cell_footprint : "a21oi"; + cell_leakage_power : 717.092; + leakage_power () { + value : 445.313; + when : "!A1*!A2*!B1"; + } + leakage_power () { + value : 718.12; + when : "!A1*!A2*B1"; + } + leakage_power () { + value : 653.36; + when : "!A1*A2*!B1"; + } + leakage_power () { + value : 878.567; + when : "!A1*A2*B1"; + } + leakage_power () { + value : 373.638; + when : "A1*!A2*!B1"; + } + leakage_power () { + value : 878.567; + when : "A1*!A2*B1"; + } + leakage_power () { + value : 869.532; + when : "A1*A2*!B1"; + } + leakage_power () { + value : 919.64; + when : "A1*A2*B1"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+B1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0303303, 0.125349, 0.18832, 0.292332, 0.466114, 0.756051, 1.23857", \ + "0.0429071, 0.148308, 0.211829, 0.316101, 0.490199, 0.780211, 1.26305", \ + "0.0491372, 0.167557, 0.233443, 0.338585, 0.512874, 0.802938, 1.28605", \ + "0.0555549, 0.197946, 0.270152, 0.380771, 0.557557, 0.847728, 1.33061", \ + "0.0661021, 0.244149, 0.327928, 0.451827, 0.640626, 0.938231, 1.42222", \ + "0.0755726, 0.301569, 0.407177, 0.554943, 0.769737, 1.09458, 1.59752", \ + "0.0859559, 0.382025, 0.516881, 0.704162, 0.967522, 1.34363, 1.90046" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0170757, 0.150103, 0.240898, 0.391243, 0.642795, 1.06237, 1.76073", \ + "0.0271856, 0.153271, 0.242117, 0.392317, 0.642796, 1.06238, 1.76074", \ + "0.037351, 0.162811, 0.24842, 0.394225, 0.646492, 1.06239, 1.76075", \ + "0.057356, 0.185042, 0.269084, 0.409657, 0.651152, 1.0652, 1.76564", \ + "0.0902734, 0.22762, 0.313291, 0.452128, 0.686109, 1.08447, 1.768", \ + "0.132978, 0.306434, 0.401337, 0.545675, 0.776287, 1.16274, 1.82073", \ + "0.212045, 0.430052, 0.541822, 0.708032, 0.95448, 1.3467, 1.98785" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0258409, 0.0920633, 0.13522, 0.206389, 0.325257, 0.523231, 0.853299", \ + "0.0430359, 0.125985, 0.171278, 0.243035, 0.361896, 0.559828, 0.889836", \ + "0.0543726, 0.153501, 0.203793, 0.279457, 0.400006, 0.598017, 0.927684", \ + "0.0675093, 0.190243, 0.250732, 0.337253, 0.467524, 0.670165, 1.00036", \ + "0.0860269, 0.241549, 0.317029, 0.423099, 0.57584, 0.800063, 1.14338", \ + "0.111173, 0.309817, 0.40684, 0.542544, 0.731789, 1.00188, 1.39393", \ + "0.145038, 0.403792, 0.526297, 0.699395, 0.944453, 1.28273, 1.76073" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0184995, 0.103136, 0.161055, 0.25765, 0.418467, 0.686961, 1.13473", \ + "0.0318918, 0.113687, 0.167764, 0.260483, 0.419169, 0.687146, 1.13474", \ + "0.0427371, 0.130931, 0.183671, 0.271964, 0.42528, 0.692263, 1.13475", \ + "0.0603695, 0.163962, 0.218491, 0.306073, 0.452083, 0.704007, 1.13982", \ + "0.0896098, 0.215702, 0.280363, 0.373619, 0.52152, 0.763714, 1.17771", \ + "0.139086, 0.302033, 0.378977, 0.489264, 0.651951, 0.904109, 1.30776", \ + "0.223818, 0.441567, 0.538949, 0.676209, 0.869831, 1.1571, 1.58412" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0367242, 0.130357, 0.193042, 0.296636, 0.469693, 0.758541, 1.23949", \ + "0.0523539, 0.154223, 0.217204, 0.320882, 0.494089, 0.782785, 1.26363", \ + "0.0609019, 0.174172, 0.239332, 0.343715, 0.517096, 0.805802, 1.28683", \ + "0.0721465, 0.205862, 0.277095, 0.386656, 0.562283, 0.851212, 1.33174", \ + "0.0907405, 0.254668, 0.337088, 0.459418, 0.646477, 0.942596, 1.42411", \ + "0.111945, 0.318253, 0.419864, 0.56474, 0.778357, 1.10045, 1.60076", \ + "0.145338, 0.412187, 0.541545, 0.723202, 0.980431, 1.35031, 1.90804" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0234461, 0.156588, 0.247075, 0.396891, 0.647517, 1.06513, 1.76109", \ + "0.0329427, 0.159594, 0.248212, 0.396979, 0.647518, 1.06514, 1.7611", \ + "0.0423422, 0.168722, 0.254393, 0.399766, 0.648674, 1.06515, 1.76111", \ + "0.0619184, 0.190648, 0.274664, 0.414863, 0.655672, 1.06716, 1.76572", \ + "0.0923941, 0.233099, 0.318853, 0.45743, 0.690783, 1.08753, 1.76811", \ + "0.13181, 0.3103, 0.403473, 0.547661, 0.78109, 1.16547, 1.82109", \ + "0.203949, 0.429725, 0.54389, 0.706715, 0.956176, 1.34588, 1.98889" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0286252, 0.0944824, 0.13764, 0.208757, 0.327655, 0.525768, 0.855526", \ + "0.044086, 0.121303, 0.16587, 0.237552, 0.356571, 0.554605, 0.884711", \ + "0.0540879, 0.14379, 0.191753, 0.266108, 0.386364, 0.584634, 0.917439", \ + "0.0643643, 0.175299, 0.23016, 0.311998, 0.438603, 0.640271, 0.971012", \ + "0.0787122, 0.219641, 0.28755, 0.383529, 0.526012, 0.741827, 1.08129", \ + "0.0972252, 0.279203, 0.365827, 0.487753, 0.658603, 0.906435, 1.27713", \ + "0.117405, 0.358624, 0.469938, 0.625407, 0.844949, 1.15064, 1.58201" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.017783, 0.102872, 0.161218, 0.257492, 0.418603, 0.687266, 1.13471", \ + "0.0276905, 0.109442, 0.16511, 0.259062, 0.419017, 0.687267, 1.13472", \ + "0.0374867, 0.121198, 0.175254, 0.26647, 0.422665, 0.6878, 1.1374", \ + "0.055565, 0.145182, 0.19963, 0.288475, 0.439495, 0.697431, 1.13791", \ + "0.0852578, 0.188864, 0.246869, 0.33712, 0.48621, 0.736399, 1.1611", \ + "0.13159, 0.263623, 0.33098, 0.430373, 0.585025, 0.832458, 1.24723", \ + "0.21098, 0.388323, 0.470047, 0.588222, 0.762378, 1.02585, 1.44168" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0293563, 0.124461, 0.18736, 0.290924, 0.464275, 0.752793, 1.23395", \ + "0.0481181, 0.155424, 0.218452, 0.322049, 0.49538, 0.784264, 1.26516", \ + "0.0604439, 0.183823, 0.250125, 0.354496, 0.527523, 0.81592, 1.29679", \ + "0.0785107, 0.228736, 0.303797, 0.416339, 0.592375, 0.880312, 1.36075", \ + "0.106979, 0.292925, 0.385115, 0.516187, 0.710691, 1.0088, 1.48908", \ + "0.141819, 0.380685, 0.497315, 0.660373, 0.895208, 1.2351, 1.74473", \ + "0.204592, 0.510487, 0.659454, 0.867848, 1.16166, 1.57529, 2.17059" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0238213, 0.156759, 0.247158, 0.396843, 0.647531, 1.06503, 1.76105", \ + "0.0371279, 0.161777, 0.248857, 0.397042, 0.647532, 1.06508, 1.76106", \ + "0.0464147, 0.176431, 0.259231, 0.401748, 0.648146, 1.06514, 1.76107", \ + "0.0639086, 0.207694, 0.290482, 0.425874, 0.660815, 1.07125, 1.76281", \ + "0.0919293, 0.261465, 0.351894, 0.490465, 0.715756, 1.10145, 1.77153", \ + "0.131886, 0.349569, 0.45504, 0.609547, 0.845153, 1.22192, 1.8559", \ + "0.20825, 0.486403, 0.616539, 0.800804, 1.07071, 1.47594, 2.10645" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0148043, 0.0552483, 0.080353, 0.121759, 0.19107, 0.306199, 0.497872", \ + "0.0244627, 0.0891182, 0.118815, 0.163099, 0.233271, 0.348485, 0.540085", \ + "0.0301092, 0.11241, 0.148473, 0.199077, 0.274365, 0.391921, 0.583631", \ + "0.0338437, 0.142009, 0.187917, 0.250995, 0.339384, 0.468318, 0.665981", \ + "0.0371304, 0.179581, 0.241335, 0.323259, 0.43522, 0.590267, 0.812732", \ + "0.0372678, 0.22548, 0.306811, 0.417687, 0.566622, 0.766078, 1.04018", \ + "0.0372688, 0.273265, 0.382656, 0.529224, 0.730431, 1, 1.35706" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0117379, 0.0592381, 0.0927313, 0.148611, 0.242236, 0.398216, 0.658439", \ + "0.0288222, 0.0760274, 0.106055, 0.156967, 0.245776, 0.399301, 0.65844", \ + "0.0420664, 0.0947068, 0.12554, 0.175137, 0.259505, 0.406413, 0.660187", \ + "0.0630585, 0.12616, 0.161514, 0.213891, 0.297678, 0.43669, 0.67805", \ + "0.0968096, 0.178585, 0.220273, 0.281686, 0.372066, 0.513563, 0.744252", \ + "0.153278, 0.263078, 0.317342, 0.392668, 0.500877, 0.657511, 0.902852", \ + "0.247856, 0.403988, 0.474112, 0.573134, 0.711834, 0.902164, 1.17852" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0221631, 0.117534, 0.180629, 0.284638, 0.458783, 0.748283, 1.23147", \ + "0.0364462, 0.148487, 0.211783, 0.315776, 0.489789, 0.779821, 1.26261", \ + "0.0461608, 0.176252, 0.243239, 0.348225, 0.521854, 0.811573, 1.29417", \ + "0.0600634, 0.219519, 0.296205, 0.409689, 0.586632, 0.875896, 1.35855", \ + "0.0833234, 0.281904, 0.375844, 0.508792, 0.704576, 1.00435, 1.4865", \ + "0.112042, 0.366571, 0.485748, 0.651353, 0.888343, 1.22994, 1.74219", \ + "0.166323, 0.492477, 0.644097, 0.855675, 1.15246, 1.56922, 2.16784" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0180531, 0.150135, 0.240982, 0.391217, 0.642833, 1.06198, 1.76091", \ + "0.0305226, 0.155996, 0.243004, 0.391417, 0.642834, 1.06217, 1.76092", \ + "0.0399784, 0.17117, 0.253803, 0.396396, 0.645084, 1.06218, 1.76093", \ + "0.055941, 0.202656, 0.285549, 0.42091, 0.656555, 1.06785, 1.76187", \ + "0.0829499, 0.255321, 0.345986, 0.485998, 0.712418, 1.09908, 1.77157", \ + "0.121301, 0.344455, 0.450119, 0.605418, 0.841303, 1.2199, 1.85607", \ + "0.19793, 0.479766, 0.613095, 0.799112, 1.06587, 1.47311, 2.10679" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0144635, 0.0548222, 0.0797614, 0.120955, 0.189911, 0.304736, 0.496363", \ + "0.0235234, 0.0884893, 0.118099, 0.162292, 0.232178, 0.347039, 0.53836", \ + "0.0283267, 0.111491, 0.147524, 0.198062, 0.273193, 0.390413, 0.58196", \ + "0.0305173, 0.140463, 0.186483, 0.249551, 0.337866, 0.466655, 0.664243", \ + "0.0305183, 0.176774, 0.23877, 0.321172, 0.433151, 0.588202, 0.810808", \ + "0.0305193, 0.220328, 0.302258, 0.413868, 0.563526, 0.762986, 1.038", \ + "0.0305203, 0.263162, 0.374588, 0.522932, 0.725593, 0.99649, 1.35439" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00800705, 0.0552786, 0.0888076, 0.144764, 0.238349, 0.394255, 0.654511", \ + "0.0198213, 0.071729, 0.102055, 0.153, 0.24185, 0.395536, 0.654512", \ + "0.0300315, 0.0897527, 0.121466, 0.17116, 0.255634, 0.402752, 0.656404", \ + "0.0461335, 0.120224, 0.156536, 0.209656, 0.293765, 0.432806, 0.674144", \ + "0.0726286, 0.170863, 0.214191, 0.276476, 0.367609, 0.50935, 0.740286", \ + "0.118029, 0.251813, 0.309185, 0.387377, 0.496173, 0.654473, 0.897516", \ + "0.200135, 0.388813, 0.462508, 0.563131, 0.705767, 0.896535, 1.17616" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0187142, 0.0896391, 0.136728, 0.213211, 0.341823, 0.556073, 0.913161", \ + "0.0317264, 0.122765, 0.170695, 0.247665, 0.376267, 0.590735, 0.948171", \ + "0.0398825, 0.149592, 0.202485, 0.282476, 0.411419, 0.62548, 0.982496", \ + "0.0508195, 0.189145, 0.252757, 0.343416, 0.480012, 0.695834, 1.05233", \ + "0.0684537, 0.245472, 0.324962, 0.436483, 0.595052, 0.828804, 1.19168", \ + "0.0887395, 0.315888, 0.420597, 0.564683, 0.763657, 1.04451, 1.45003", \ + "0.124445, 0.418379, 0.554072, 0.741668, 1.00019, 1.35356, 1.85093" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0138174, 0.111594, 0.180205, 0.29224, 0.480754, 0.795052, 1.31871", \ + "0.0265793, 0.120837, 0.184134, 0.293448, 0.480755, 0.795199, 1.31907", \ + "0.0361914, 0.138087, 0.198514, 0.3021, 0.483713, 0.7952, 1.31908", \ + "0.051822, 0.170217, 0.233207, 0.333409, 0.504619, 0.803832, 1.31931", \ + "0.0785937, 0.222627, 0.295378, 0.402102, 0.571826, 0.852881, 1.34389", \ + "0.116673, 0.308687, 0.399583, 0.523099, 0.706456, 0.990336, 1.45796", \ + "0.193316, 0.440083, 0.553232, 0.714636, 0.93128, 1.25235, 1.73354" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0141845, 0.054636, 0.0796043, 0.120779, 0.18974, 0.304587, 0.496224", \ + "0.0234646, 0.0882144, 0.117885, 0.161998, 0.231982, 0.346839, 0.538119", \ + "0.0288586, 0.111269, 0.147269, 0.19778, 0.27295, 0.390213, 0.581739", \ + "0.0326731, 0.140497, 0.186499, 0.249367, 0.337683, 0.466496, 0.664063", \ + "0.0354364, 0.178331, 0.239831, 0.321575, 0.43323, 0.58821, 0.81082", \ + "0.0354374, 0.225972, 0.306267, 0.416601, 0.565302, 0.764058, 1.03827", \ + "0.0354384, 0.279295, 0.388176, 0.532937, 0.732043, 1.00129, 1.35699" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00813777, 0.0552875, 0.0888476, 0.144675, 0.238351, 0.39446, 0.654553", \ + "0.0199895, 0.0718993, 0.102146, 0.153109, 0.242162, 0.395178, 0.654554", \ + "0.0300538, 0.0899553, 0.12128, 0.171322, 0.2557, 0.402822, 0.656443", \ + "0.045636, 0.120148, 0.156609, 0.209653, 0.293627, 0.432903, 0.674216", \ + "0.0714795, 0.169839, 0.213527, 0.276175, 0.367285, 0.509387, 0.740456", \ + "0.116315, 0.248114, 0.306098, 0.384756, 0.495157, 0.652379, 0.896854", \ + "0.197118, 0.3808, 0.453321, 0.555636, 0.700102, 0.893868, 1.17253" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0293563, 0.124461, 0.18736, 0.290924, 0.464275, 0.752793, 1.23395", \ + "0.0481181, 0.155424, 0.218452, 0.322049, 0.49538, 0.784264, 1.26516", \ + "0.0604439, 0.183823, 0.250125, 0.354496, 0.527523, 0.81592, 1.29679", \ + "0.0785107, 0.228736, 0.303797, 0.416339, 0.592375, 0.880312, 1.36075", \ + "0.106979, 0.292925, 0.385115, 0.516187, 0.710691, 1.0088, 1.48908", \ + "0.141819, 0.380685, 0.497315, 0.660373, 0.895208, 1.2351, 1.74473", \ + "0.204592, 0.510487, 0.659454, 0.867848, 1.16166, 1.57529, 2.17059" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0238213, 0.156759, 0.247158, 0.396843, 0.647531, 1.06503, 1.76105", \ + "0.0371279, 0.161777, 0.248857, 0.397042, 0.647532, 1.06508, 1.76106", \ + "0.0464147, 0.176431, 0.259231, 0.401748, 0.648146, 1.06514, 1.76107", \ + "0.0639086, 0.207694, 0.290482, 0.425874, 0.660815, 1.07125, 1.76281", \ + "0.0919293, 0.261465, 0.351894, 0.490465, 0.715756, 1.10145, 1.77153", \ + "0.131886, 0.349569, 0.45504, 0.609547, 0.845153, 1.22192, 1.8559", \ + "0.20825, 0.486403, 0.616539, 0.800804, 1.07071, 1.47594, 2.10645" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0148043, 0.0552483, 0.080353, 0.121759, 0.19107, 0.306199, 0.497872", \ + "0.0244627, 0.0891182, 0.118815, 0.163099, 0.233271, 0.348485, 0.540085", \ + "0.0301092, 0.11241, 0.148473, 0.199077, 0.274365, 0.391921, 0.583631", \ + "0.0338437, 0.142009, 0.187917, 0.250995, 0.339384, 0.468318, 0.665981", \ + "0.0371304, 0.179581, 0.241335, 0.323259, 0.43522, 0.590267, 0.812732", \ + "0.0372678, 0.22548, 0.306811, 0.417687, 0.566622, 0.766078, 1.04018", \ + "0.0372688, 0.273265, 0.382656, 0.529224, 0.730431, 1, 1.35706" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0117379, 0.0592381, 0.0927313, 0.148611, 0.242236, 0.398216, 0.658439", \ + "0.0288222, 0.0760274, 0.106055, 0.156967, 0.245776, 0.399301, 0.65844", \ + "0.0420664, 0.0947068, 0.12554, 0.175137, 0.259505, 0.406413, 0.660187", \ + "0.0630585, 0.12616, 0.161514, 0.213891, 0.297678, 0.43669, 0.67805", \ + "0.0968096, 0.178585, 0.220273, 0.281686, 0.372066, 0.513563, 0.744252", \ + "0.153278, 0.263078, 0.317342, 0.392668, 0.500877, 0.657511, 0.902852", \ + "0.247856, 0.403988, 0.474112, 0.573134, 0.711834, 0.902164, 1.17852" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0107344, 0.012055, 0.0120515, 0.0119184, 0.0115892, 0.0109119, 0.0101532", \ + "0.0104306, 0.0112499, 0.0114575, 0.0116496, 0.0112815, 0.0107124, 0.0100636", \ + "0.0115381, 0.0112491, 0.0114034, 0.0113612, 0.0116864, 0.0106903, 0.00998795", \ + "0.0153443, 0.0127392, 0.0122663, 0.0123242, 0.011461, 0.0108879, 0.0111453", \ + "0.025116, 0.0183602, 0.0169554, 0.0153468, 0.0140399, 0.0132403, 0.011184", \ + "0.0458591, 0.0339758, 0.0305429, 0.0268045, 0.0230985, 0.0192122, 0.0172037", \ + "0.0886393, 0.0711405, 0.0642937, 0.0571169, 0.0491727, 0.0412593, 0.0326855" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0101982, 0.0105948, 0.0104391, 0.0103175, 0.0098864, 0.00932238, 0.00830789", \ + "0.0103751, 0.0102944, 0.0103789, 0.0104242, 0.00972103, 0.00921665, 0.00813573", \ + "0.0120679, 0.0108676, 0.0105675, 0.0105702, 0.00982414, 0.0101749, 0.00809805", \ + "0.0165121, 0.0131234, 0.0123965, 0.0114813, 0.0109286, 0.00965236, 0.00939615", \ + "0.0260372, 0.0194019, 0.0176068, 0.0158486, 0.0140437, 0.0121163, 0.0118821", \ + "0.0466505, 0.0350578, 0.0310824, 0.0268762, 0.0230261, 0.0193733, 0.0147364", \ + "0.0885646, 0.0724301, 0.065758, 0.0571542, 0.0485226, 0.0398814, 0.0321726" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0139564, 0.0142027, 0.0141092, 0.0139101, 0.0135852, 0.0128937, 0.0118562", \ + "0.0138649, 0.014092, 0.0140828, 0.0139116, 0.0136039, 0.0130393, 0.0122713", \ + "0.0147501, 0.0143263, 0.0145292, 0.0140134, 0.0137419, 0.013087, 0.0122919", \ + "0.0183461, 0.0159972, 0.0153808, 0.0152882, 0.0141749, 0.0132705, 0.0134842", \ + "0.0278709, 0.0219503, 0.020444, 0.0187513, 0.0171249, 0.0161782, 0.0136135", \ + "0.0480994, 0.0380543, 0.0343359, 0.0304666, 0.0268071, 0.0225253, 0.0216778", \ + "0.089997, 0.0757601, 0.069665, 0.0621364, 0.0539861, 0.0451062, 0.0368101" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0149121, 0.0150475, 0.0149596, 0.0147773, 0.0144043, 0.0139447, 0.0127476", \ + "0.0142292, 0.014475, 0.0146303, 0.0145193, 0.0139967, 0.0134345, 0.0124311", \ + "0.0151977, 0.0148564, 0.0145526, 0.0146354, 0.0141633, 0.0133314, 0.01348", \ + "0.0186708, 0.0163511, 0.0159779, 0.0153133, 0.0149238, 0.0137379, 0.0129803", \ + "0.027742, 0.0216284, 0.020183, 0.0188603, 0.0175204, 0.0158199, 0.0163425", \ + "0.0477072, 0.0367189, 0.0329867, 0.0293727, 0.0261286, 0.0228718, 0.019091", \ + "0.0887084, 0.0725359, 0.0659983, 0.0579823, 0.0498203, 0.0418281, 0.034757" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00905931, 0.0101771, 0.0101888, 0.0100893, 0.00983317, 0.00916802, 0.00810877", \ + "0.0101668, 0.00983267, 0.00978731, 0.00968273, 0.00950076, 0.00898727, 0.00800099", \ + "0.0122682, 0.0104953, 0.0102627, 0.0101409, 0.00960135, 0.00901847, 0.00818908", \ + "0.0170019, 0.0134083, 0.012283, 0.011636, 0.010465, 0.00998865, 0.00905496", \ + "0.0280192, 0.0213266, 0.0195816, 0.0170722, 0.0149156, 0.0130399, 0.0106799", \ + "0.049176, 0.0378226, 0.0341857, 0.0301713, 0.0261888, 0.0215785, 0.0194414", \ + "0.0940942, 0.07688, 0.0707756, 0.0631231, 0.0552422, 0.0464161, 0.0366188" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00859279, 0.010243, 0.0101476, 0.0100415, 0.00980012, 0.00924162, 0.00844645", \ + "0.00960679, 0.00998389, 0.0100189, 0.0103342, 0.00970139, 0.00942379, 0.00846763", \ + "0.0117204, 0.0104561, 0.0104911, 0.0102249, 0.0103006, 0.0095317, 0.00834646", \ + "0.0166143, 0.0126224, 0.0120851, 0.0116362, 0.0107605, 0.0101596, 0.00900771", \ + "0.0271366, 0.0192463, 0.0174512, 0.0157399, 0.0144394, 0.0125084, 0.0102448", \ + "0.0490082, 0.0359579, 0.0315895, 0.0271767, 0.0229341, 0.0202363, 0.0172536", \ + "0.0934208, 0.0746557, 0.0672205, 0.0589062, 0.0495139, 0.0407389, 0.0335374" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00776085, 0.00914819, 0.00922925, 0.00914774, 0.00882541, 0.00820788, 0.00720265", \ + "0.00940037, 0.00885253, 0.00889159, 0.00872729, 0.00850628, 0.00802198, 0.0071468", \ + "0.011802, 0.00960053, 0.00957499, 0.00923623, 0.00889335, 0.00806378, 0.00700158", \ + "0.016899, 0.0125069, 0.0113933, 0.0108817, 0.00947114, 0.00896675, 0.00768075", \ + "0.0283629, 0.0206206, 0.0187074, 0.0162882, 0.0140264, 0.0116758, 0.00935649", \ + "0.0499632, 0.0375105, 0.0336307, 0.0294885, 0.0253824, 0.0204346, 0.0186226", \ + "0.0953709, 0.0768433, 0.0701066, 0.0629311, 0.0544584, 0.0457804, 0.0358667" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00327433, 0.00484561, 0.00501629, 0.00476946, 0.00450571, 0.00397958, 0.0032996", \ + "0.00430861, 0.00464188, 0.00465366, 0.00496519, 0.00455355, 0.00428016, 0.00317048", \ + "0.0064919, 0.00518354, 0.00526261, 0.00489337, 0.00489956, 0.00435789, 0.00298847", \ + "0.0115533, 0.00740314, 0.00685697, 0.00640514, 0.00547183, 0.00493525, 0.00373412", \ + "0.0222359, 0.014046, 0.0122593, 0.0105523, 0.00917022, 0.00746322, 0.00496248", \ + "0.0443043, 0.030707, 0.0264354, 0.0222582, 0.0178744, 0.0151962, 0.0117418", \ + "0.0889717, 0.0696789, 0.0619823, 0.0536429, 0.0445242, 0.0358149, 0.0287616" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00780716, 0.00913845, 0.00939518, 0.00912524, 0.0088016, 0.00825321, 0.00809044", \ + "0.00964998, 0.00890401, 0.00880143, 0.00940681, 0.00848096, 0.00803839, 0.00809004", \ + "0.0122674, 0.00976576, 0.00955159, 0.00911449, 0.00980437, 0.00800706, 0.00777221", \ + "0.0177638, 0.0129801, 0.0118743, 0.0108453, 0.0101458, 0.00869108, 0.00812375", \ + "0.0296831, 0.0219234, 0.0197558, 0.0174258, 0.014494, 0.0130344, 0.0109261", \ + "0.053479, 0.0401551, 0.0362949, 0.03176, 0.0268481, 0.0218875, 0.0177308", \ + "0.102206, 0.0830625, 0.0762305, 0.0680849, 0.0588536, 0.0495799, 0.0395535" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00297661, 0.0045559, 0.00459802, 0.00447657, 0.00421493, 0.00375534, 0.00305237", \ + "0.00425625, 0.00436972, 0.00431891, 0.00459534, 0.00418569, 0.00364023, 0.00279861", \ + "0.00668993, 0.00495833, 0.00490858, 0.00456554, 0.00460465, 0.00401716, 0.0026462", \ + "0.0123041, 0.00743637, 0.00678122, 0.0062696, 0.00521664, 0.00445606, 0.00347247", \ + "0.0238139, 0.0148264, 0.0128587, 0.0108321, 0.00923722, 0.00752313, 0.0048337", \ + "0.0475501, 0.0330102, 0.0282655, 0.023645, 0.0191154, 0.0156813, 0.0119697", \ + "0.0956478, 0.0750141, 0.0669755, 0.0579256, 0.0479899, 0.0388695, 0.0307882" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00905931, 0.0101771, 0.0101888, 0.0100893, 0.00983317, 0.00916802, 0.00810877", \ + "0.0101668, 0.00983267, 0.00978731, 0.00968273, 0.00950076, 0.00898727, 0.00800099", \ + "0.0122682, 0.0104953, 0.0102627, 0.0101409, 0.00960135, 0.00901847, 0.00818908", \ + "0.0170019, 0.0134083, 0.012283, 0.011636, 0.010465, 0.00998865, 0.00905496", \ + "0.0280192, 0.0213266, 0.0195816, 0.0170722, 0.0149156, 0.0130399, 0.0106799", \ + "0.049176, 0.0378226, 0.0341857, 0.0301713, 0.0261888, 0.0215785, 0.0194414", \ + "0.0940942, 0.07688, 0.0707756, 0.0631231, 0.0552422, 0.0464161, 0.0366188" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00297661, 0.0045559, 0.00459802, 0.00447657, 0.00421493, 0.00375534, 0.00305237", \ + "0.00425625, 0.00436972, 0.00431891, 0.00459534, 0.00418569, 0.00364023, 0.00279861", \ + "0.00668993, 0.00495833, 0.00490858, 0.00456554, 0.00460465, 0.00401716, 0.0026462", \ + "0.0123041, 0.00743637, 0.00678122, 0.0062696, 0.00521664, 0.00445606, 0.00347247", \ + "0.0238139, 0.0148264, 0.0128587, 0.0108321, 0.00923722, 0.00752313, 0.0048337", \ + "0.0475501, 0.0330102, 0.0282655, 0.023645, 0.0191154, 0.0156813, 0.0119697", \ + "0.0956478, 0.0750141, 0.0669755, 0.0579256, 0.0479899, 0.0388695, 0.0307882" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00567658; + rise_capacitance : 0.00575032; + rise_capacitance_range (0.00575032, 0.00575032); + fall_capacitance : 0.00560284; + fall_capacitance_range (0.00560284, 0.00560284); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00165036, -0.00162688, -0.0016159, -0.00161085, -0.00161587, -0.00161409, -0.00162326" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00299232, 0.00300379, 0.00299365, 0.0029976, 0.00299853, 0.0030116, 0.00301268" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00165036, -0.00162688, -0.0016159, -0.00161085, -0.00161587, -0.00161409, -0.00162326" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00299232, 0.00300379, 0.00299365, 0.0029976, 0.00299853, 0.0030116, 0.00301268" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00624491; + rise_capacitance : 0.00628726; + rise_capacitance_range (0.00628726, 0.00628726); + fall_capacitance : 0.00620256; + fall_capacitance_range (0.00620256, 0.00620256); + internal_power () { + when : "B1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000799776, -0.000571159, -0.000513344, -0.000467847, -0.000417046, -0.000392351, -0.000358812" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000799776, 0.000571159, 0.000513344, 0.000467847, 0.000417046, 0.000392351, 0.000358812" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000799776, -0.000571159, -0.000513344, -0.000467847, -0.000417046, -0.000392351, -0.000358812" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000799776, 0.000571159, 0.000513344, 0.000467847, 0.000417046, 0.000392351, 0.000358812" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00554463; + rise_capacitance : 0.00563522; + rise_capacitance_range (0.00563522, 0.00563522); + fall_capacitance : 0.00545405; + fall_capacitance_range (0.00545405, 0.00545405); + internal_power () { + when : "(A1 * A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00127588, 0.00127231, 0.0012769, 0.00128249, 0.00128808, 0.00128489, 0.00128657" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00127588, -0.00127231, -0.0012769, -0.00128249, -0.00128808, -0.00128489, -0.00128657" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00127588, 0.00127231, 0.0012769, 0.00128249, 0.00128808, 0.00128489, 0.00128657" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00127588, -0.00127231, -0.0012769, -0.00128249, -0.00128808, -0.00128489, -0.00128657" \ + ); + } + } + } + } + cell (sg13g2_a221oi_1) { + area : 14.5152; + cell_footprint : "a221oi"; + cell_leakage_power : 536.895; + leakage_power () { + value : 351.413; + when : "!A1*!A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 476.302; + when : "!A1*!A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 455.429; + when : "!A1*!A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 556.517; + when : "!A1*!A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 315.575; + when : "!A1*!A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 556.525; + when : "!A1*!A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 552.079; + when : "!A1*!A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 577.054; + when : "!A1*!A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 455.444; + when : "!A1*A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 556.532; + when : "!A1*A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 559.46; + when : "!A1*A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 636.747; + when : "!A1*A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 419.607; + when : "!A1*A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 636.756; + when : "!A1*A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 632.309; + when : "!A1*A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 657.285; + when : "!A1*A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 315.575; + when : "A1*!A2*!B1*!B2*!C1*Y"; + } + leakage_power () { + value : 556.525; + when : "A1*!A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 419.591; + when : "A1*!A2*!B1*B2*!C1*Y"; + } + leakage_power () { + value : 636.74; + when : "A1*!A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 279.738; + when : "A1*!A2*B1*!B2*!C1*Y"; + } + leakage_power () { + value : 636.748; + when : "A1*!A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 632.302; + when : "A1*!A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 657.277; + when : "A1*!A2*B1*B2*C1*!Y"; + } + leakage_power () { + value : 439.762; + when : "A1*A2*!B1*!B2*!C1*!Y"; + } + leakage_power () { + value : 488.112; + when : "A1*A2*!B1*!B2*C1*!Y"; + } + leakage_power () { + value : 580.792; + when : "A1*A2*!B1*B2*!C1*!Y"; + } + leakage_power () { + value : 615.659; + when : "A1*A2*!B1*B2*C1*!Y"; + } + leakage_power () { + value : 580.8; + when : "A1*A2*B1*!B2*!C1*!Y"; + } + leakage_power () { + value : 615.667; + when : "A1*A2*B1*!B2*C1*!Y"; + } + leakage_power () { + value : 605.054; + when : "A1*A2*B1*B2*!C1*!Y"; + } + leakage_power () { + value : 725.277; + when : "A1*A2*B1*B2*C1*!Y"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+(B1*B2)+C1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b1 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0746019, 0.355576, 0.544344, 0.856972, 1.37931, 2.25018, 3.70373", \ + "0.0916758, 0.375751, 0.564805, 0.878118, 1.40045, 2.27169, 3.72526", \ + "0.101483, 0.391007, 0.580582, 0.894169, 1.41735, 2.28796, 3.74011", \ + "0.113326, 0.418643, 0.609044, 0.921861, 1.44564, 2.31654, 3.76778", \ + "0.130446, 0.467136, 0.664266, 0.980409, 1.5038, 2.37455, 3.82589", \ + "0.149292, 0.542863, 0.755117, 1.08541, 1.61922, 2.4894, 3.93887", \ + "0.1837, 0.664432, 0.907215, 1.26439, 1.82815, 2.72275, 4.17932" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0532053, 0.450506, 0.720589, 1.16718, 1.91481, 3.15968, 5.23754", \ + "0.0577925, 0.450507, 0.72059, 1.16735, 1.91482, 3.16222, 5.23755", \ + "0.064726, 0.45173, 0.722365, 1.16856, 1.91501, 3.16223, 5.23756", \ + "0.0802342, 0.460727, 0.724887, 1.17194, 1.91502, 3.16224, 5.23861", \ + "0.116769, 0.490233, 0.748106, 1.18052, 1.91891, 3.16225, 5.23862", \ + "0.174636, 0.561811, 0.814355, 1.23516, 1.95298, 3.17532, 5.24193", \ + "0.268545, 0.697803, 0.953902, 1.37008, 2.07151, 3.25967, 5.28062" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0358292, 0.169957, 0.257143, 0.40019, 0.638572, 1.03548, 1.69637", \ + "0.0605134, 0.206187, 0.293563, 0.436544, 0.67495, 1.07216, 1.73309", \ + "0.0766231, 0.24114, 0.331134, 0.474805, 0.712967, 1.10964, 1.77045", \ + "0.0962848, 0.294269, 0.394108, 0.54487, 0.785616, 1.18205, 1.84259", \ + "0.124342, 0.370431, 0.490735, 0.663011, 0.922092, 1.32688, 1.98803", \ + "0.163066, 0.474372, 0.625064, 0.837169, 1.14236, 1.59185, 2.27636", \ + "0.214741, 0.607373, 0.802349, 1.07247, 1.45341, 1.99357, 2.77716" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0394644, 0.209979, 0.326685, 0.51945, 0.843086, 1.38073, 2.27633", \ + "0.0552977, 0.214306, 0.327974, 0.519514, 0.843087, 1.38094, 2.27651", \ + "0.0703343, 0.228442, 0.337224, 0.523691, 0.84462, 1.38095, 2.27652", \ + "0.0959349, 0.26329, 0.368844, 0.546019, 0.854725, 1.38343, 2.27653", \ + "0.137182, 0.330426, 0.43859, 0.612978, 0.905595, 1.41197, 2.2871", \ + "0.206377, 0.441254, 0.56654, 0.75072, 1.04708, 1.53088, 2.35834", \ + "0.323198, 0.625346, 0.773818, 0.992129, 1.31146, 1.81537, 2.62006" \ + ); + } + } + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0639145, 0.345724, 0.535416, 0.848536, 1.37287, 2.24653, 3.70502", \ + "0.0802779, 0.365858, 0.555806, 0.869376, 1.39426, 2.26821, 3.72651", \ + "0.088915, 0.381094, 0.571285, 0.8857, 1.4108, 2.28545, 3.74126", \ + "0.0985562, 0.408472, 0.599665, 0.913485, 1.43848, 2.31377, 3.76882", \ + "0.111118, 0.456773, 0.654671, 0.971765, 1.4965, 2.37048, 3.82637", \ + "0.12556, 0.52997, 0.743256, 1.07612, 1.60973, 2.48349, 3.9391", \ + "0.15206, 0.651421, 0.894117, 1.2592, 1.82438, 2.7191, 4.17833" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0435206, 0.441601, 0.71259, 1.1607, 1.91083, 3.16148, 5.24564", \ + "0.048961, 0.442419, 0.71288, 1.16116, 1.91084, 3.16149, 5.24578", \ + "0.0560489, 0.442953, 0.712881, 1.1618, 1.91118, 3.16169, 5.24579", \ + "0.0728143, 0.452319, 0.717036, 1.16454, 1.91119, 3.1617, 5.2469", \ + "0.111117, 0.481756, 0.740585, 1.17456, 1.9147, 3.16171, 5.24691", \ + "0.168541, 0.55179, 0.806675, 1.22905, 1.94977, 3.17504, 5.2503", \ + "0.262168, 0.693704, 0.945644, 1.36746, 2.07162, 3.2597, 5.28869" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0349565, 0.168093, 0.254836, 0.397502, 0.635888, 1.03276, 1.69383", \ + "0.0589813, 0.204361, 0.291281, 0.433819, 0.672252, 1.06988, 1.73047", \ + "0.0743602, 0.239106, 0.328763, 0.472145, 0.710255, 1.10702, 1.76777", \ + "0.0925517, 0.291704, 0.391355, 0.54209, 0.782838, 1.17942, 1.8399", \ + "0.117582, 0.366808, 0.487384, 0.659797, 0.91917, 1.32404, 1.98542", \ + "0.150299, 0.468739, 0.620682, 0.833257, 1.13889, 1.58911, 2.27346", \ + "0.192393, 0.599004, 0.79595, 1.06948, 1.44926, 1.99029, 2.77511" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0313861, 0.203612, 0.320539, 0.513547, 0.8369, 1.37456, 2.2714", \ + "0.0460759, 0.20793, 0.322059, 0.513548, 0.836901, 1.37511, 2.27141", \ + "0.0595425, 0.221974, 0.330862, 0.518017, 0.83918, 1.37512, 2.27142", \ + "0.0820622, 0.256575, 0.362595, 0.539594, 0.847394, 1.37798, 2.27143", \ + "0.118945, 0.322309, 0.432829, 0.606449, 0.899525, 1.40503, 2.27882", \ + "0.182017, 0.43159, 0.55729, 0.742785, 1.04018, 1.52391, 2.35278", \ + "0.289781, 0.613241, 0.762955, 0.981102, 1.30359, 1.80654, 2.61454" \ + ); + } + } + timing () { + related_pin : "A1"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0580103, 0.291319, 0.448191, 0.707023, 1.14078, 1.86357, 3.07006", \ + "0.0768535, 0.314661, 0.471773, 0.731182, 1.16566, 1.88864, 3.09331", \ + "0.0868415, 0.333518, 0.490815, 0.750805, 1.1849, 1.90781, 3.11349", \ + "0.0979176, 0.366728, 0.526426, 0.786335, 1.22072, 1.94403, 3.15075", \ + "0.110636, 0.422546, 0.591196, 0.857524, 1.29335, 2.01642, 3.2215", \ + "0.123141, 0.503268, 0.691003, 0.979558, 1.4309, 2.1594, 3.36279", \ + "0.147456, 0.624434, 0.851084, 1.17952, 1.67009, 2.43461, 3.65669" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0364804, 0.367557, 0.59298, 0.965532, 1.58983, 2.62903, 4.36393", \ + "0.0430437, 0.369803, 0.592981, 0.965533, 1.58988, 2.62996, 4.36394", \ + "0.0514041, 0.369891, 0.595358, 0.965726, 1.59078, 2.62999, 4.36577", \ + "0.0701147, 0.382644, 0.600389, 0.967899, 1.59079, 2.63, 4.36578", \ + "0.10936, 0.418646, 0.630844, 0.986734, 1.5972, 2.63281, 4.36579", \ + "0.168534, 0.499648, 0.708429, 1.05661, 1.64647, 2.65519, 4.36957", \ + "0.264695, 0.647269, 0.867508, 1.21608, 1.79423, 2.7683, 4.43391" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0364568, 0.170275, 0.257115, 0.399968, 0.638371, 1.03536, 1.6964", \ + "0.0613859, 0.206573, 0.293563, 0.436276, 0.674674, 1.0724, 1.73307", \ + "0.0777036, 0.241408, 0.331088, 0.474506, 0.712753, 1.10948, 1.77064", \ + "0.0972312, 0.294428, 0.393931, 0.544612, 0.785345, 1.182, 1.84266", \ + "0.124624, 0.370713, 0.490653, 0.662894, 0.921921, 1.32672, 1.98796", \ + "0.16145, 0.475009, 0.62546, 0.837721, 1.14257, 1.59229, 2.27627", \ + "0.209455, 0.610175, 0.805181, 1.07686, 1.4549, 1.99421, 2.77831" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0330751, 0.205786, 0.322717, 0.515389, 0.838648, 1.37683, 2.27369", \ + "0.0472254, 0.209905, 0.323958, 0.515696, 0.838649, 1.37738, 2.2737", \ + "0.0606818, 0.223667, 0.333086, 0.520091, 0.841443, 1.37739, 2.27371", \ + "0.0829564, 0.258381, 0.364115, 0.541548, 0.849775, 1.37986, 2.27372", \ + "0.118935, 0.32317, 0.432999, 0.608172, 0.901386, 1.40715, 2.28125", \ + "0.179713, 0.431796, 0.557759, 0.744109, 1.04148, 1.52497, 2.35438", \ + "0.28165, 0.609578, 0.761066, 0.981709, 1.30419, 1.80987, 2.61489" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0746019, 0.355576, 0.544344, 0.856972, 1.37931, 2.25018, 3.70373", \ + "0.0916758, 0.375751, 0.564805, 0.878118, 1.40045, 2.27169, 3.72526", \ + "0.101483, 0.391007, 0.580582, 0.894169, 1.41735, 2.28796, 3.74011", \ + "0.113326, 0.418643, 0.609044, 0.921861, 1.44564, 2.31654, 3.76778", \ + "0.130446, 0.467136, 0.664266, 0.980409, 1.5038, 2.37455, 3.82589", \ + "0.149292, 0.542863, 0.755117, 1.08541, 1.61922, 2.4894, 3.93887", \ + "0.1837, 0.664432, 0.907215, 1.26439, 1.82815, 2.72275, 4.17932" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0532053, 0.450506, 0.720589, 1.16718, 1.91481, 3.15968, 5.23754", \ + "0.0577925, 0.450507, 0.72059, 1.16735, 1.91482, 3.16222, 5.23755", \ + "0.064726, 0.45173, 0.722365, 1.16856, 1.91501, 3.16223, 5.23756", \ + "0.0802342, 0.460727, 0.724887, 1.17194, 1.91502, 3.16224, 5.23861", \ + "0.116769, 0.490233, 0.748106, 1.18052, 1.91891, 3.16225, 5.23862", \ + "0.174636, 0.561811, 0.814355, 1.23516, 1.95298, 3.17532, 5.24193", \ + "0.268545, 0.697803, 0.953902, 1.37008, 2.07151, 3.25967, 5.28062" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0364568, 0.170275, 0.257115, 0.399968, 0.638371, 1.03536, 1.6964", \ + "0.0613859, 0.206573, 0.293563, 0.436276, 0.674674, 1.0724, 1.73307", \ + "0.0777036, 0.241408, 0.331088, 0.474506, 0.712753, 1.10948, 1.77064", \ + "0.0972312, 0.294428, 0.393931, 0.544612, 0.785345, 1.182, 1.84266", \ + "0.124624, 0.370713, 0.490653, 0.662894, 0.921921, 1.32672, 1.98796", \ + "0.16145, 0.475009, 0.62546, 0.837721, 1.14257, 1.59229, 2.27627", \ + "0.209455, 0.610175, 0.805181, 1.07686, 1.4549, 1.99421, 2.77831" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0330751, 0.205786, 0.322717, 0.515389, 0.838648, 1.37683, 2.27369", \ + "0.0472254, 0.209905, 0.323958, 0.515696, 0.838649, 1.37738, 2.2737", \ + "0.0606818, 0.223667, 0.333086, 0.520091, 0.841443, 1.37739, 2.27371", \ + "0.0829564, 0.258381, 0.364115, 0.541548, 0.849775, 1.37986, 2.27372", \ + "0.118935, 0.32317, 0.432999, 0.608172, 0.901386, 1.40715, 2.28125", \ + "0.179713, 0.431796, 0.557759, 0.744109, 1.04148, 1.52497, 2.35438", \ + "0.28165, 0.609578, 0.761066, 0.981709, 1.30419, 1.80987, 2.61489" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b1 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0834906, 0.362646, 0.551044, 0.862682, 1.3845, 2.25397, 3.70503", \ + "0.102161, 0.383582, 0.57347, 0.883942, 1.40601, 2.27481, 3.72484", \ + "0.113102, 0.39928, 0.588133, 0.900723, 1.42208, 2.29293, 3.74083", \ + "0.127132, 0.427644, 0.617194, 0.928934, 1.45145, 2.32049, 3.77135", \ + "0.148467, 0.477396, 0.673453, 0.988195, 1.5098, 2.37896, 3.82749", \ + "0.17667, 0.557287, 0.765998, 1.09382, 1.62437, 2.49424, 3.94017", \ + "0.230733, 0.687846, 0.923821, 1.2786, 1.8403, 2.73044, 4.18235" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0629058, 0.460186, 0.73045, 1.1755, 1.92253, 3.16653, 5.23996", \ + "0.0668144, 0.460209, 0.731532, 1.17648, 1.92348, 3.16722, 5.23997", \ + "0.0734431, 0.461337, 0.731533, 1.1773, 1.92349, 3.16723, 5.23998", \ + "0.0884673, 0.470023, 0.734353, 1.17911, 1.9235, 3.16806, 5.23999", \ + "0.122685, 0.499252, 0.756955, 1.1892, 1.92626, 3.16807, 5.24", \ + "0.177358, 0.57055, 0.822816, 1.24318, 1.96057, 3.18105, 5.24297", \ + "0.26512, 0.702706, 0.958773, 1.37538, 2.08113, 3.26446, 5.28292" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.037935, 0.172122, 0.259305, 0.402374, 0.64077, 1.03762, 1.69852", \ + "0.0585859, 0.200489, 0.287966, 0.43112, 0.669587, 1.06662, 1.72761", \ + "0.0728309, 0.227969, 0.317282, 0.461034, 0.699526, 1.09639, 1.75738", \ + "0.0893706, 0.270774, 0.366461, 0.515156, 0.755598, 1.15273, 1.81402", \ + "0.112146, 0.33544, 0.445908, 0.608777, 0.861447, 1.2645, 1.92661", \ + "0.142308, 0.425957, 0.562009, 0.753716, 1.03756, 1.47054, 2.14899", \ + "0.178168, 0.541548, 0.716416, 0.96029, 1.30229, 1.79894, 2.54178" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0390981, 0.209954, 0.326684, 0.519342, 0.843099, 1.38045, 2.27633", \ + "0.0494641, 0.212672, 0.327442, 0.519584, 0.8431, 1.38068, 2.27653", \ + "0.0612034, 0.221547, 0.333187, 0.522083, 0.843579, 1.38069, 2.27904", \ + "0.0834391, 0.244857, 0.35338, 0.53576, 0.849697, 1.38212, 2.27905", \ + "0.121809, 0.294572, 0.402235, 0.579951, 0.882029, 1.4, 2.28279", \ + "0.18635, 0.386785, 0.499261, 0.678662, 0.978123, 1.47579, 2.32895", \ + "0.293191, 0.544767, 0.675217, 0.868504, 1.17618, 1.66998, 2.49866" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.07309, 0.352871, 0.54218, 0.854544, 1.37811, 2.25046, 3.70632", \ + "0.0911442, 0.373698, 0.562967, 0.875868, 1.39954, 2.27183, 3.72611", \ + "0.101036, 0.389475, 0.578929, 0.892603, 1.41557, 2.28807, 3.74207", \ + "0.113129, 0.417483, 0.60779, 0.920681, 1.44405, 2.31652, 3.77246", \ + "0.131178, 0.467053, 0.663688, 0.979766, 1.50294, 2.37467, 3.83191", \ + "0.156094, 0.543046, 0.75588, 1.08572, 1.61682, 2.49005, 3.94126", \ + "0.203973, 0.672282, 0.912283, 1.26805, 1.83308, 2.72477, 4.18236" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0532879, 0.45167, 0.722063, 1.16937, 1.9186, 3.16706, 5.24834", \ + "0.0578848, 0.451671, 0.722064, 1.17004, 1.91874, 3.16909, 5.24835", \ + "0.0647004, 0.452557, 0.723807, 1.17087, 1.91875, 3.1691, 5.24836", \ + "0.0804504, 0.461686, 0.726521, 1.17554, 1.91876, 3.16911, 5.24837", \ + "0.115739, 0.490776, 0.749407, 1.183, 1.92271, 3.16912, 5.25112", \ + "0.168849, 0.559965, 0.815403, 1.23755, 1.95708, 3.18059, 5.25278", \ + "0.25492, 0.693628, 0.952811, 1.36966, 2.07657, 3.26535, 5.29105" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0370537, 0.170278, 0.257002, 0.399706, 0.638223, 1.03499, 1.696", \ + "0.0573473, 0.198695, 0.285638, 0.428443, 0.666812, 1.06404, 1.72511", \ + "0.0710569, 0.226048, 0.314909, 0.458299, 0.696785, 1.09407, 1.75537", \ + "0.0866273, 0.268512, 0.363924, 0.512377, 0.75283, 1.15006, 1.8112", \ + "0.107075, 0.332406, 0.442889, 0.605797, 0.858588, 1.2617, 1.92386", \ + "0.132258, 0.420929, 0.558656, 0.750231, 1.03461, 1.46783, 2.14622", \ + "0.159745, 0.534574, 0.71079, 0.95584, 1.29967, 1.79685, 2.53897" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0310141, 0.203622, 0.32057, 0.513741, 0.836348, 1.37456, 2.2714", \ + "0.0408681, 0.206098, 0.321175, 0.513742, 0.836349, 1.37463, 2.27141", \ + "0.0518509, 0.214965, 0.326738, 0.515849, 0.837789, 1.37568, 2.27142", \ + "0.0718203, 0.238258, 0.346896, 0.529343, 0.843307, 1.3779, 2.27143", \ + "0.10684, 0.287325, 0.39557, 0.573654, 0.876285, 1.39349, 2.27693", \ + "0.166434, 0.37816, 0.492208, 0.672138, 0.971561, 1.46997, 2.32257", \ + "0.264192, 0.532805, 0.664984, 0.862512, 1.17039, 1.66491, 2.49414" \ + ); + } + } + timing () { + related_pin : "A2"; + sdf_cond : "B1 == 1'b0 & B2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!B1 * !B2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0655456, 0.297047, 0.453608, 0.712317, 1.14475, 1.86602, 3.06805", \ + "0.0860704, 0.321031, 0.477537, 0.736602, 1.16974, 1.89101, 3.0927", \ + "0.0975573, 0.340346, 0.497073, 0.756108, 1.18904, 1.91034, 3.11286", \ + "0.111012, 0.374277, 0.533101, 0.792032, 1.22534, 1.94674, 3.15077", \ + "0.129481, 0.430958, 0.59866, 0.863936, 1.29833, 2.01899, 3.22148", \ + "0.152687, 0.515158, 0.701202, 0.986591, 1.43557, 2.16335, 3.36361", \ + "0.197701, 0.645468, 0.866136, 1.19158, 1.67896, 2.44028, 3.65815" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0444841, 0.375509, 0.600615, 0.972723, 1.59527, 2.63305, 4.36536", \ + "0.0500667, 0.375843, 0.600616, 0.972724, 1.59572, 2.63381, 4.36537", \ + "0.0581306, 0.37771, 0.603473, 0.972725, 1.59573, 2.63383, 4.36538", \ + "0.075625, 0.390122, 0.607851, 0.974777, 1.59582, 2.63384, 4.36539", \ + "0.112822, 0.425392, 0.637865, 0.993469, 1.60326, 2.63626, 4.3654", \ + "0.167948, 0.505781, 0.714819, 1.06212, 1.65225, 2.65901, 4.37527", \ + "0.25651, 0.648036, 0.867819, 1.22082, 1.80035, 2.77185, 4.4345" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0385564, 0.172441, 0.259324, 0.402263, 0.64068, 1.03751, 1.69856", \ + "0.0594021, 0.200868, 0.287954, 0.430845, 0.669307, 1.06686, 1.72775", \ + "0.0737885, 0.228328, 0.317226, 0.460733, 0.699304, 1.0965, 1.758", \ + "0.090431, 0.271083, 0.366488, 0.514817, 0.755236, 1.1525, 1.81379", \ + "0.113292, 0.335564, 0.44567, 0.60854, 0.861225, 1.26424, 1.92654", \ + "0.142341, 0.426943, 0.562977, 0.753795, 1.03794, 1.47092, 2.14921", \ + "0.175757, 0.544389, 0.719612, 0.962402, 1.3044, 1.80014, 2.54207" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0328507, 0.205619, 0.322708, 0.51551, 0.838585, 1.37683, 2.27369", \ + "0.0423397, 0.208195, 0.323316, 0.515651, 0.838586, 1.37817, 2.2737", \ + "0.0531746, 0.216821, 0.328784, 0.518062, 0.838935, 1.37818, 2.27371", \ + "0.0728655, 0.240154, 0.348829, 0.531511, 0.845424, 1.38855, 2.27372", \ + "0.106576, 0.288493, 0.397184, 0.575298, 0.877997, 1.39611, 2.27914", \ + "0.164331, 0.377905, 0.493823, 0.673362, 0.973248, 1.47218, 2.32484", \ + "0.257712, 0.530539, 0.66306, 0.860387, 1.16903, 1.66581, 2.49759" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.07309, 0.352871, 0.54218, 0.854544, 1.37811, 2.25046, 3.70632", \ + "0.0911442, 0.373698, 0.562967, 0.875868, 1.39954, 2.27183, 3.72611", \ + "0.101036, 0.389475, 0.578929, 0.892603, 1.41557, 2.28807, 3.74207", \ + "0.113129, 0.417483, 0.60779, 0.920681, 1.44405, 2.31652, 3.77246", \ + "0.131178, 0.467053, 0.663688, 0.979766, 1.50294, 2.37467, 3.83191", \ + "0.156094, 0.543046, 0.75588, 1.08572, 1.61682, 2.49005, 3.94126", \ + "0.203973, 0.672282, 0.912283, 1.26805, 1.83308, 2.72477, 4.18236" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0532879, 0.45167, 0.722063, 1.16937, 1.9186, 3.16706, 5.24834", \ + "0.0578848, 0.451671, 0.722064, 1.17004, 1.91874, 3.16909, 5.24835", \ + "0.0647004, 0.452557, 0.723807, 1.17087, 1.91875, 3.1691, 5.24836", \ + "0.0804504, 0.461686, 0.726521, 1.17554, 1.91876, 3.16911, 5.24837", \ + "0.115739, 0.490776, 0.749407, 1.183, 1.92271, 3.16912, 5.25112", \ + "0.168849, 0.559965, 0.815403, 1.23755, 1.95708, 3.18059, 5.25278", \ + "0.25492, 0.693628, 0.952811, 1.36966, 2.07657, 3.26535, 5.29105" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0385564, 0.172441, 0.259324, 0.402263, 0.64068, 1.03751, 1.69856", \ + "0.0594021, 0.200868, 0.287954, 0.430845, 0.669307, 1.06686, 1.72775", \ + "0.0737885, 0.228328, 0.317226, 0.460733, 0.699304, 1.0965, 1.758", \ + "0.090431, 0.271083, 0.366488, 0.514817, 0.755236, 1.1525, 1.81379", \ + "0.113292, 0.335564, 0.44567, 0.60854, 0.861225, 1.26424, 1.92654", \ + "0.142341, 0.426943, 0.562977, 0.753795, 1.03794, 1.47092, 2.14921", \ + "0.175757, 0.544389, 0.719612, 0.962402, 1.3044, 1.80014, 2.54207" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0328507, 0.205619, 0.322708, 0.51551, 0.838585, 1.37683, 2.27369", \ + "0.0423397, 0.208195, 0.323316, 0.515651, 0.838586, 1.37817, 2.2737", \ + "0.0531746, 0.216821, 0.328784, 0.518062, 0.838935, 1.37818, 2.27371", \ + "0.0728655, 0.240154, 0.348829, 0.531511, 0.845424, 1.38855, 2.27372", \ + "0.106576, 0.288493, 0.397184, 0.575298, 0.877997, 1.39611, 2.27914", \ + "0.164331, 0.377905, 0.493823, 0.673362, 0.973248, 1.47218, 2.32484", \ + "0.257712, 0.530539, 0.66306, 0.860387, 1.16903, 1.66581, 2.49759" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0666212, 0.348114, 0.537111, 0.849784, 1.37326, 2.24577, 3.69976", \ + "0.0840552, 0.370359, 0.559911, 0.873, 1.39669, 2.2704, 3.72343", \ + "0.095816, 0.391525, 0.581428, 0.895371, 1.41949, 2.29108, 3.74525", \ + "0.114572, 0.433502, 0.62466, 0.937936, 1.46239, 2.33583, 3.7891", \ + "0.145944, 0.508731, 0.709243, 1.02751, 1.55113, 2.42349, 3.87701", \ + "0.185371, 0.62523, 0.84941, 1.18863, 1.72698, 2.60125, 4.05347", \ + "0.252445, 0.80594, 1.07164, 1.45661, 2.0441, 2.95313, 4.41696" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0533076, 0.451414, 0.721975, 1.16933, 1.91934, 3.16706, 5.24771", \ + "0.061598, 0.451722, 0.722329, 1.17, 1.9198, 3.16734, 5.24772", \ + "0.0718794, 0.453418, 0.723517, 1.17082, 1.91981, 3.16735, 5.24773", \ + "0.0924423, 0.466172, 0.728161, 1.1709, 1.91982, 3.16961, 5.25019", \ + "0.129384, 0.507267, 0.75965, 1.18782, 1.92358, 3.16962, 5.2502", \ + "0.182364, 0.596143, 0.847117, 1.25987, 1.96876, 3.1849, 5.25021", \ + "0.272581, 0.755504, 1.01868, 1.43267, 2.12494, 3.29699, 5.30339" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0329631, 0.162813, 0.249473, 0.392095, 0.630148, 1.02676, 1.68741", \ + "0.0537788, 0.199385, 0.286249, 0.42884, 0.666942, 1.06392, 1.7244", \ + "0.066703, 0.233848, 0.323736, 0.467111, 0.704942, 1.10139, 1.76199", \ + "0.0815984, 0.285528, 0.385896, 0.536938, 0.777629, 1.17383, 1.83429", \ + "0.101088, 0.358562, 0.480431, 0.653974, 0.913645, 1.31853, 1.97939", \ + "0.124444, 0.456225, 0.61039, 0.825124, 1.13191, 1.58294, 2.26749", \ + "0.146426, 0.575535, 0.776825, 1.05462, 1.43874, 1.9814, 2.76684" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0317166, 0.201428, 0.317932, 0.510751, 0.833632, 1.37145, 2.26823", \ + "0.0479049, 0.20626, 0.319511, 0.510772, 0.833633, 1.37191, 2.26824", \ + "0.0622255, 0.220796, 0.329349, 0.515145, 0.83626, 1.37192, 2.26825", \ + "0.0864189, 0.255991, 0.361321, 0.537798, 0.845608, 1.37573, 2.26826", \ + "0.126014, 0.322705, 0.431739, 0.605433, 0.897665, 1.40315, 2.27616", \ + "0.193007, 0.433245, 0.55783, 0.743052, 1.0387, 1.52169, 2.34946", \ + "0.30481, 0.617218, 0.766387, 0.981782, 1.30459, 1.8081, 2.61174" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0558764, 0.337777, 0.527093, 0.840401, 1.3648, 2.23869, 3.69526", \ + "0.0716881, 0.359765, 0.549888, 0.863476, 1.38795, 2.26329, 3.71847", \ + "0.081568, 0.381186, 0.571432, 0.885786, 1.41067, 2.2855, 3.74131", \ + "0.0970644, 0.422952, 0.614613, 0.928505, 1.45372, 2.32779, 3.78447", \ + "0.124253, 0.497421, 0.69874, 1.01793, 1.54265, 2.41643, 3.87264", \ + "0.158238, 0.61315, 0.83694, 1.17933, 1.7184, 2.59406, 4.04917", \ + "0.217304, 0.790375, 1.05789, 1.44724, 2.03428, 2.94544, 4.41085" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0435583, 0.441596, 0.712431, 1.16058, 1.91083, 3.16125, 5.24785", \ + "0.0531241, 0.44231, 0.712432, 1.16059, 1.91084, 3.1615, 5.24786", \ + "0.0636533, 0.443846, 0.712494, 1.16178, 1.91096, 3.16169, 5.24787", \ + "0.0849327, 0.457091, 0.719223, 1.16283, 1.9113, 3.1617, 5.24788", \ + "0.121796, 0.498815, 0.751112, 1.17917, 1.91544, 3.16278, 5.24789", \ + "0.172204, 0.588533, 0.837758, 1.25158, 1.96186, 3.17923, 5.2479", \ + "0.261554, 0.748157, 1.0093, 1.42771, 2.11772, 3.2915, 5.30092" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0323418, 0.161166, 0.247303, 0.389582, 0.627468, 1.02413, 1.68491", \ + "0.0524695, 0.197695, 0.284009, 0.426211, 0.664197, 1.06102, 1.72201", \ + "0.0647251, 0.231927, 0.321441, 0.464444, 0.702293, 1.09891, 1.75931", \ + "0.0781947, 0.28302, 0.383295, 0.534175, 0.774869, 1.17112, 1.83163", \ + "0.0948984, 0.354973, 0.477048, 0.650792, 0.91072, 1.31571, 1.97683", \ + "0.111735, 0.450716, 0.606348, 0.821126, 1.12864, 1.58043, 2.26487", \ + "0.122489, 0.567016, 0.770794, 1.0496, 1.43437, 1.97792, 2.76489" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0244942, 0.195033, 0.311751, 0.504596, 0.827469, 1.3655, 2.26214", \ + "0.0387743, 0.199887, 0.313585, 0.504597, 0.82747, 1.36551, 2.26215", \ + "0.0512811, 0.214265, 0.322752, 0.50873, 0.828933, 1.36552, 2.26216", \ + "0.0722567, 0.249299, 0.354771, 0.531427, 0.838897, 1.36788, 2.26217", \ + "0.107283, 0.314493, 0.424365, 0.598896, 0.891525, 1.39693, 2.27035", \ + "0.165959, 0.422973, 0.549444, 0.736157, 1.03309, 1.51641, 2.34405", \ + "0.265892, 0.603869, 0.755552, 0.972344, 1.29693, 1.80102, 2.60629" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0472991, 0.280979, 0.438225, 0.698548, 1.13328, 1.85848, 3.06723", \ + "0.0630546, 0.304109, 0.462401, 0.722186, 1.1574, 1.88319, 3.09175", \ + "0.0723766, 0.326019, 0.483872, 0.744659, 1.181, 1.90538, 3.11487", \ + "0.0857037, 0.367084, 0.527962, 0.788639, 1.22399, 1.94996, 3.16038", \ + "0.107321, 0.437043, 0.609571, 0.878039, 1.31518, 2.04027, 3.2503", \ + "0.133014, 0.540694, 0.737209, 1.03155, 1.48774, 2.22028, 3.4281", \ + "0.173316, 0.69398, 0.935109, 1.27539, 1.7847, 2.56098, 3.79276" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0346833, 0.366512, 0.592509, 0.966306, 1.59144, 2.63429, 4.37534", \ + "0.0446755, 0.368006, 0.592935, 0.966431, 1.59202, 2.63614, 4.37535", \ + "0.0550046, 0.370091, 0.597482, 0.966496, 1.5927, 2.63615, 4.37536", \ + "0.0763984, 0.386312, 0.602223, 0.969033, 1.59271, 2.63616, 4.37537", \ + "0.11254, 0.429129, 0.638998, 0.991803, 1.60144, 2.63874, 4.37538", \ + "0.160397, 0.520322, 0.730035, 1.07263, 1.65917, 2.66387, 4.38942", \ + "0.24607, 0.678314, 0.903651, 1.25259, 1.82857, 2.7983, 4.45367" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0320173, 0.160846, 0.246976, 0.38928, 0.627302, 1.02374, 1.68458", \ + "0.0520595, 0.197283, 0.283609, 0.425822, 0.663747, 1.0608, 1.72192", \ + "0.0646841, 0.231531, 0.32103, 0.464019, 0.70185, 1.09843, 1.7593", \ + "0.0791172, 0.282804, 0.383022, 0.533761, 0.77446, 1.17088, 1.83119", \ + "0.098293, 0.355416, 0.477064, 0.650587, 0.910509, 1.31543, 1.97675", \ + "0.121378, 0.453666, 0.607816, 0.822023, 1.12894, 1.58044, 2.26467", \ + "0.146014, 0.577605, 0.778433, 1.05434, 1.43749, 1.97909, 2.76539" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0245419, 0.195052, 0.311703, 0.504597, 0.82748, 1.3655, 2.26214", \ + "0.0390426, 0.199883, 0.313603, 0.504606, 0.827481, 1.366, 2.26225", \ + "0.0514442, 0.214361, 0.323005, 0.508856, 0.828944, 1.36601, 2.26226", \ + "0.0719883, 0.249471, 0.354777, 0.531467, 0.838911, 1.36821, 2.26227", \ + "0.105715, 0.314314, 0.424485, 0.599101, 0.891637, 1.3968, 2.27038", \ + "0.162854, 0.42143, 0.548445, 0.735226, 1.03288, 1.51573, 2.34324", \ + "0.258708, 0.597126, 0.750395, 0.969666, 1.29388, 1.79802, 2.60508" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0666212, 0.348114, 0.537111, 0.849784, 1.37326, 2.24577, 3.69976", \ + "0.0840552, 0.370359, 0.559911, 0.873, 1.39669, 2.2704, 3.72343", \ + "0.095816, 0.391525, 0.581428, 0.895371, 1.41949, 2.29108, 3.74525", \ + "0.114572, 0.433502, 0.62466, 0.937936, 1.46239, 2.33583, 3.7891", \ + "0.145944, 0.508731, 0.709243, 1.02751, 1.55113, 2.42349, 3.87701", \ + "0.185371, 0.62523, 0.84941, 1.18863, 1.72698, 2.60125, 4.05347", \ + "0.252445, 0.80594, 1.07164, 1.45661, 2.0441, 2.95313, 4.41696" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0533076, 0.451414, 0.721975, 1.16933, 1.91934, 3.16706, 5.24771", \ + "0.061598, 0.451722, 0.722329, 1.17, 1.9198, 3.16734, 5.24772", \ + "0.0718794, 0.453418, 0.723517, 1.17082, 1.91981, 3.16735, 5.24773", \ + "0.0924423, 0.466172, 0.728161, 1.1709, 1.91982, 3.16961, 5.25019", \ + "0.129384, 0.507267, 0.75965, 1.18782, 1.92358, 3.16962, 5.2502", \ + "0.182364, 0.596143, 0.847117, 1.25987, 1.96876, 3.1849, 5.25021", \ + "0.272581, 0.755504, 1.01868, 1.43267, 2.12494, 3.29699, 5.30339" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0329631, 0.162813, 0.249473, 0.392095, 0.630148, 1.02676, 1.68741", \ + "0.0537788, 0.199385, 0.286249, 0.42884, 0.666942, 1.06392, 1.7244", \ + "0.066703, 0.233848, 0.323736, 0.467111, 0.704942, 1.10139, 1.76199", \ + "0.0815984, 0.285528, 0.385896, 0.536938, 0.777629, 1.17383, 1.83429", \ + "0.101088, 0.358562, 0.480431, 0.653974, 0.913645, 1.31853, 1.97939", \ + "0.124444, 0.456225, 0.61039, 0.825124, 1.13191, 1.58294, 2.26749", \ + "0.146426, 0.575535, 0.776825, 1.05462, 1.43874, 1.9814, 2.76684" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0317166, 0.201428, 0.317932, 0.510751, 0.833632, 1.37145, 2.26823", \ + "0.0479049, 0.20626, 0.319511, 0.510772, 0.833633, 1.37191, 2.26824", \ + "0.0622255, 0.220796, 0.329349, 0.515145, 0.83626, 1.37192, 2.26825", \ + "0.0864189, 0.255991, 0.361321, 0.537798, 0.845608, 1.37573, 2.26826", \ + "0.126014, 0.322705, 0.431739, 0.605433, 0.897665, 1.40315, 2.27616", \ + "0.193007, 0.433245, 0.55783, 0.743052, 1.0387, 1.52169, 2.34946", \ + "0.30481, 0.617218, 0.766387, 0.981782, 1.30459, 1.8081, 2.61174" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0755199, 0.354767, 0.543011, 0.854903, 1.37666, 2.24601, 3.69513", \ + "0.0951242, 0.377785, 0.566457, 0.878245, 1.40003, 2.27069, 3.71911", \ + "0.10882, 0.399556, 0.588494, 0.900973, 1.42225, 2.29153, 3.74106", \ + "0.1302, 0.442387, 0.632358, 0.944302, 1.46628, 2.33691, 3.785", \ + "0.167378, 0.519145, 0.718416, 1.03479, 1.5564, 2.42541, 3.87341", \ + "0.217194, 0.638462, 0.860381, 1.19898, 1.73386, 2.60452, 4.05178", \ + "0.30416, 0.829237, 1.09185, 1.4722, 2.05401, 2.95906, 4.41741" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0629114, 0.460241, 0.73025, 1.176, 1.92253, 3.16653, 5.23967", \ + "0.0700756, 0.460271, 0.730251, 1.1765, 1.92254, 3.16654, 5.23969", \ + "0.0803279, 0.462043, 0.731505, 1.17728, 1.92324, 3.16655, 5.2397", \ + "0.10014, 0.474639, 0.736137, 1.17729, 1.92325, 3.16742, 5.24177", \ + "0.135233, 0.51522, 0.767058, 1.19406, 1.92739, 3.16747, 5.24178", \ + "0.18711, 0.602716, 0.853456, 1.2653, 1.97262, 3.18488, 5.24179", \ + "0.272739, 0.759107, 1.02283, 1.43986, 2.12818, 3.29551, 5.29521" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0353376, 0.165018, 0.25168, 0.394317, 0.632352, 1.02893, 1.68961", \ + "0.0531248, 0.193696, 0.28069, 0.42343, 0.661579, 1.05869, 1.71913", \ + "0.0648335, 0.220864, 0.309935, 0.453373, 0.691576, 1.08819, 1.74894", \ + "0.0772746, 0.26256, 0.358546, 0.507299, 0.747631, 1.14449, 1.80537", \ + "0.0927013, 0.325026, 0.436481, 0.599983, 0.853147, 1.25626, 1.91803", \ + "0.108355, 0.410475, 0.549123, 0.743155, 1.0281, 1.46155, 2.14027", \ + "0.116878, 0.514269, 0.694744, 0.943498, 1.28899, 1.78822, 2.53175" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0312313, 0.201439, 0.317939, 0.510758, 0.833628, 1.37166, 2.26826", \ + "0.0421381, 0.204385, 0.318913, 0.510759, 0.833629, 1.37223, 2.26827", \ + "0.0536456, 0.213452, 0.324927, 0.513428, 0.834572, 1.37224, 2.26828", \ + "0.0752264, 0.23726, 0.345394, 0.527398, 0.841104, 1.37466, 2.26829", \ + "0.112405, 0.287093, 0.394569, 0.571889, 0.873837, 1.39089, 2.27348", \ + "0.174587, 0.378379, 0.491769, 0.671592, 0.968653, 1.46756, 2.31955", \ + "0.27459, 0.534423, 0.666132, 0.86089, 1.16879, 1.66281, 2.49189" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0650535, 0.344632, 0.533113, 0.84572, 1.36797, 2.23897, 3.6906", \ + "0.0834223, 0.367592, 0.556566, 0.868803, 1.39175, 2.26368, 3.71458", \ + "0.0952708, 0.389319, 0.578388, 0.891633, 1.41373, 2.28459, 3.73651", \ + "0.114437, 0.432106, 0.622436, 0.934769, 1.45731, 2.32811, 3.78046", \ + "0.148277, 0.507753, 0.708003, 1.02518, 1.54776, 2.41836, 3.8688", \ + "0.19289, 0.627174, 0.849371, 1.18901, 1.72526, 2.59751, 4.04671", \ + "0.273355, 0.814681, 1.07753, 1.45956, 2.0437, 2.95165, 4.413" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0532531, 0.450521, 0.720543, 1.16718, 1.91481, 3.16078, 5.23729", \ + "0.0616495, 0.45111, 0.720544, 1.16719, 1.91568, 3.16091, 5.23731", \ + "0.0718488, 0.452634, 0.722361, 1.16849, 1.91569, 3.16233, 5.23732", \ + "0.0923422, 0.465668, 0.726894, 1.16931, 1.9157, 3.16234, 5.2394", \ + "0.127094, 0.506527, 0.758347, 1.18551, 1.91961, 3.16235, 5.23941", \ + "0.175748, 0.594593, 0.845454, 1.25787, 1.96541, 3.17922, 5.24104", \ + "0.258928, 0.750546, 1.01535, 1.43014, 2.12165, 3.29044, 5.29226" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0347269, 0.163637, 0.249455, 0.391668, 0.629846, 1.0263, 1.68709", \ + "0.0521757, 0.192019, 0.27847, 0.420933, 0.658861, 1.05636, 1.71694", \ + "0.0634214, 0.219076, 0.307616, 0.450694, 0.688812, 1.08605, 1.74644", \ + "0.0748195, 0.260479, 0.356056, 0.504555, 0.744833, 1.14191, 1.8029", \ + "0.0878231, 0.322516, 0.433337, 0.597308, 0.850229, 1.25353, 1.91536", \ + "0.0985679, 0.406057, 0.54526, 0.739655, 1.02516, 1.4595, 2.13759", \ + "0.0985689, 0.507592, 0.689305, 0.939915, 1.28622, 1.78624, 2.52932" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0240147, 0.195335, 0.311905, 0.504582, 0.827473, 1.3655, 2.26214", \ + "0.033958, 0.197914, 0.312719, 0.504728, 0.827625, 1.3661, 2.26215", \ + "0.0442485, 0.207057, 0.318506, 0.507158, 0.828205, 1.36611, 2.26216", \ + "0.0635815, 0.230665, 0.338913, 0.52116, 0.83455, 1.37053, 2.26217", \ + "0.0969714, 0.280064, 0.387701, 0.565868, 0.867343, 1.38447, 2.26712", \ + "0.152418, 0.369431, 0.484681, 0.664897, 0.963392, 1.46241, 2.31335", \ + "0.243638, 0.523144, 0.657309, 0.854501, 1.16253, 1.65829, 2.48692" \ + ); + } + } + timing () { + related_pin : "B2"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0547043, 0.286238, 0.442845, 0.701602, 1.13469, 1.85665, 3.06044", \ + "0.0729886, 0.310078, 0.4668, 0.725914, 1.15914, 1.88146, 3.08408", \ + "0.0840958, 0.332567, 0.489307, 0.748734, 1.18291, 1.90348, 3.10818", \ + "0.101084, 0.374735, 0.53414, 0.793346, 1.22663, 1.94894, 3.15398", \ + "0.129805, 0.446642, 0.617183, 0.883807, 1.31852, 2.04013, 3.24313", \ + "0.165341, 0.553858, 0.747391, 1.03921, 1.49314, 2.22146, 3.4239", \ + "0.22767, 0.717431, 0.951551, 1.29042, 1.79317, 2.56775, 3.79128" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0426311, 0.373496, 0.59844, 0.970365, 1.59302, 2.63065, 4.3618", \ + "0.0515765, 0.373562, 0.598441, 0.9707, 1.59352, 2.63175, 4.36181", \ + "0.0618168, 0.377022, 0.60151, 0.971069, 1.59418, 2.63176, 4.36182", \ + "0.0825216, 0.392829, 0.608336, 0.973169, 1.59419, 2.63177, 4.36195", \ + "0.116588, 0.435331, 0.644641, 0.996364, 1.60274, 2.63387, 4.36196", \ + "0.16243, 0.526001, 0.735086, 1.07654, 1.66051, 2.66053, 4.37734", \ + "0.242219, 0.677269, 0.903387, 1.25655, 1.82933, 2.79591, 4.44347" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.034415, 0.163328, 0.249137, 0.391375, 0.629345, 1.02598, 1.68678", \ + "0.0518306, 0.191603, 0.278042, 0.420411, 0.658392, 1.05596, 1.71645", \ + "0.0631698, 0.218666, 0.307211, 0.450277, 0.688431, 1.08563, 1.74598", \ + "0.0753532, 0.260196, 0.355804, 0.504121, 0.744429, 1.14153, 1.80254", \ + "0.0905101, 0.322783, 0.433467, 0.596983, 0.850059, 1.25301, 1.915", \ + "0.106916, 0.408121, 0.546752, 0.74027, 1.02544, 1.45942, 2.13749", \ + "0.118541, 0.516315, 0.695565, 0.942897, 1.28867, 1.78642, 2.52951" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0240563, 0.19544, 0.311895, 0.504917, 0.827483, 1.3655, 2.26214", \ + "0.034145, 0.197971, 0.313006, 0.504918, 0.827484, 1.36611, 2.26219", \ + "0.0444727, 0.207177, 0.318579, 0.507314, 0.828647, 1.36612, 2.2622", \ + "0.0636758, 0.23086, 0.33906, 0.521357, 0.834626, 1.36817, 2.26221", \ + "0.0963302, 0.279884, 0.388071, 0.565774, 0.867671, 1.38478, 2.26727", \ + "0.149836, 0.368421, 0.484482, 0.664485, 0.962333, 1.46179, 2.31446", \ + "0.237482, 0.519197, 0.653722, 0.852647, 1.16117, 1.65529, 2.4859" \ + ); + } + } + timing () { + related_pin : "B2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0755199, 0.354767, 0.543011, 0.854903, 1.37666, 2.24601, 3.69513", \ + "0.0951242, 0.377785, 0.566457, 0.878245, 1.40003, 2.27069, 3.71911", \ + "0.10882, 0.399556, 0.588494, 0.900973, 1.42225, 2.29153, 3.74106", \ + "0.1302, 0.442387, 0.632358, 0.944302, 1.46628, 2.33691, 3.785", \ + "0.167378, 0.519145, 0.718416, 1.03479, 1.5564, 2.42541, 3.87341", \ + "0.217194, 0.638462, 0.860381, 1.19898, 1.73386, 2.60452, 4.05178", \ + "0.30416, 0.829237, 1.09185, 1.4722, 2.05401, 2.95906, 4.41741" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0629114, 0.460241, 0.73025, 1.176, 1.92253, 3.16653, 5.23967", \ + "0.0700756, 0.460271, 0.730251, 1.1765, 1.92254, 3.16654, 5.23969", \ + "0.0803279, 0.462043, 0.731505, 1.17728, 1.92324, 3.16655, 5.2397", \ + "0.10014, 0.474639, 0.736137, 1.17729, 1.92325, 3.16742, 5.24177", \ + "0.135233, 0.51522, 0.767058, 1.19406, 1.92739, 3.16747, 5.24178", \ + "0.18711, 0.602716, 0.853456, 1.2653, 1.97262, 3.18488, 5.24179", \ + "0.272739, 0.759107, 1.02283, 1.43986, 2.12818, 3.29551, 5.29521" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0353376, 0.165018, 0.25168, 0.394317, 0.632352, 1.02893, 1.68961", \ + "0.0531248, 0.193696, 0.28069, 0.42343, 0.661579, 1.05869, 1.71913", \ + "0.0648335, 0.220864, 0.309935, 0.453373, 0.691576, 1.08819, 1.74894", \ + "0.0772746, 0.26256, 0.358546, 0.507299, 0.747631, 1.14449, 1.80537", \ + "0.0927013, 0.325026, 0.436481, 0.599983, 0.853147, 1.25626, 1.91803", \ + "0.108355, 0.410475, 0.549123, 0.743155, 1.0281, 1.46155, 2.14027", \ + "0.116878, 0.514269, 0.694744, 0.943498, 1.28899, 1.78822, 2.53175" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0312313, 0.201439, 0.317939, 0.510758, 0.833628, 1.37166, 2.26826", \ + "0.0421381, 0.204385, 0.318913, 0.510759, 0.833629, 1.37223, 2.26827", \ + "0.0536456, 0.213452, 0.324927, 0.513428, 0.834572, 1.37224, 2.26828", \ + "0.0752264, 0.23726, 0.345394, 0.527398, 0.841104, 1.37466, 2.26829", \ + "0.112405, 0.287093, 0.394569, 0.571889, 0.873837, 1.39089, 2.27348", \ + "0.174587, 0.378379, 0.491769, 0.671592, 0.968653, 1.46756, 2.31955", \ + "0.27459, 0.534423, 0.666132, 0.86089, 1.16879, 1.66281, 2.49189" \ + ); + } + } + timing () { + related_pin : "C1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0483577, 0.330013, 0.51922, 0.831367, 1.35402, 2.22506, 3.67673", \ + "0.0716611, 0.35696, 0.54651, 0.858492, 1.38215, 2.25374, 3.70482", \ + "0.0884737, 0.385392, 0.574052, 0.886959, 1.40915, 2.28048, 3.73334", \ + "0.114862, 0.443405, 0.63313, 0.94437, 1.46658, 2.33832, 3.78866", \ + "0.157224, 0.541135, 0.745096, 1.06149, 1.58148, 2.4504, 3.89926", \ + "0.213047, 0.687511, 0.926374, 1.27673, 1.81778, 2.68732, 4.13329", \ + "0.314563, 0.908935, 1.19836, 1.61369, 2.22675, 3.14934, 4.60766" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.052665, 0.450362, 0.720593, 1.16708, 1.91481, 3.16078, 5.23729", \ + "0.0639796, 0.451078, 0.721407, 1.16709, 1.91487, 3.16084, 5.2373", \ + "0.0741347, 0.453809, 0.722937, 1.16825, 1.91588, 3.16229, 5.23731", \ + "0.0916708, 0.473103, 0.73047, 1.16999, 1.91589, 3.1623, 5.23941", \ + "0.123463, 0.530524, 0.777211, 1.19597, 1.92184, 3.16231, 5.23942", \ + "0.173389, 0.637708, 0.891957, 1.30214, 1.99317, 3.18974, 5.24286", \ + "0.261888, 0.810003, 1.09594, 1.527, 2.21546, 3.35868, 5.32507" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0189229, 0.0949635, 0.145133, 0.227939, 0.366309, 0.596586, 0.980248", \ + "0.0327786, 0.135028, 0.187, 0.270444, 0.40878, 0.639032, 1.02263", \ + "0.0401943, 0.167344, 0.225473, 0.312767, 0.452405, 0.682546, 1.06633", \ + "0.0452525, 0.211435, 0.282439, 0.382512, 0.53167, 0.765697, 1.14933", \ + "0.0476151, 0.271271, 0.36264, 0.487828, 0.663456, 0.919753, 1.31413", \ + "0.0476161, 0.343415, 0.468732, 0.632841, 0.857198, 1.16686, 1.61333", \ + "0.0476171, 0.419495, 0.588331, 0.814039, 1.11549, 1.51636, 2.06563" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0150935, 0.112168, 0.17991, 0.291916, 0.479353, 0.792425, 1.31358", \ + "0.0319878, 0.123405, 0.186154, 0.293957, 0.480286, 0.792426, 1.3137", \ + "0.0456121, 0.142524, 0.202972, 0.305375, 0.484638, 0.792947, 1.31387", \ + "0.068595, 0.179843, 0.24172, 0.341318, 0.510694, 0.805613, 1.32709", \ + "0.106438, 0.243144, 0.313579, 0.418878, 0.586157, 0.86464, 1.34902", \ + "0.16932, 0.348492, 0.432143, 0.555984, 0.735935, 1.02033, 1.48075", \ + "0.273205, 0.521222, 0.630765, 0.781949, 0.998036, 1.31035, 1.79641" \ + ); + } + } + timing () { + related_pin : "C1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0483577, 0.330013, 0.51922, 0.831367, 1.35402, 2.22506, 3.67673", \ + "0.0716611, 0.35696, 0.54651, 0.858492, 1.38215, 2.25374, 3.70482", \ + "0.0884737, 0.385392, 0.574052, 0.886959, 1.40915, 2.28048, 3.73334", \ + "0.114862, 0.443405, 0.63313, 0.94437, 1.46658, 2.33832, 3.78866", \ + "0.157224, 0.541135, 0.745096, 1.06149, 1.58148, 2.4504, 3.89926", \ + "0.213047, 0.687511, 0.926374, 1.27673, 1.81778, 2.68732, 4.13329", \ + "0.314563, 0.908935, 1.19836, 1.61369, 2.22675, 3.14934, 4.60766" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.052665, 0.450362, 0.720593, 1.16708, 1.91481, 3.16078, 5.23729", \ + "0.0639796, 0.451078, 0.721407, 1.16709, 1.91487, 3.16084, 5.2373", \ + "0.0741347, 0.453809, 0.722937, 1.16825, 1.91588, 3.16229, 5.23731", \ + "0.0916708, 0.473103, 0.73047, 1.16999, 1.91589, 3.1623, 5.23941", \ + "0.123463, 0.530524, 0.777211, 1.19597, 1.92184, 3.16231, 5.23942", \ + "0.173389, 0.637708, 0.891957, 1.30214, 1.99317, 3.18974, 5.24286", \ + "0.261888, 0.810003, 1.09594, 1.527, 2.21546, 3.35868, 5.32507" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0189229, 0.0949635, 0.145133, 0.227939, 0.366309, 0.596586, 0.980248", \ + "0.0327786, 0.135028, 0.187, 0.270444, 0.40878, 0.639032, 1.02263", \ + "0.0401943, 0.167344, 0.225473, 0.312767, 0.452405, 0.682546, 1.06633", \ + "0.0452525, 0.211435, 0.282439, 0.382512, 0.53167, 0.765697, 1.14933", \ + "0.0476151, 0.271271, 0.36264, 0.487828, 0.663456, 0.919753, 1.31413", \ + "0.0476161, 0.343415, 0.468732, 0.632841, 0.857198, 1.16686, 1.61333", \ + "0.0476171, 0.419495, 0.588331, 0.814039, 1.11549, 1.51636, 2.06563" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0150935, 0.112168, 0.17991, 0.291916, 0.479353, 0.792425, 1.31358", \ + "0.0319878, 0.123405, 0.186154, 0.293957, 0.480286, 0.792426, 1.3137", \ + "0.0456121, 0.142524, 0.202972, 0.305375, 0.484638, 0.792947, 1.31387", \ + "0.068595, 0.179843, 0.24172, 0.341318, 0.510694, 0.805613, 1.32709", \ + "0.106438, 0.243144, 0.313579, 0.418878, 0.586157, 0.86464, 1.34902", \ + "0.16932, 0.348492, 0.432143, 0.555984, 0.735935, 1.02033, 1.48075", \ + "0.273205, 0.521222, 0.630765, 0.781949, 0.998036, 1.31035, 1.79641" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0128786, 0.0131698, 0.0130774, 0.0128578, 0.012506, 0.0118185, 0.012257", \ + "0.0123587, 0.0128855, 0.0128567, 0.0127786, 0.0124136, 0.0118884, 0.0123132", \ + "0.0123698, 0.0128597, 0.0128462, 0.0127664, 0.0123839, 0.0118156, 0.0116832", \ + "0.0130151, 0.0129297, 0.0128897, 0.012794, 0.0123028, 0.0117708, 0.0117385", \ + "0.0161842, 0.0139697, 0.0136555, 0.0131147, 0.0133589, 0.0119729, 0.0123796", \ + "0.0251934, 0.0191829, 0.0178046, 0.016402, 0.015269, 0.0142355, 0.0130772", \ + "0.0453462, 0.0339013, 0.0306648, 0.0269892, 0.0234611, 0.0211405, 0.0178568" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0104603, 0.0103015, 0.0101881, 0.00998379, 0.00962616, 0.00904268, 0.00802508", \ + "0.0102744, 0.0101034, 0.0102753, 0.00979517, 0.00952194, 0.00894516, 0.00792457", \ + "0.0107535, 0.0102349, 0.0102461, 0.00982147, 0.00957869, 0.0088932, 0.00768038", \ + "0.0123972, 0.0108916, 0.0105378, 0.0105595, 0.00987222, 0.0089271, 0.00784957", \ + "0.0164848, 0.0129228, 0.0122536, 0.0113076, 0.0108719, 0.00993515, 0.008386", \ + "0.0258947, 0.0185705, 0.0168255, 0.0151427, 0.0135634, 0.0118003, 0.0111901", \ + "0.0458083, 0.0338411, 0.0297973, 0.0254022, 0.0218745, 0.0185435, 0.0140431" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(!B1 * B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0123686, 0.0128084, 0.0126845, 0.0124704, 0.0121123, 0.0114163, 0.0120599", \ + "0.0119076, 0.012553, 0.0125296, 0.0123809, 0.0120488, 0.0113823, 0.0119398", \ + "0.0119522, 0.0124265, 0.0123394, 0.0123768, 0.0119798, 0.0114534, 0.0120027", \ + "0.0127269, 0.012574, 0.0123268, 0.0123543, 0.0118731, 0.0113687, 0.01201", \ + "0.0161446, 0.0136338, 0.0133127, 0.0127738, 0.0128448, 0.0116318, 0.0120983", \ + "0.0254467, 0.0187846, 0.0173785, 0.0160522, 0.0147388, 0.0137988, 0.013129", \ + "0.0457806, 0.0339649, 0.0303934, 0.0270819, 0.023275, 0.0206586, 0.0175143" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00779587, 0.00766407, 0.0075529, 0.00735751, 0.0070301, 0.00634411, 0.00545602", \ + "0.00760632, 0.00743592, 0.00773386, 0.00712302, 0.00683519, 0.00638116, 0.00523429", \ + "0.00810317, 0.00759777, 0.00751678, 0.00724678, 0.00718325, 0.00621159, 0.00530149", \ + "0.00976139, 0.0082298, 0.00782597, 0.00788002, 0.00698343, 0.00643406, 0.00531113", \ + "0.0138574, 0.0102892, 0.00968261, 0.00862399, 0.0082274, 0.00729747, 0.00541998", \ + "0.023271, 0.0159193, 0.014139, 0.0124845, 0.0107269, 0.00916616, 0.00754936", \ + "0.0432649, 0.0311618, 0.027123, 0.0229577, 0.0192148, 0.0157414, 0.0115983" \ + ); + } + } + internal_power () { + related_pin : "A1"; + when : "(!B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0154, 0.0158189, 0.015715, 0.0155186, 0.0151107, 0.0151073, 0.0148539", \ + "0.0149478, 0.0156872, 0.015516, 0.0153656, 0.0150906, 0.0150884, 0.0149595", \ + "0.0150001, 0.0154079, 0.0155126, 0.0153193, 0.0150889, 0.0150486, 0.0152249", \ + "0.0157809, 0.0154576, 0.0153956, 0.015271, 0.0149426, 0.0150428, 0.0149826", \ + "0.0191654, 0.016718, 0.0162824, 0.0161335, 0.0156315, 0.0154498, 0.015205", \ + "0.0284639, 0.0219946, 0.0205097, 0.0191039, 0.0184244, 0.0170452, 0.016022", \ + "0.049043, 0.0370819, 0.0338255, 0.0304114, 0.0266235, 0.0233752, 0.0207034" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00639382, 0.00628338, 0.00617973, 0.00596022, 0.00560424, 0.00504863, 0.00405808", \ + "0.00619586, 0.00606905, 0.00621329, 0.00578917, 0.00545593, 0.00494647, 0.00380172", \ + "0.00667911, 0.00620356, 0.0062941, 0.0058291, 0.00576943, 0.00481679, 0.00388938", \ + "0.00834005, 0.00683385, 0.00646116, 0.00648137, 0.00571512, 0.00494122, 0.00392297", \ + "0.0124844, 0.00895993, 0.00827249, 0.0074112, 0.00689001, 0.00595427, 0.00408033", \ + "0.0219705, 0.0147957, 0.012991, 0.011361, 0.00946422, 0.00775287, 0.00605975", \ + "0.0421365, 0.0304247, 0.0263694, 0.022284, 0.0183799, 0.0149849, 0.01037" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0128786, 0.0131698, 0.0130774, 0.0128578, 0.012506, 0.0118185, 0.012257", \ + "0.0123587, 0.0128855, 0.0128567, 0.0127786, 0.0124136, 0.0118884, 0.0123132", \ + "0.0123698, 0.0128597, 0.0128462, 0.0127664, 0.0123839, 0.0118156, 0.0116832", \ + "0.0130151, 0.0129297, 0.0128897, 0.012794, 0.0123028, 0.0117708, 0.0117385", \ + "0.0161842, 0.0139697, 0.0136555, 0.0131147, 0.0133589, 0.0119729, 0.0123796", \ + "0.0251934, 0.0191829, 0.0178046, 0.016402, 0.015269, 0.0142355, 0.0130772", \ + "0.0453462, 0.0339013, 0.0306648, 0.0269892, 0.0234611, 0.0211405, 0.0178568" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00779587, 0.00766407, 0.0075529, 0.00735751, 0.0070301, 0.00634411, 0.00545602", \ + "0.00760632, 0.00743592, 0.00773386, 0.00712302, 0.00683519, 0.00638116, 0.00523429", \ + "0.00810317, 0.00759777, 0.00751678, 0.00724678, 0.00718325, 0.00621159, 0.00530149", \ + "0.00976139, 0.0082298, 0.00782597, 0.00788002, 0.00698343, 0.00643406, 0.00531113", \ + "0.0138574, 0.0102892, 0.00968261, 0.00862399, 0.0082274, 0.00729747, 0.00541998", \ + "0.023271, 0.0159193, 0.014139, 0.0124845, 0.0107269, 0.00916616, 0.00754936", \ + "0.0432649, 0.0311618, 0.027123, 0.0229577, 0.0192148, 0.0157414, 0.0115983" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0131426, 0.0131026, 0.0130076, 0.012752, 0.0123621, 0.0117649, 0.0123099", \ + "0.0128151, 0.0129445, 0.0129746, 0.0126844, 0.0123806, 0.011685, 0.0115645", \ + "0.0128421, 0.0130028, 0.0127978, 0.0127405, 0.0122557, 0.0116884, 0.0120992", \ + "0.013446, 0.0130834, 0.0130736, 0.0127806, 0.0123434, 0.011743, 0.0120879", \ + "0.0165127, 0.0143526, 0.0140165, 0.0133238, 0.0131242, 0.0118589, 0.0121962", \ + "0.0253959, 0.0199045, 0.0182877, 0.0167967, 0.0153995, 0.0136813, 0.0135899", \ + "0.0452564, 0.0352635, 0.0318272, 0.0280563, 0.0241259, 0.0213145, 0.0181099" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.013035, 0.0128403, 0.0127269, 0.0125001, 0.0122317, 0.0115585, 0.0105537", \ + "0.0127848, 0.012674, 0.0131332, 0.0123498, 0.0120874, 0.0113821, 0.0104853", \ + "0.0130502, 0.0127668, 0.0129325, 0.0123739, 0.0120717, 0.0113423, 0.0107127", \ + "0.0142714, 0.0132852, 0.012969, 0.013041, 0.012206, 0.0114626, 0.0104949", \ + "0.0180187, 0.0150117, 0.0144465, 0.013729, 0.0132404, 0.0120135, 0.0107745", \ + "0.0270766, 0.020331, 0.0186221, 0.0171957, 0.0157928, 0.0140638, 0.0142738", \ + "0.0465409, 0.0348996, 0.0310242, 0.0270621, 0.0238804, 0.0204524, 0.0166391" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(!B1 * B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0127493, 0.012748, 0.0125948, 0.0123767, 0.0119739, 0.0113082, 0.0118207", \ + "0.0124, 0.0125756, 0.0124815, 0.0123127, 0.0119515, 0.0114131, 0.0117541", \ + "0.0124398, 0.01262, 0.0125249, 0.0123762, 0.0118841, 0.0113203, 0.0117183", \ + "0.0131217, 0.0128335, 0.0126281, 0.0125623, 0.0118816, 0.0113512, 0.0117476", \ + "0.016398, 0.0139926, 0.0136327, 0.012977, 0.0129353, 0.0116581, 0.012289", \ + "0.0255285, 0.0194325, 0.0179814, 0.0165179, 0.01502, 0.0137549, 0.0127905", \ + "0.045564, 0.0350937, 0.0316762, 0.0277597, 0.0240955, 0.0213163, 0.0180175" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0103745, 0.0101985, 0.0101106, 0.00991648, 0.00951966, 0.00889589, 0.00808068", \ + "0.0101157, 0.0100054, 0.010105, 0.00968781, 0.00939096, 0.00877637, 0.00797734", \ + "0.0103992, 0.0101193, 0.0100422, 0.00972306, 0.00957796, 0.00894048, 0.00794364", \ + "0.0116355, 0.010641, 0.0103074, 0.0102623, 0.00956386, 0.00906924, 0.008061", \ + "0.0153755, 0.0123518, 0.0118237, 0.0110829, 0.0106853, 0.00944821, 0.00833088", \ + "0.024471, 0.017608, 0.0160388, 0.0145449, 0.0130852, 0.0113694, 0.0116854", \ + "0.0439561, 0.0322425, 0.02831, 0.0245837, 0.0213426, 0.0180052, 0.0140497" \ + ); + } + } + internal_power () { + related_pin : "A2"; + when : "(!B1 * !B2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0157561, 0.0157409, 0.0156143, 0.0153855, 0.0149857, 0.0149964, 0.0148803", \ + "0.0154324, 0.0156099, 0.0154904, 0.0152951, 0.0149857, 0.0143297, 0.0147099", \ + "0.0154799, 0.0155428, 0.0156077, 0.0152665, 0.0149641, 0.0149447, 0.0148372", \ + "0.0161524, 0.0157408, 0.0156581, 0.0157306, 0.0149075, 0.0149426, 0.014958", \ + "0.019414, 0.0170757, 0.0165588, 0.0164557, 0.0157391, 0.014765, 0.0149526", \ + "0.0285563, 0.0226443, 0.0210521, 0.0194468, 0.0185913, 0.0171895, 0.0164809", \ + "0.0488091, 0.038341, 0.0348447, 0.0313889, 0.0274366, 0.0239254, 0.0206584" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00895516, 0.00881078, 0.00870493, 0.00852785, 0.00817372, 0.00757492, 0.00664934", \ + "0.00871202, 0.00861772, 0.00872933, 0.00833658, 0.00798226, 0.0076304, 0.00643455", \ + "0.00897106, 0.00870604, 0.00864873, 0.00835295, 0.00801403, 0.00740044, 0.00655307", \ + "0.0101928, 0.00924326, 0.00893461, 0.00901969, 0.00811579, 0.00892697, 0.00669153", \ + "0.0139731, 0.0109795, 0.0104739, 0.00971808, 0.0092915, 0.00788426, 0.0069013", \ + "0.0231354, 0.0165219, 0.0148895, 0.0133408, 0.0119277, 0.0100148, 0.00884163", \ + "0.0427827, 0.0314768, 0.0275788, 0.0235415, 0.0202622, 0.0169275, 0.0131742" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0131426, 0.0131026, 0.0130076, 0.012752, 0.0123621, 0.0117649, 0.0123099", \ + "0.0128151, 0.0129445, 0.0129746, 0.0126844, 0.0123806, 0.011685, 0.0115645", \ + "0.0128421, 0.0130028, 0.0127978, 0.0127405, 0.0122557, 0.0116884, 0.0120992", \ + "0.013446, 0.0130834, 0.0130736, 0.0127806, 0.0123434, 0.011743, 0.0120879", \ + "0.0165127, 0.0143526, 0.0140165, 0.0133238, 0.0131242, 0.0118589, 0.0121962", \ + "0.0253959, 0.0199045, 0.0182877, 0.0167967, 0.0153995, 0.0136813, 0.0135899", \ + "0.0452564, 0.0352635, 0.0318272, 0.0280563, 0.0241259, 0.0213145, 0.0181099" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0103745, 0.0101985, 0.0101106, 0.00991648, 0.00951966, 0.00889589, 0.00808068", \ + "0.0101157, 0.0100054, 0.010105, 0.00968781, 0.00939096, 0.00877637, 0.00797734", \ + "0.0103992, 0.0101193, 0.0100422, 0.00972306, 0.00957796, 0.00894048, 0.00794364", \ + "0.0116355, 0.010641, 0.0103074, 0.0102623, 0.00956386, 0.00906924, 0.008061", \ + "0.0153755, 0.0123518, 0.0118237, 0.0110829, 0.0106853, 0.00944821, 0.00833088", \ + "0.024471, 0.017608, 0.0160388, 0.0145449, 0.0130852, 0.0113694, 0.0116854", \ + "0.0439561, 0.0322425, 0.02831, 0.0245837, 0.0213426, 0.0180052, 0.0140497" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0117391, 0.0120039, 0.011887, 0.011663, 0.0113338, 0.0106151, 0.0110882", \ + "0.0112372, 0.0117588, 0.011732, 0.0116304, 0.0113215, 0.010717, 0.0110934", \ + "0.0114377, 0.011755, 0.0116952, 0.0116167, 0.0112174, 0.010661, 0.0110715", \ + "0.0126105, 0.0118458, 0.0119013, 0.0115211, 0.011156, 0.0107069, 0.0111872", \ + "0.0162842, 0.0133723, 0.0129109, 0.0122142, 0.0126016, 0.0109565, 0.0113594", \ + "0.0249055, 0.0186664, 0.0171483, 0.0155389, 0.0147869, 0.0125387, 0.012218", \ + "0.0432667, 0.032405, 0.0292057, 0.025705, 0.022107, 0.019737, 0.0161366" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00597615, 0.00599596, 0.00588284, 0.00568574, 0.00535407, 0.00474325, 0.00375431", \ + "0.0058358, 0.00590969, 0.0062955, 0.00562005, 0.00531971, 0.00485015, 0.00373777", \ + "0.0063724, 0.0060706, 0.00628165, 0.00568276, 0.00570146, 0.00477008, 0.00358364", \ + "0.00806762, 0.00668351, 0.00631431, 0.00630725, 0.00552123, 0.00506294, 0.00366002", \ + "0.0119358, 0.00859619, 0.00801255, 0.00712033, 0.00669163, 0.00585568, 0.00404592", \ + "0.0207716, 0.013837, 0.0122057, 0.0107576, 0.00909895, 0.00750415, 0.00584204", \ + "0.0389614, 0.0280457, 0.024211, 0.0203237, 0.0171535, 0.0140748, 0.00982214" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0111942, 0.0116414, 0.0115125, 0.0113187, 0.0109357, 0.0102573, 0.0109278", \ + "0.0108019, 0.0114011, 0.0113515, 0.0111891, 0.0108642, 0.0102492, 0.0108599", \ + "0.0110663, 0.0113179, 0.01121, 0.0112378, 0.0108466, 0.0102854, 0.0108936", \ + "0.0123888, 0.0115959, 0.0115234, 0.0111706, 0.0108224, 0.0101638, 0.0107895", \ + "0.0163167, 0.0130045, 0.0125408, 0.0118625, 0.0116705, 0.0105753, 0.0109774", \ + "0.0251511, 0.0184692, 0.0167858, 0.0152726, 0.0138677, 0.0120294, 0.0118767", \ + "0.0437486, 0.0323223, 0.0289278, 0.0255726, 0.021673, 0.019372, 0.0155865" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00331332, 0.0033542, 0.00324664, 0.00304849, 0.0027059, 0.00211166, 0.00105305", \ + "0.0031895, 0.00329445, 0.00354203, 0.00301015, 0.00270574, 0.00201958, 0.00114265", \ + "0.00370752, 0.00339388, 0.00352117, 0.00303025, 0.00279687, 0.00217927, 0.00102028", \ + "0.00542989, 0.00404059, 0.00368965, 0.00374484, 0.00287808, 0.00213072, 0.00122782", \ + "0.00932459, 0.00594258, 0.00530642, 0.00447826, 0.0040023, 0.00299221, 0.00144097", \ + "0.0181638, 0.011215, 0.00959665, 0.00811969, 0.00638479, 0.0049228, 0.00362874", \ + "0.0364078, 0.025404, 0.0216227, 0.0176894, 0.0145365, 0.0114252, 0.00714844" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.011189, 0.0116255, 0.0115144, 0.011322, 0.0108909, 0.0102294, 0.0108707", \ + "0.010831, 0.0114381, 0.0114105, 0.0112484, 0.0108761, 0.0103712, 0.0106529", \ + "0.0111618, 0.0113063, 0.0115225, 0.0111593, 0.0109081, 0.0102022, 0.0109118", \ + "0.0126536, 0.0116002, 0.0114521, 0.0113213, 0.0108361, 0.01023, 0.0111725", \ + "0.0168696, 0.0133328, 0.0127028, 0.0122567, 0.0118187, 0.0108041, 0.0110204", \ + "0.026351, 0.0191975, 0.0175078, 0.0156623, 0.0144607, 0.0124393, 0.0125881", \ + "0.0460794, 0.0340597, 0.0307259, 0.0268466, 0.0228048, 0.0195887, 0.0163995" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00318231, 0.00321523, 0.00310436, 0.00292881, 0.00256315, 0.00196471, 0.00092166", \ + "0.00306296, 0.00311244, 0.00338151, 0.00281631, 0.00251889, 0.0019733, 0.00109221", \ + "0.00368141, 0.00324156, 0.00337425, 0.00291517, 0.00256754, 0.00199193, 0.00089805", \ + "0.00556021, 0.00390166, 0.00352947, 0.00354549, 0.00266762, 0.00205337, 0.00105618", \ + "0.00976229, 0.0060603, 0.00534709, 0.00439278, 0.00398799, 0.00284859, 0.00123651", \ + "0.0191983, 0.011804, 0.00998415, 0.00839245, 0.00650859, 0.00487409, 0.00330742", \ + "0.0386059, 0.0270364, 0.0230062, 0.0187826, 0.0152632, 0.0116428, 0.00744447" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0117391, 0.0120039, 0.011887, 0.011663, 0.0113338, 0.0106151, 0.0110882", \ + "0.0112372, 0.0117588, 0.011732, 0.0116304, 0.0113215, 0.010717, 0.0110934", \ + "0.0114377, 0.011755, 0.0116952, 0.0116167, 0.0112174, 0.010661, 0.0110715", \ + "0.0126105, 0.0118458, 0.0119013, 0.0115211, 0.011156, 0.0107069, 0.0111872", \ + "0.0162842, 0.0133723, 0.0129109, 0.0122142, 0.0126016, 0.0109565, 0.0113594", \ + "0.0249055, 0.0186664, 0.0171483, 0.0155389, 0.0147869, 0.0125387, 0.012218", \ + "0.0432667, 0.032405, 0.0292057, 0.025705, 0.022107, 0.019737, 0.0161366" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00318231, 0.00321523, 0.00310436, 0.00292881, 0.00256315, 0.00196471, 0.00092166", \ + "0.00306296, 0.00311244, 0.00338151, 0.00281631, 0.00251889, 0.0019733, 0.00109221", \ + "0.00368141, 0.00324156, 0.00337425, 0.00291517, 0.00256754, 0.00199193, 0.00089805", \ + "0.00556021, 0.00390166, 0.00352947, 0.00354549, 0.00266762, 0.00205337, 0.00105618", \ + "0.00976229, 0.0060603, 0.00534709, 0.00439278, 0.00398799, 0.00284859, 0.00123651", \ + "0.0191983, 0.011804, 0.00998415, 0.00839245, 0.00650859, 0.00487409, 0.00330742", \ + "0.0386059, 0.0270364, 0.0230062, 0.0187826, 0.0152632, 0.0116428, 0.00744447" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0119132, 0.0118862, 0.0117617, 0.0115142, 0.011164, 0.0105429, 0.0111089", \ + "0.0116497, 0.0117561, 0.0116612, 0.011522, 0.0110693, 0.0104065, 0.0103342", \ + "0.0118348, 0.0119327, 0.0117319, 0.0115541, 0.0111479, 0.0104593, 0.0110902", \ + "0.0129557, 0.0121274, 0.0120083, 0.0115757, 0.011168, 0.0106018, 0.011087", \ + "0.0165676, 0.0136769, 0.0132162, 0.012386, 0.0120229, 0.0110161, 0.0112897", \ + "0.0250505, 0.0192009, 0.0176025, 0.0160247, 0.0149912, 0.0131772, 0.0123447", \ + "0.0430545, 0.0335247, 0.0302762, 0.0266993, 0.0226037, 0.0201575, 0.0167508" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00864449, 0.00860509, 0.00848149, 0.00827389, 0.00791116, 0.00735467, 0.00633147", \ + "0.00830088, 0.00851388, 0.00886408, 0.00823338, 0.00794814, 0.00737883, 0.0063187", \ + "0.0085934, 0.0085741, 0.0086006, 0.0082665, 0.0079873, 0.00734109, 0.00623621", \ + "0.00987261, 0.00906131, 0.00878341, 0.00889811, 0.00805398, 0.00761751, 0.00640918", \ + "0.0134971, 0.0106733, 0.0102125, 0.00949785, 0.00915155, 0.00794863, 0.00679371", \ + "0.0218824, 0.0156573, 0.0140869, 0.0128801, 0.0115622, 0.00990318, 0.00996748", \ + "0.0395411, 0.0289804, 0.0254886, 0.0219438, 0.0191321, 0.0160796, 0.0125413" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0115308, 0.0115061, 0.0113781, 0.0111612, 0.0107858, 0.0101493, 0.0105277", \ + "0.0112383, 0.0114144, 0.0112762, 0.0111042, 0.0107695, 0.0100685, 0.0105709", \ + "0.0114675, 0.0114798, 0.0113498, 0.011207, 0.0106894, 0.0101938, 0.0105321", \ + "0.0127204, 0.0118192, 0.011432, 0.0112215, 0.0107533, 0.0100608, 0.0106984", \ + "0.0165391, 0.0133348, 0.0128359, 0.0119945, 0.0116626, 0.0106068, 0.0108989", \ + "0.0251715, 0.018982, 0.0172962, 0.0156181, 0.014308, 0.0124266, 0.0119882", \ + "0.0433405, 0.0334026, 0.029977, 0.026195, 0.0221833, 0.0197943, 0.015922" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00599034, 0.00601144, 0.00585267, 0.00566059, 0.00529962, 0.00470059, 0.00369551", \ + "0.00564269, 0.0058845, 0.00583855, 0.00561826, 0.00534363, 0.00483378, 0.0039524", \ + "0.00592469, 0.0059443, 0.00612469, 0.00579311, 0.0053056, 0.00484245, 0.00387956", \ + "0.00722559, 0.00640229, 0.00613283, 0.00618825, 0.00539455, 0.00522965, 0.0038334", \ + "0.0108585, 0.00805404, 0.00755293, 0.00684748, 0.00650586, 0.00541845, 0.00407945", \ + "0.0192714, 0.0130104, 0.0114881, 0.0102557, 0.00883168, 0.00733287, 0.00622747", \ + "0.036998, 0.0263813, 0.0228737, 0.0195201, 0.016594, 0.0136591, 0.00988611" \ + ); + } + } + internal_power () { + related_pin : "B2"; + when : "(!A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0114938, 0.0114855, 0.0113721, 0.0111423, 0.0107182, 0.0100747, 0.0106138", \ + "0.0112764, 0.0113588, 0.0112781, 0.0111153, 0.0107559, 0.0101681, 0.0104618", \ + "0.0115447, 0.0114312, 0.0114071, 0.0111214, 0.0108013, 0.01005, 0.0105712", \ + "0.0129615, 0.0119279, 0.0115288, 0.0112814, 0.0107846, 0.0102201, 0.0106932", \ + "0.0170892, 0.0137101, 0.0129957, 0.0128265, 0.0116911, 0.0106534, 0.0108163", \ + "0.0263317, 0.0198039, 0.0179661, 0.0160535, 0.0149472, 0.0124392, 0.0126561", \ + "0.0456561, 0.0351921, 0.0316416, 0.0279214, 0.0235005, 0.0201886, 0.0165416" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00585566, 0.00589419, 0.00572426, 0.00552517, 0.00517707, 0.00456846, 0.00356411", \ + "0.00553938, 0.00570381, 0.00598417, 0.00544411, 0.00508598, 0.00460607, 0.0037048", \ + "0.00586954, 0.00577058, 0.00594776, 0.00549227, 0.00519173, 0.00463718, 0.00354248", \ + "0.00731851, 0.00631325, 0.00598641, 0.00609023, 0.00524647, 0.00480572, 0.00366155", \ + "0.0112714, 0.00812885, 0.00754534, 0.00678746, 0.00637041, 0.00489578, 0.00404392", \ + "0.0203232, 0.0134899, 0.0119022, 0.010431, 0.00896408, 0.00723063, 0.00805647", \ + "0.0392383, 0.0279428, 0.024181, 0.020388, 0.0172995, 0.0138685, 0.0100708" \ + ); + } + } + internal_power () { + related_pin : "B2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0114938, 0.0114855, 0.0113721, 0.0111423, 0.0107182, 0.0100747, 0.0106138", \ + "0.0112764, 0.0113588, 0.0112781, 0.0111153, 0.0107559, 0.0101681, 0.0104618", \ + "0.0115447, 0.0114312, 0.0114071, 0.0111214, 0.0108013, 0.01005, 0.0105712", \ + "0.0129615, 0.0119279, 0.0115288, 0.0112814, 0.0107846, 0.0102201, 0.0106932", \ + "0.0170892, 0.0137101, 0.0129957, 0.0128265, 0.0116911, 0.0106534, 0.0108163", \ + "0.0263317, 0.0198039, 0.0179661, 0.0160535, 0.0149472, 0.0124392, 0.0126561", \ + "0.0456561, 0.0351921, 0.0316416, 0.0279214, 0.0235005, 0.0201886, 0.0165416" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00585566, 0.00589419, 0.00572426, 0.00552517, 0.00517707, 0.00456846, 0.00356411", \ + "0.00553938, 0.00570381, 0.00598417, 0.00544411, 0.00508598, 0.00460607, 0.0037048", \ + "0.00586954, 0.00577058, 0.00594776, 0.00549227, 0.00519173, 0.00463718, 0.00354248", \ + "0.00731851, 0.00631325, 0.00598641, 0.00609023, 0.00524647, 0.00480572, 0.00366155", \ + "0.0112714, 0.00812885, 0.00754534, 0.00678746, 0.00637041, 0.00489578, 0.00404392", \ + "0.0203232, 0.0134899, 0.0119022, 0.010431, 0.00896408, 0.00723063, 0.00805647", \ + "0.0392383, 0.0279428, 0.024181, 0.020388, 0.0172995, 0.0138685, 0.0100708" \ + ); + } + } + internal_power () { + related_pin : "C1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00532598, 0.00578269, 0.00568597, 0.00549641, 0.00514751, 0.00451724, 0.00489585", \ + "0.00556222, 0.00555356, 0.00553817, 0.00532043, 0.00500957, 0.00438868, 0.00409433", \ + "0.00641057, 0.00574923, 0.00562919, 0.0054173, 0.00503426, 0.00443981, 0.0048321", \ + "0.0082982, 0.00640311, 0.0060545, 0.00567708, 0.00516242, 0.00464074, 0.00502184", \ + "0.0129147, 0.00913453, 0.00831599, 0.0071135, 0.00659048, 0.00502739, 0.00514058", \ + "0.0219275, 0.0154022, 0.01349, 0.0114553, 0.00958085, 0.00728235, 0.00706334", \ + "0.0416798, 0.0306883, 0.0275931, 0.02431, 0.0194907, 0.0158001, 0.0117119" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00495126, 0.00543375, 0.0053638, 0.00519499, 0.00485422, 0.00435802, 0.00330141", \ + "0.00518685, 0.00550562, 0.00556153, 0.00533567, 0.00514236, 0.00448627, 0.00358386", \ + "0.00590636, 0.00572412, 0.00558515, 0.00561767, 0.00531599, 0.00449233, 0.00368822", \ + "0.00786748, 0.00633466, 0.00617884, 0.00560414, 0.00560693, 0.00458307, 0.00627377", \ + "0.0121776, 0.00831283, 0.00773023, 0.00720427, 0.00632372, 0.00572663, 0.00380503", \ + "0.0215653, 0.0138548, 0.0122023, 0.0106578, 0.00942244, 0.0075539, 0.00554817", \ + "0.0409098, 0.0286935, 0.0249345, 0.0210477, 0.0174263, 0.0146855, 0.011748" \ + ); + } + } + internal_power () { + related_pin : "C1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00532598, 0.00578269, 0.00568597, 0.00549641, 0.00514751, 0.00451724, 0.00489585", \ + "0.00556222, 0.00555356, 0.00553817, 0.00532043, 0.00500957, 0.00438868, 0.00409433", \ + "0.00641057, 0.00574923, 0.00562919, 0.0054173, 0.00503426, 0.00443981, 0.0048321", \ + "0.0082982, 0.00640311, 0.0060545, 0.00567708, 0.00516242, 0.00464074, 0.00502184", \ + "0.0129147, 0.00913453, 0.00831599, 0.0071135, 0.00659048, 0.00502739, 0.00514058", \ + "0.0219275, 0.0154022, 0.01349, 0.0114553, 0.00958085, 0.00728235, 0.00706334", \ + "0.0416798, 0.0306883, 0.0275931, 0.02431, 0.0194907, 0.0158001, 0.0117119" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00495126, 0.00543375, 0.0053638, 0.00519499, 0.00485422, 0.00435802, 0.00330141", \ + "0.00518685, 0.00550562, 0.00556153, 0.00533567, 0.00514236, 0.00448627, 0.00358386", \ + "0.00590636, 0.00572412, 0.00558515, 0.00561767, 0.00531599, 0.00449233, 0.00368822", \ + "0.00786748, 0.00633466, 0.00617884, 0.00560414, 0.00560693, 0.00458307, 0.00627377", \ + "0.0121776, 0.00831283, 0.00773023, 0.00720427, 0.00632372, 0.00572663, 0.00380503", \ + "0.0215653, 0.0138548, 0.0122023, 0.0106578, 0.00942244, 0.0075539, 0.00554817", \ + "0.0409098, 0.0286935, 0.0249345, 0.0210477, 0.0174263, 0.0146855, 0.011748" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00307208; + rise_capacitance : 0.00300042; + rise_capacitance_range (0.00300042, 0.00300042); + fall_capacitance : 0.00314375; + fall_capacitance_range (0.00314375, 0.00314375); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00313322; + rise_capacitance : 0.00315075; + rise_capacitance_range (0.00315075, 0.00315075); + fall_capacitance : 0.00311569; + fall_capacitance_range (0.00311569, 0.00311569); + internal_power () { + when : "(B1 * B2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "2.14354e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-2.14354e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "2.14354e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-2.14354e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00284249; + rise_capacitance : 0.00279459; + rise_capacitance_range (0.00279459, 0.00279459); + fall_capacitance : 0.00289039; + fall_capacitance_range (0.00289039, 0.00289039); + internal_power () { + when : "C1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00117231, 0.00119042, 0.0012058, 0.00123518, 0.00126954, 0.00129357, 0.001314" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-8.5432e-05, -7.85487e-05, -8.86323e-05, -8.66296e-05, -8.51805e-05, -8.39447e-05, -8.15478e-05" \ + ); + } + } + internal_power () { + when : "(A1 * A2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00183188, 0.00184957, 0.00184888, 0.00184324, 0.00185971, 0.00186105, 0.00187826" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00183188, -0.00184957, -0.00184888, -0.00184324, -0.00185971, -0.00186105, -0.00187826" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00183188, 0.00184957, 0.00184888, 0.00184324, 0.00185971, 0.00186105, 0.00187826" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00183188, -0.00184957, -0.00184888, -0.00184324, -0.00185971, -0.00186105, -0.00187826" \ + ); + } + } + } + pin (B2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00293839; + rise_capacitance : 0.0029563; + rise_capacitance_range (0.0029563, 0.0029563); + fall_capacitance : 0.00292049; + fall_capacitance_range (0.00292049, 0.00292049); + internal_power () { + when : "C1"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00120158, 0.00121724, 0.0012325, 0.00126646, 0.00129266, 0.00132745, 0.00135273" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000116832, -0.000108478, -0.000115545, -0.000115882, -0.000113728, -0.000112856, -0.00011008" \ + ); + } + } + internal_power () { + when : "(A1 * A2 * !C1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00186231, 0.00187462, 0.001878, 0.00189109, 0.00189065, 0.00189132, 0.00190969" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00186231, -0.00187462, -0.001878, -0.00189109, -0.00189065, -0.00189132, -0.00190969" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00186231, 0.00187462, 0.001878, 0.00189109, 0.00189065, 0.00189132, 0.00190969" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00186231, -0.00187462, -0.001878, -0.00189109, -0.00189065, -0.00189132, -0.00190969" \ + ); + } + } + } + pin (C1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0025947; + rise_capacitance : 0.00251773; + rise_capacitance_range (0.00251773, 0.00251773); + fall_capacitance : 0.00267167; + fall_capacitance_range (0.00267167, 0.00267167); + internal_power () { + when : "(B1 * B2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000760078, 0.000754389, 0.000759252, 0.000761504, 0.00076561, 0.000765258, 0.000764853" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000861041, 0.000872015, 0.000885542, 0.000887476, 0.000879167, 0.000903454, 0.000885053" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000760078, 0.000754389, 0.000759252, 0.000761504, 0.00076561, 0.000765258, 0.000764853" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000861041, 0.000872015, 0.000885542, 0.000887476, 0.000879167, 0.000903454, 0.000885053" \ + ); + } + } + } + } + cell (sg13g2_a22oi_1) { + area : 10.8486; + cell_footprint : "a22oi"; + cell_leakage_power : 432.974; + leakage_power () { + value : 257.516; + when : "!A1*!A2*!B1*!B2"; + } + leakage_power () { + value : 221.68; + when : "!A1*!A2*!B1*B2"; + } + leakage_power () { + value : 361.54; + when : "!A1*!A2*B1*!B2"; + } + leakage_power () { + value : 600.799; + when : "!A1*!A2*B1*B2"; + } + leakage_power () { + value : 361.652; + when : "!A1*A2*!B1*!B2"; + } + leakage_power () { + value : 325.818; + when : "!A1*A2*!B1*B2"; + } + leakage_power () { + value : 465.676; + when : "!A1*A2*B1*!B2"; + } + leakage_power () { + value : 681.134; + when : "!A1*A2*B1*B2"; + } + leakage_power () { + value : 221.668; + when : "A1*!A2*!B1*!B2"; + } + leakage_power () { + value : 185.834; + when : "A1*!A2*!B1*B2"; + } + leakage_power () { + value : 325.694; + when : "A1*!A2*B1*!B2"; + } + leakage_power () { + value : 681.011; + when : "A1*!A2*B1*B2"; + } + leakage_power () { + value : 442.314; + when : "A1*A2*!B1*!B2"; + } + leakage_power () { + value : 589.12; + when : "A1*A2*!B1*B2"; + } + leakage_power () { + value : 589.118; + when : "A1*A2*B1*!B2"; + } + leakage_power () { + value : 617.011; + when : "A1*A2*B1*B2"; + } + pin (Y) { + direction : "output"; + function : "!((A1*A2)+(B1*B2))"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0342642, 0.10506, 0.153134, 0.232606, 0.365593, 0.58702, 0.955674", \ + "0.0515441, 0.133198, 0.182265, 0.261905, 0.395126, 0.61652, 0.985612", \ + "0.0604043, 0.156118, 0.208528, 0.290175, 0.423566, 0.645438, 1.01421", \ + "0.0700737, 0.190294, 0.251268, 0.340574, 0.479331, 0.702343, 1.07134", \ + "0.0842636, 0.239543, 0.313914, 0.42116, 0.576634, 0.81299, 1.18643", \ + "0.0978049, 0.297653, 0.395981, 0.529997, 0.719408, 0.992467, 1.39711", \ + "0.113057, 0.376831, 0.504944, 0.680415, 0.923963, 1.26066, 1.73807" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0225592, 0.120369, 0.188529, 0.301492, 0.490491, 0.805464, 1.33039", \ + "0.0349161, 0.125356, 0.190831, 0.301939, 0.490492, 0.805885, 1.33129", \ + "0.0468852, 0.13791, 0.200328, 0.307272, 0.49191, 0.805886, 1.3313", \ + "0.0705598, 0.165232, 0.227378, 0.329744, 0.50598, 0.810616, 1.33408", \ + "0.109464, 0.214839, 0.280676, 0.38437, 0.555733, 0.844991, 1.34679", \ + "0.158612, 0.29911, 0.378283, 0.491338, 0.668125, 0.951015, 1.42965", \ + "0.248403, 0.428889, 0.528028, 0.666419, 0.867563, 1.17023, 1.64804" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0353402, 0.0990648, 0.142208, 0.213274, 0.331783, 0.529475, 0.858433", \ + "0.0574055, 0.133657, 0.178342, 0.249842, 0.368419, 0.565964, 0.895296", \ + "0.072036, 0.16207, 0.21139, 0.286514, 0.406652, 0.604228, 0.933238", \ + "0.089933, 0.200853, 0.25984, 0.34534, 0.474488, 0.676424, 1.00586", \ + "0.115784, 0.255654, 0.328753, 0.433045, 0.584076, 0.806951, 1.14907", \ + "0.151485, 0.329792, 0.423735, 0.556292, 0.742956, 1.01079, 1.40069", \ + "0.200404, 0.432115, 0.550663, 0.720407, 0.961738, 1.29665, 1.77076" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0266814, 0.109845, 0.168122, 0.263987, 0.424741, 0.693161, 1.13944", \ + "0.0409832, 0.119743, 0.174157, 0.266743, 0.425052, 0.693162, 1.13945", \ + "0.0531283, 0.136832, 0.189697, 0.27822, 0.43112, 0.694323, 1.13946", \ + "0.0726613, 0.169306, 0.22425, 0.311429, 0.457457, 0.709329, 1.14312", \ + "0.103718, 0.221912, 0.285452, 0.378552, 0.525919, 0.767845, 1.18132", \ + "0.156751, 0.307795, 0.383797, 0.495009, 0.656619, 0.908521, 1.31066", \ + "0.244905, 0.442574, 0.541206, 0.677784, 0.872113, 1.15921, 1.58928" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0385988, 0.108859, 0.156917, 0.236224, 0.369338, 0.590674, 0.959161", \ + "0.0585976, 0.137622, 0.18634, 0.265862, 0.398975, 0.620226, 0.989518", \ + "0.0692739, 0.16124, 0.213144, 0.294367, 0.427521, 0.64899, 1.01798", \ + "0.0828921, 0.19681, 0.256553, 0.345443, 0.483641, 0.706194, 1.0752", \ + "0.103413, 0.248861, 0.321546, 0.426952, 0.581829, 0.817463, 1.19054", \ + "0.127136, 0.312981, 0.407938, 0.540735, 0.727204, 0.99829, 1.40193", \ + "0.164196, 0.405069, 0.527731, 0.69827, 0.937886, 1.27015, 1.74453" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0271881, 0.125419, 0.193649, 0.306682, 0.495588, 0.81067, 1.33518", \ + "0.038848, 0.130003, 0.195741, 0.307004, 0.495589, 0.810671, 1.33687", \ + "0.0507402, 0.14233, 0.205047, 0.312282, 0.496973, 0.810672, 1.33688", \ + "0.0738221, 0.169415, 0.23176, 0.334367, 0.510787, 0.815748, 1.33919", \ + "0.110572, 0.218575, 0.284523, 0.388837, 0.560299, 0.849637, 1.35194", \ + "0.156901, 0.302475, 0.381321, 0.495474, 0.671607, 0.955427, 1.43455", \ + "0.239464, 0.427534, 0.527774, 0.667052, 0.868885, 1.17321, 1.65072" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0375668, 0.101122, 0.14423, 0.215166, 0.333822, 0.531473, 0.86045", \ + "0.0562087, 0.128202, 0.172498, 0.243962, 0.362743, 0.560376, 0.889729", \ + "0.0690645, 0.151295, 0.198696, 0.272612, 0.39252, 0.590324, 0.91969", \ + "0.0843336, 0.184159, 0.238224, 0.319004, 0.445034, 0.646094, 0.976029", \ + "0.105834, 0.231562, 0.297876, 0.391919, 0.533204, 0.7482, 1.08664", \ + "0.134353, 0.295993, 0.380617, 0.498913, 0.66762, 0.914272, 1.28346", \ + "0.168852, 0.384562, 0.491366, 0.643129, 0.859882, 1.16139, 1.59111" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.026308, 0.109909, 0.168119, 0.264237, 0.424506, 0.692525, 1.13864", \ + "0.0361766, 0.115867, 0.171584, 0.265832, 0.425057, 0.692526, 1.13865", \ + "0.0464361, 0.127235, 0.181524, 0.272697, 0.42882, 0.693547, 1.13866", \ + "0.0642863, 0.15134, 0.20566, 0.294436, 0.445167, 0.702718, 1.14121", \ + "0.0946291, 0.194528, 0.252642, 0.342823, 0.491476, 0.740488, 1.1647", \ + "0.143771, 0.268344, 0.334951, 0.434215, 0.589351, 0.837094, 1.25089", \ + "0.225759, 0.388567, 0.471207, 0.590826, 0.764474, 1.02868, 1.44472" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0281794, 0.0958044, 0.141947, 0.218126, 0.345527, 0.55779, 0.911548", \ + "0.0477941, 0.12964, 0.17674, 0.252914, 0.380372, 0.592382, 0.946238", \ + "0.0598409, 0.157291, 0.209018, 0.287784, 0.415379, 0.627377, 0.980922", \ + "0.076228, 0.198447, 0.260253, 0.349314, 0.484252, 0.69793, 1.05114", \ + "0.100314, 0.255966, 0.333474, 0.44272, 0.599301, 0.830809, 1.19038", \ + "0.126848, 0.328349, 0.429733, 0.570934, 0.767811, 1.0463, 1.44823", \ + "0.17067, 0.431685, 0.563065, 0.746503, 1.0021, 1.35257, 1.84808" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0232126, 0.119488, 0.18688, 0.298369, 0.485209, 0.796314, 1.31488", \ + "0.038543, 0.127711, 0.19091, 0.299451, 0.48521, 0.796315, 1.31489", \ + "0.0496346, 0.144717, 0.204861, 0.307909, 0.487956, 0.796316, 1.3149", \ + "0.0688944, 0.177687, 0.2395, 0.338775, 0.508512, 0.805033, 1.31554", \ + "0.0993547, 0.23269, 0.302798, 0.408696, 0.57591, 0.854333, 1.34053", \ + "0.141055, 0.321756, 0.40923, 0.531709, 0.712928, 0.992828, 1.45525", \ + "0.219494, 0.456098, 0.57009, 0.72659, 0.94324, 1.25912, 1.73379" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0268199, 0.0901209, 0.132987, 0.203833, 0.322294, 0.519886, 0.848788", \ + "0.040046, 0.116392, 0.160976, 0.23256, 0.351259, 0.548827, 0.878185", \ + "0.0484594, 0.138089, 0.186355, 0.26086, 0.380947, 0.57882, 0.908291", \ + "0.0564997, 0.168324, 0.223998, 0.306087, 0.43287, 0.634361, 0.964303", \ + "0.0669556, 0.21054, 0.279944, 0.376818, 0.519603, 0.735645, 1.07473", \ + "0.0783264, 0.267046, 0.355734, 0.47898, 0.650385, 0.899568, 1.26982", \ + "0.084212, 0.33998, 0.454681, 0.61292, 0.835054, 1.14222, 1.57487" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0156245, 0.0980996, 0.155885, 0.251777, 0.412289, 0.680036, 1.12597", \ + "0.0262179, 0.104956, 0.160127, 0.253517, 0.413847, 0.680205, 1.12598", \ + "0.036301, 0.116831, 0.170593, 0.261133, 0.416463, 0.681146, 1.12599", \ + "0.0545144, 0.140927, 0.195128, 0.283502, 0.433756, 0.690776, 1.12921", \ + "0.084575, 0.184668, 0.242407, 0.332679, 0.480573, 0.729101, 1.15289", \ + "0.131805, 0.258103, 0.324941, 0.425314, 0.579219, 0.82596, 1.23882", \ + "0.212344, 0.380683, 0.462323, 0.582119, 0.754792, 1.01866, 1.43379" \ + ); + } + } + timing () { + related_pin : "B2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0237761, 0.0920397, 0.138205, 0.214553, 0.341883, 0.554087, 0.907852", \ + "0.0395444, 0.125196, 0.172714, 0.248973, 0.376498, 0.58862, 0.942561", \ + "0.0490982, 0.151906, 0.20436, 0.283582, 0.411402, 0.623509, 0.97705", \ + "0.0610547, 0.191151, 0.254284, 0.344257, 0.479941, 0.693883, 1.04715", \ + "0.0776737, 0.245564, 0.325063, 0.435797, 0.593788, 0.826326, 1.18619", \ + "0.0928556, 0.311158, 0.416565, 0.560771, 0.759275, 1.04011, 1.44324", \ + "0.113915, 0.399808, 0.537248, 0.726115, 0.987813, 1.34183, 1.83883" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0188531, 0.11436, 0.181764, 0.293353, 0.479998, 0.791067, 1.30955", \ + "0.0339023, 0.123046, 0.185914, 0.294305, 0.479999, 0.791072, 1.30956", \ + "0.045191, 0.140426, 0.200191, 0.30296, 0.482794, 0.791073, 1.30957", \ + "0.0644501, 0.173559, 0.235233, 0.334244, 0.503679, 0.799749, 1.31024", \ + "0.0960419, 0.228788, 0.299505, 0.404355, 0.571343, 0.84942, 1.33537", \ + "0.140126, 0.320042, 0.408913, 0.529406, 0.709142, 0.988398, 1.45021", \ + "0.225176, 0.459613, 0.572402, 0.730052, 0.941763, 1.25709, 1.73018" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0240245, 0.0878862, 0.130795, 0.201749, 0.320222, 0.517764, 0.846629", \ + "0.0385252, 0.121356, 0.166653, 0.238271, 0.356895, 0.554447, 0.883537", \ + "0.0481304, 0.147676, 0.198477, 0.274506, 0.394924, 0.592502, 0.921294", \ + "0.0586585, 0.182943, 0.244163, 0.331546, 0.462013, 0.66457, 0.994024", \ + "0.07269, 0.231743, 0.308849, 0.41591, 0.56942, 0.794017, 1.13711", \ + "0.0897244, 0.29602, 0.394479, 0.533214, 0.724318, 0.994863, 1.38693", \ + "0.108618, 0.382207, 0.509112, 0.686398, 0.934388, 1.2746, 1.75338" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0165178, 0.0983838, 0.155907, 0.251951, 0.412403, 0.679944, 1.12568", \ + "0.0304235, 0.109335, 0.162916, 0.254946, 0.413131, 0.679992, 1.12591", \ + "0.0413863, 0.126709, 0.179081, 0.266901, 0.419257, 0.684326, 1.12592", \ + "0.0593931, 0.158817, 0.213875, 0.301094, 0.446441, 0.69712, 1.13109", \ + "0.0895682, 0.211679, 0.274379, 0.368318, 0.516178, 0.757033, 1.16939", \ + "0.140517, 0.296333, 0.375132, 0.483338, 0.646487, 0.897416, 1.30061", \ + "0.22893, 0.432948, 0.530493, 0.670017, 0.863247, 1.14862, 1.5752" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00419445, 0.00468695, 0.00467565, 0.00460795, 0.00448845, 0.00412305, 0.00362615", \ + "0.00389992, 0.00437632, 0.00441192, 0.00455562, 0.00433542, 0.00411902, 0.00371792", \ + "0.00428511, 0.00426281, 0.00443011, 0.00434321, 0.00472201, 0.00405261, 0.00365391", \ + "0.00589538, 0.00494598, 0.00478928, 0.00468378, 0.00451638, 0.00413408, 0.00405158", \ + "0.0105289, 0.00765577, 0.00699927, 0.00639287, 0.00553417, 0.00548747, 0.00417647", \ + "0.020846, 0.0153913, 0.0138163, 0.0119121, 0.0102679, 0.00834071, 0.00708501", \ + "0.0423314, 0.0342812, 0.0310256, 0.0270653, 0.0232104, 0.0193822, 0.0155356" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0005895, 0.00070037, 0.0006842, 0.00058723, 0.0003995, 0.00024109, -0.00028594", \ + "0.00084475, 0.00087536, 0.00101604, 0.00081153, 0.00062869, 0.00038939, -0.0001328", \ + "0.00161921, 0.00121082, 0.00108834, 0.0011923, 0.00078915, 0.00055761, -5.13201e-05", \ + "0.00373319, 0.00235869, 0.00205763, 0.00162285, 0.00141088, 0.00078668, 0.00024183", \ + "0.00826848, 0.00561898, 0.00476171, 0.0038992, 0.00295815, 0.00206506, 0.00136097", \ + "0.0184556, 0.0135662, 0.0117005, 0.00968306, 0.00776766, 0.00599796, 0.00349684", \ + "0.0394237, 0.0324809, 0.0292762, 0.0252135, 0.0208727, 0.016851, 0.0130528" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00558194, 0.00567874, 0.00562674, 0.00553474, 0.00537444, 0.00502544, 0.00446978", \ + "0.00541845, 0.00563335, 0.00557795, 0.00546535, 0.00532075, 0.00501055, 0.0046785", \ + "0.00573876, 0.00558916, 0.00561576, 0.00553246, 0.00560356, 0.00497196, 0.00448405", \ + "0.00726876, 0.00636326, 0.00609006, 0.00591436, 0.00558546, 0.00524136, 0.00501166", \ + "0.0118281, 0.00922349, 0.00849509, 0.00772469, 0.00681419, 0.00662579, 0.00570859", \ + "0.0219558, 0.017277, 0.0156095, 0.0137837, 0.011849, 0.0097923, 0.0083097", \ + "0.0430932, 0.0363546, 0.0333646, 0.029474, 0.0254578, 0.0213654, 0.016889" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00249957, 0.00254634, 0.0025118, 0.00241627, 0.00222087, 0.00197947, 0.00141828", \ + "0.00254719, 0.00267689, 0.00278431, 0.00267671, 0.0024553, 0.00220979, 0.0017208", \ + "0.00301992, 0.00291836, 0.00280789, 0.00292214, 0.00257392, 0.00231166, 0.00178822", \ + "0.0046583, 0.00378364, 0.00359862, 0.00328138, 0.00310362, 0.00254129, 0.00274892", \ + "0.00898864, 0.00649984, 0.00583604, 0.00515374, 0.00442163, 0.00368169, 0.00309155", \ + "0.018821, 0.0141614, 0.0124122, 0.0104765, 0.0090093, 0.0073725, 0.0053901", \ + "0.0393562, 0.0323377, 0.0292328, 0.0253372, 0.0213797, 0.0173637, 0.0137753" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00216705, 0.00242585, 0.00238446, 0.0023011, 0.00214321, 0.0018065, 0.00125474", \ + "0.00256411, 0.00240081, 0.00227567, 0.0024099, 0.00202732, 0.00171561, 0.00120792", \ + "0.0035846, 0.00271572, 0.00264778, 0.00239229, 0.0027293, 0.00173371, 0.00119007", \ + "0.00591699, 0.00413018, 0.00361893, 0.00318814, 0.00283797, 0.00212354, 0.00142602", \ + "0.0112065, 0.00825763, 0.00718934, 0.00609039, 0.00469239, 0.0038303, 0.00239979", \ + "0.0219003, 0.0168366, 0.0148195, 0.0130051, 0.0103774, 0.0079205, 0.00604014", \ + "0.0439454, 0.0372527, 0.0338366, 0.030007, 0.0254896, 0.0209807, 0.0161338" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.00216705, -0.00242585, -0.00238446, -0.0023011, -0.00214321, -0.0018065, -0.00125474", \ + "-0.00256411, -0.00240081, -0.00227567, -0.0024099, -0.00202732, -0.00171561, -0.00120792", \ + "-0.0035846, -0.00271572, -0.00264778, -0.00239229, -0.0027293, -0.00173371, -0.00119007", \ + "-0.00264817, -0.00392468, -0.00361893, -0.00318814, -0.00283797, -0.00212354, -0.00142602", \ + "0.0021423, -0.0012718, -0.00201244, -0.00261174, -0.00326559, -0.0038303, -0.00239979", \ + "0.0126368, 0.0064001, 0.0043627, 0.0025544, 0.000818401, -0.000604199, -0.00251567", \ + "0.0342913, 0.0250458, 0.0212967, 0.0169884, 0.0127842, 0.0088939, 0.005514" \ + ); + } + } + internal_power () { + related_pin : "B2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00180732, 0.00249738, 0.00251285, 0.00248795, 0.00232106, 0.00199569, 0.00139669", \ + "0.00226558, 0.00228912, 0.00228249, 0.00260621, 0.00213943, 0.00188889, 0.00135426", \ + "0.00335105, 0.00253732, 0.00253324, 0.00236573, 0.00277317, 0.00187472, 0.00131617", \ + "0.00574695, 0.00385797, 0.0034085, 0.00303631, 0.00267788, 0.00218474, 0.00152025", \ + "0.0113402, 0.00772797, 0.00683654, 0.00574535, 0.00449977, 0.00391545, 0.00231932", \ + "0.0219876, 0.0161175, 0.0145573, 0.012451, 0.0100927, 0.0074552, 0.00582544", \ + "0.044404, 0.0359875, 0.0325142, 0.0286646, 0.0243225, 0.0199853, 0.0154364" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.00180732, -0.00249738, -0.00251285, -0.00248795, -0.00232106, -0.00199569, -0.00139669", \ + "-0.00226558, -0.00228912, -0.00228249, -0.00260621, -0.00213943, -0.00188889, -0.00135426", \ + "-0.00335105, -0.00253732, -0.00253324, -0.00236573, -0.00277317, -0.00187472, -0.00131617", \ + "-0.00176396, -0.00354826, -0.0034085, -0.00303631, -0.00267788, -0.00218474, -0.00152025", \ + "0.00320946, -0.00038862, -0.0013722, -0.00214789, -0.00299378, -0.00391545, -0.00231932", \ + "0.0140541, 0.00744034, 0.00532484, 0.00323834, 0.00150274, -0.00033655, -0.00257589", \ + "0.0360353, 0.0265485, 0.0227885, 0.0185642, 0.0141216, 0.0101556, 0.00626133" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00283112; + rise_capacitance : 0.00360174; + rise_capacitance_range (0.00360174, 0.00360174); + fall_capacitance : 0.0020605; + fall_capacitance_range (0.0020605, 0.0020605); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00500768, 0.00468778, 0.00461635, 0.00455868, 0.00452642, 0.00449134, 0.00445725" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00642665, 0.00638568, 0.00637599, 0.00637332, 0.00637583, 0.00637819, 0.00637457" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00320165; + rise_capacitance : 0.0032664; + rise_capacitance_range (0.0032664, 0.0032664); + fall_capacitance : 0.0031369; + fall_capacitance_range (0.0031369, 0.0031369); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00570666, 0.00538691, 0.00531713, 0.00525262, 0.00523676, 0.0052068, 0.0052306" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00529896, 0.00524325, 0.00523624, 0.00522784, 0.00523431, 0.005233, 0.00523165" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00367809; + rise_capacitance : 0.00451421; + rise_capacitance_range (0.00451421, 0.00451421); + fall_capacitance : 0.00284198; + fall_capacitance_range (0.00284198, 0.00284198); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0113238, 0.01149, 0.011588, 0.0117303, 0.0118495, 0.0119849, 0.0121059" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00211319, 0.00214536, 0.00214958, 0.00214933, 0.00215597, 0.0021833, 0.00217732" \ + ); + } + } + } + pin (B2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0037008; + rise_capacitance : 0.00450252; + rise_capacitance_range (0.00450252, 0.00450252); + fall_capacitance : 0.00289908; + fall_capacitance_range (0.00289908, 0.00289908); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00885961, 0.00903724, 0.00914102, 0.00928236, 0.00943474, 0.00955446, 0.00962287" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00205957, 0.00208001, 0.00208352, 0.00208325, 0.00208888, 0.00211189, 0.00210845" \ + ); + } + } + } + } + cell (sg13g2_and2_1) { + area : 9.072; + cell_footprint : "AND2"; + cell_leakage_power : 392.859; + leakage_power () { + value : 489.112; + when : "A&B"; + } + leakage_power () { + value : 430.307; + when : "!A&B"; + } + leakage_power () { + value : 314.369; + when : "A&!B"; + } + leakage_power () { + value : 337.646; + when : "!A&!B"; + } + pin (X) { + direction : "output"; + function : "(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.045562, 0.0945482, 0.125608, 0.176679, 0.261977, 0.404215, 0.640528", \ + "0.0665499, 0.116441, 0.147777, 0.198644, 0.284128, 0.426103, 0.662719", \ + "0.0807486, 0.131791, 0.162923, 0.214007, 0.29944, 0.441723, 0.678463", \ + "0.0977479, 0.151019, 0.18218, 0.233048, 0.318546, 0.460685, 0.697361", \ + "0.121871, 0.179676, 0.210941, 0.262093, 0.347091, 0.488403, 0.724595", \ + "0.154447, 0.221651, 0.254063, 0.305633, 0.391618, 0.532686, 0.769558", \ + "0.198142, 0.278522, 0.314929, 0.369243, 0.458138, 0.604367, 0.84321" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135531, 0.0764171, 0.121996, 0.197611, 0.324534, 0.536143, 0.888634", \ + "0.0164621, 0.0773447, 0.122495, 0.197898, 0.324736, 0.536144, 0.888635", \ + "0.0189764, 0.0780981, 0.12298, 0.198379, 0.324957, 0.536289, 0.890168", \ + "0.022867, 0.0799026, 0.123944, 0.198899, 0.325565, 0.536734, 0.890169", \ + "0.0294558, 0.0845513, 0.126745, 0.20064, 0.3265, 0.537601, 0.89017", \ + "0.0401, 0.094681, 0.134849, 0.206601, 0.331459, 0.540984, 0.891356", \ + "0.057137, 0.115606, 0.153219, 0.221331, 0.344145, 0.553362, 0.90225" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0398911, 0.080482, 0.105673, 0.147132, 0.216193, 0.3314, 0.523211", \ + "0.0626071, 0.104763, 0.130156, 0.171715, 0.240825, 0.355964, 0.547827", \ + "0.0763552, 0.119689, 0.144919, 0.186468, 0.25567, 0.370768, 0.562652", \ + "0.0949228, 0.141648, 0.166759, 0.207817, 0.27671, 0.391732, 0.583749", \ + "0.120023, 0.172526, 0.198456, 0.240209, 0.308248, 0.42298, 0.614279", \ + "0.144696, 0.204718, 0.232546, 0.275628, 0.345824, 0.461372, 0.652474", \ + "0.179313, 0.251356, 0.28312, 0.329789, 0.40359, 0.523301, 0.719161" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.010593, 0.057142, 0.0906887, 0.146568, 0.240183, 0.396422, 0.656914", \ + "0.0137694, 0.0582816, 0.0913261, 0.146777, 0.240217, 0.396714, 0.656915", \ + "0.0162654, 0.0596464, 0.0920381, 0.147336, 0.240651, 0.396715, 0.656932", \ + "0.0209512, 0.0621729, 0.0936932, 0.14822, 0.241394, 0.397046, 0.657014", \ + "0.0281231, 0.0684122, 0.097878, 0.150817, 0.242823, 0.397875, 0.657712", \ + "0.037533, 0.078706, 0.106973, 0.158538, 0.249448, 0.402831, 0.660533", \ + "0.054529, 0.0992, 0.125944, 0.175037, 0.26448, 0.418127, 0.674319" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0482172, 0.0972183, 0.128236, 0.179504, 0.264528, 0.406644, 0.643139", \ + "0.0664282, 0.116453, 0.147592, 0.198636, 0.283993, 0.426053, 0.662737", \ + "0.0788606, 0.130071, 0.161201, 0.212292, 0.297701, 0.439806, 0.676386", \ + "0.0932128, 0.147395, 0.178275, 0.22929, 0.31451, 0.456581, 0.693206", \ + "0.113308, 0.172465, 0.203828, 0.255256, 0.340565, 0.482473, 0.719241", \ + "0.138658, 0.206703, 0.239904, 0.2925, 0.379431, 0.522134, 0.759023", \ + "0.168395, 0.249446, 0.286701, 0.342237, 0.432111, 0.578867, 0.820365" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134998, 0.0767941, 0.121916, 0.197835, 0.324552, 0.535985, 0.888563", \ + "0.0156053, 0.0770917, 0.122291, 0.197836, 0.324651, 0.536091, 0.888729", \ + "0.0176686, 0.077889, 0.122697, 0.198065, 0.324741, 0.536092, 0.890076", \ + "0.0212075, 0.0800471, 0.123839, 0.198493, 0.325151, 0.536374, 0.890077", \ + "0.0272259, 0.0846259, 0.126996, 0.200654, 0.326338, 0.537126, 0.890078", \ + "0.0366993, 0.09437, 0.135133, 0.206969, 0.331635, 0.541033, 0.89112", \ + "0.05109, 0.113586, 0.152337, 0.221884, 0.345371, 0.554373, 0.902533" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0437732, 0.0848385, 0.11011, 0.151572, 0.220825, 0.336057, 0.527908", \ + "0.069146, 0.111494, 0.136889, 0.178406, 0.247679, 0.362904, 0.554788", \ + "0.0849297, 0.128641, 0.154149, 0.195635, 0.265005, 0.380272, 0.572201", \ + "0.107073, 0.153201, 0.178708, 0.220055, 0.289282, 0.404586, 0.596529", \ + "0.137967, 0.18913, 0.214972, 0.256744, 0.325633, 0.441035, 0.632837", \ + "0.170386, 0.228484, 0.255872, 0.298347, 0.368464, 0.484279, 0.676354", \ + "0.224875, 0.293341, 0.323795, 0.368601, 0.440944, 0.559917, 0.755202" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0111364, 0.0574739, 0.0908517, 0.146669, 0.240354, 0.396413, 0.656974", \ + "0.0139396, 0.0584398, 0.0914974, 0.147039, 0.240478, 0.396676, 0.657119", \ + "0.0163293, 0.0595851, 0.0921858, 0.147431, 0.240777, 0.396677, 0.657546", \ + "0.0205332, 0.0618301, 0.0934714, 0.148267, 0.241369, 0.397212, 0.657547", \ + "0.026985, 0.0668647, 0.0968606, 0.150346, 0.242514, 0.397929, 0.657961", \ + "0.035514, 0.076026, 0.104622, 0.156357, 0.247214, 0.401577, 0.660024", \ + "0.050022, 0.092387, 0.119317, 0.169047, 0.258959, 0.413312, 0.670123" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101462, 0.0107078, 0.0107635, 0.0106944, 0.0105504, 0.0103331, 0.00967231", \ + "0.0105127, 0.0106793, 0.010825, 0.0107283, 0.0108888, 0.0102521, 0.00979103", \ + "0.0114898, 0.0114576, 0.0114516, 0.0114365, 0.0114568, 0.0111712, 0.0107856", \ + "0.0136874, 0.0133168, 0.0133728, 0.0133514, 0.0130975, 0.0130608, 0.0124273", \ + "0.0184443, 0.0175848, 0.0174293, 0.0173769, 0.0172101, 0.0165831, 0.016805", \ + "0.0288829, 0.0268708, 0.0266507, 0.02631, 0.02608, 0.0256846, 0.0251837", \ + "0.0501077, 0.0465946, 0.0460684, 0.0454866, 0.0451624, 0.0447347, 0.0440234" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00883067, 0.0095553, 0.00959986, 0.00955019, 0.00937923, 0.00918226, 0.00861922", \ + "0.00917874, 0.00956605, 0.00962296, 0.00971736, 0.00965036, 0.00930638, 0.00874656", \ + "0.0102047, 0.0103135, 0.0103118, 0.010236, 0.01049, 0.0100474, 0.00943165", \ + "0.0125821, 0.0123762, 0.0123588, 0.012405, 0.0119181, 0.0119353, 0.0110955", \ + "0.0176551, 0.0166912, 0.0165778, 0.016616, 0.016566, 0.0161399, 0.0150937", \ + "0.0279675, 0.0259319, 0.0256458, 0.025571, 0.0255721, 0.0252296, 0.0247579", \ + "0.0493924, 0.0457531, 0.0451348, 0.0446056, 0.0441867, 0.043846, 0.0434051" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124754, 0.0131127, 0.0130598, 0.0130604, 0.0128518, 0.0124995, 0.0119751", \ + "0.0124755, 0.0127389, 0.0128821, 0.0128024, 0.0129699, 0.0123144, 0.0118607", \ + "0.0130126, 0.013219, 0.0132123, 0.0132219, 0.0130642, 0.0128615, 0.0125599", \ + "0.0148131, 0.0146961, 0.0146939, 0.0146964, 0.0144751, 0.0148963, 0.0141494", \ + "0.0193924, 0.0186314, 0.0185289, 0.0185087, 0.0184557, 0.0179344, 0.0183139", \ + "0.0293644, 0.0276096, 0.027421, 0.0271392, 0.0270121, 0.0269048, 0.0264662", \ + "0.0497343, 0.0468047, 0.0462116, 0.0456514, 0.0453788, 0.0448746, 0.0447368" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00906725, 0.00972273, 0.00974298, 0.00971098, 0.00961941, 0.00931838, 0.00887126", \ + "0.00946912, 0.00976914, 0.00984342, 0.0100297, 0.00977975, 0.00952397, 0.00906315", \ + "0.0104801, 0.0106116, 0.010693, 0.010555, 0.010684, 0.0105252, 0.00992593", \ + "0.0128054, 0.0124623, 0.0125317, 0.0125457, 0.0123039, 0.0122807, 0.0116391", \ + "0.0179504, 0.0169983, 0.0169153, 0.0170081, 0.0168991, 0.0167542, 0.0159393", \ + "0.0280639, 0.0260809, 0.0258405, 0.0256792, 0.025516, 0.0254519, 0.0253218", \ + "0.0489677, 0.0454971, 0.0449658, 0.0443747, 0.0439248, 0.0437106, 0.0435286" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00268477; + rise_capacitance : 0.00267325; + rise_capacitance_range (0.00267325, 0.00267325); + fall_capacitance : 0.00269629; + fall_capacitance_range (0.00269629, 0.00269629); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00271672; + rise_capacitance : 0.00281424; + rise_capacitance_range (0.00281424, 0.00281424); + fall_capacitance : 0.0026192; + fall_capacitance_range (0.0026192, 0.0026192); + } + } + cell (sg13g2_and2_2) { + area : 10.8864; + cell_footprint : "AND2"; + cell_leakage_power : 597.639; + leakage_power () { + value : 583.015; + when : "A&B"; + } + leakage_power () { + value : 672.046; + when : "!A&B"; + } + leakage_power () { + value : 556.111; + when : "A&!B"; + } + leakage_power () { + value : 579.384; + when : "!A&!B"; + } + pin (X) { + direction : "output"; + function : "(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0558853, 0.110941, 0.142281, 0.193513, 0.279044, 0.421205, 0.657902", \ + "0.0816133, 0.137624, 0.169074, 0.220288, 0.305722, 0.447908, 0.684791", \ + "0.0995209, 0.156874, 0.188296, 0.239478, 0.324969, 0.467122, 0.703947", \ + "0.121949, 0.182368, 0.213734, 0.264878, 0.350104, 0.49208, 0.728882", \ + "0.153074, 0.219464, 0.250624, 0.300963, 0.386794, 0.528757, 0.765683", \ + "0.197015, 0.270851, 0.304181, 0.355246, 0.440516, 0.581366, 0.817432", \ + "0.257714, 0.343956, 0.381854, 0.435944, 0.52268, 0.66656, 0.904751" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.015295, 0.0788654, 0.123739, 0.19913, 0.326071, 0.537884, 0.890848", \ + "0.0184848, 0.0799118, 0.124368, 0.199411, 0.326154, 0.537919, 0.89095", \ + "0.0217674, 0.0812977, 0.125133, 0.199936, 0.326428, 0.537976, 0.891472", \ + "0.0272001, 0.0841376, 0.126848, 0.200747, 0.327087, 0.538449, 0.891473", \ + "0.035329, 0.0906175, 0.131152, 0.20313, 0.328117, 0.539179, 0.891905", \ + "0.049197, 0.102999, 0.140776, 0.209791, 0.333003, 0.542366, 0.893507", \ + "0.071636, 0.128297, 0.163451, 0.226742, 0.345751, 0.553608, 0.90286" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0487074, 0.095156, 0.120763, 0.162367, 0.231709, 0.347091, 0.539148", \ + "0.0765301, 0.124236, 0.149962, 0.191617, 0.260936, 0.376283, 0.568132", \ + "0.0942364, 0.143965, 0.169721, 0.211403, 0.280761, 0.39607, 0.588402", \ + "0.119105, 0.17173, 0.197593, 0.239114, 0.308304, 0.423264, 0.615516", \ + "0.153088, 0.211809, 0.238506, 0.280022, 0.34872, 0.46298, 0.654632", \ + "0.187659, 0.254968, 0.283935, 0.327572, 0.396453, 0.511228, 0.70291", \ + "0.239718, 0.316752, 0.351326, 0.398853, 0.470821, 0.589008, 0.784205" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0126097, 0.0601748, 0.0929219, 0.148414, 0.242152, 0.398696, 0.659607", \ + "0.0159575, 0.0613001, 0.0937323, 0.148742, 0.242225, 0.399933, 0.659608", \ + "0.0194023, 0.0632242, 0.0949137, 0.149429, 0.242608, 0.399934, 0.660318", \ + "0.0250582, 0.0668577, 0.0974067, 0.151064, 0.243403, 0.399935, 0.660319", \ + "0.0344992, 0.0748843, 0.103081, 0.154186, 0.245116, 0.400422, 0.660668", \ + "0.047687, 0.089409, 0.115962, 0.16452, 0.252127, 0.404618, 0.662833", \ + "0.06894, 0.113599, 0.138269, 0.184473, 0.269199, 0.419481, 0.674629" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0583542, 0.113416, 0.1449, 0.196038, 0.281579, 0.423591, 0.660692", \ + "0.0797045, 0.135714, 0.167078, 0.218396, 0.30374, 0.445974, 0.682786", \ + "0.0950833, 0.152453, 0.183988, 0.235278, 0.320719, 0.462985, 0.69977", \ + "0.113942, 0.174762, 0.205996, 0.257052, 0.342444, 0.484731, 0.721485", \ + "0.140785, 0.206374, 0.238493, 0.288758, 0.374586, 0.516612, 0.753239", \ + "0.177222, 0.250809, 0.284328, 0.336036, 0.421901, 0.564079, 0.800361", \ + "0.221519, 0.306885, 0.345031, 0.400296, 0.488467, 0.634246, 0.873731" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0152701, 0.0788822, 0.123867, 0.199089, 0.326019, 0.537826, 0.890852", \ + "0.0172596, 0.0796789, 0.124199, 0.199314, 0.326083, 0.537914, 0.890977", \ + "0.0196194, 0.080833, 0.124923, 0.199691, 0.326265, 0.538068, 0.895407", \ + "0.0239384, 0.0837741, 0.126601, 0.200424, 0.326756, 0.538183, 0.895408", \ + "0.0308956, 0.0891677, 0.130804, 0.203056, 0.32786, 0.538856, 0.895409", \ + "0.043188, 0.100816, 0.139802, 0.210083, 0.33322, 0.542216, 0.89541", \ + "0.062718, 0.122796, 0.159931, 0.226176, 0.346881, 0.554549, 0.903454" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0523875, 0.0993153, 0.124968, 0.16673, 0.236132, 0.351513, 0.543689", \ + "0.0820091, 0.130034, 0.155767, 0.197515, 0.266949, 0.382269, 0.574299", \ + "0.101423, 0.151214, 0.177126, 0.21891, 0.288294, 0.403611, 0.595843", \ + "0.129033, 0.181681, 0.207999, 0.249389, 0.318429, 0.433799, 0.625657", \ + "0.167731, 0.226091, 0.252681, 0.294429, 0.363149, 0.478135, 0.669811", \ + "0.210138, 0.27645, 0.305119, 0.348189, 0.417473, 0.53269, 0.724375", \ + "0.279278, 0.355642, 0.388085, 0.433854, 0.505173, 0.622192, 0.81612" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0132071, 0.0603993, 0.0932541, 0.14866, 0.242363, 0.398833, 0.659694", \ + "0.0161245, 0.0615712, 0.093909, 0.149054, 0.242448, 0.399352, 0.659715", \ + "0.0194766, 0.063236, 0.0951206, 0.149592, 0.242724, 0.399353, 0.661187", \ + "0.0246648, 0.0668513, 0.0976291, 0.151102, 0.243557, 0.399354, 0.661188", \ + "0.0334061, 0.0741307, 0.102266, 0.154139, 0.245105, 0.400399, 0.661189", \ + "0.046031, 0.087081, 0.11393, 0.162519, 0.250785, 0.403866, 0.662843", \ + "0.064605, 0.107853, 0.133026, 0.178544, 0.264025, 0.414973, 0.671545" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0168529, 0.0174311, 0.0175616, 0.0175155, 0.0172466, 0.0166324, 0.0156703", \ + "0.0176826, 0.0171443, 0.0174255, 0.0171717, 0.017215, 0.0164205, 0.0154503", \ + "0.0189941, 0.0178046, 0.017693, 0.01781, 0.0174281, 0.0176757, 0.0161698", \ + "0.0222974, 0.0196972, 0.0197439, 0.0196535, 0.0192772, 0.0196135, 0.0185292", \ + "0.0285012, 0.0239934, 0.0239598, 0.0236081, 0.0234125, 0.0228527, 0.023338", \ + "0.0411192, 0.0336678, 0.0331963, 0.0323873, 0.0317842, 0.0311969, 0.0300578", \ + "0.0665277, 0.0548986, 0.053634, 0.0521638, 0.0511038, 0.0500973, 0.0488085" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0151006, 0.0163195, 0.016377, 0.0162762, 0.0160598, 0.0157097, 0.0146668", \ + "0.0158998, 0.0158303, 0.0160102, 0.0166095, 0.0158423, 0.0160297, 0.014304", \ + "0.017325, 0.0165876, 0.016568, 0.0165314, 0.0172091, 0.0167022, 0.0154589", \ + "0.0205822, 0.0183836, 0.0182872, 0.0183418, 0.0176759, 0.0175213, 0.0167964", \ + "0.0275688, 0.0229756, 0.0227722, 0.0225356, 0.0223169, 0.0215522, 0.0197334", \ + "0.0399956, 0.032369, 0.0319092, 0.0316443, 0.0309451, 0.0306856, 0.0304577", \ + "0.0648725, 0.0537485, 0.0519603, 0.0509642, 0.0500171, 0.0489261, 0.0489801" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0191322, 0.0197477, 0.0199164, 0.0197471, 0.0195572, 0.0189068, 0.0192639", \ + "0.0194497, 0.0192807, 0.0196083, 0.0195357, 0.0191383, 0.0185533, 0.0176971", \ + "0.0203568, 0.0196836, 0.0197204, 0.0197825, 0.0194343, 0.0196491, 0.0197588", \ + "0.0228038, 0.0212141, 0.021197, 0.0211127, 0.0207161, 0.0216614, 0.0202805", \ + "0.0283526, 0.0248903, 0.0249496, 0.024372, 0.0244899, 0.0238897, 0.0244567", \ + "0.0402767, 0.0342158, 0.0337045, 0.0330318, 0.0325075, 0.032199, 0.0313851", \ + "0.0644831, 0.0544842, 0.0531735, 0.0520598, 0.0511799, 0.0504031, 0.0497666" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0153553, 0.0162565, 0.0163166, 0.0163259, 0.0161008, 0.0156757, 0.0147062", \ + "0.0162302, 0.0160798, 0.0162159, 0.0167571, 0.0161005, 0.0158526, 0.0146686", \ + "0.0176496, 0.0168027, 0.0168071, 0.0168226, 0.016927, 0.0164241, 0.0159038", \ + "0.0209512, 0.0186975, 0.0188185, 0.0188027, 0.0179681, 0.0182495, 0.0173673", \ + "0.0276883, 0.0233424, 0.0231991, 0.0231769, 0.0229893, 0.022391, 0.0209342", \ + "0.0400573, 0.0329012, 0.0324256, 0.0320429, 0.0316726, 0.0316834, 0.0310098", \ + "0.0644223, 0.0532717, 0.0520064, 0.0507439, 0.0497861, 0.0488236, 0.0491343" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00266035; + rise_capacitance : 0.00265044; + rise_capacitance_range (0.00265044, 0.00265044); + fall_capacitance : 0.00267026; + fall_capacitance_range (0.00267026, 0.00267026); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00270204; + rise_capacitance : 0.00280162; + rise_capacitance_range (0.00280162, 0.00280162); + fall_capacitance : 0.00260245; + fall_capacitance_range (0.00260245, 0.00260245); + } + } + cell (sg13g2_and3_1) { + area : 12.7008; + cell_footprint : "AND3"; + cell_leakage_power : 437.282; + leakage_power () { + value : 686.776; + when : "A&B&C"; + } + leakage_power () { + value : 378.562; + when : "!A&!B&!C"; + } + leakage_power () { + value : 453.343; + when : "!A&!B&C"; + } + leakage_power () { + value : 345.81; + when : "!A&B&!C"; + } + leakage_power () { + value : 546.044; + when : "!A&B&C"; + } + leakage_power () { + value : 340.028; + when : "A&!B&!C"; + } + leakage_power () { + value : 430.068; + when : "A&!B&C"; + } + leakage_power () { + value : 317.625; + when : "A&B&!C"; + } + pin (X) { + direction : "output"; + function : "(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0592756, 0.112718, 0.144282, 0.195159, 0.280306, 0.422053, 0.658126", \ + "0.0820235, 0.136259, 0.167788, 0.219042, 0.304229, 0.445944, 0.681962", \ + "0.0986298, 0.153805, 0.185373, 0.236692, 0.322038, 0.46408, 0.699951", \ + "0.119708, 0.177306, 0.208906, 0.260321, 0.345626, 0.487721, 0.723844", \ + "0.150931, 0.213901, 0.245152, 0.296431, 0.381472, 0.523789, 0.759898", \ + "0.197586, 0.267705, 0.302171, 0.354182, 0.440382, 0.582258, 0.817145", \ + "0.266518, 0.350662, 0.388481, 0.443551, 0.53176, 0.676543, 0.917" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.016971, 0.0791296, 0.123749, 0.198384, 0.324779, 0.535695, 0.887564", \ + "0.0191243, 0.0802497, 0.124382, 0.198855, 0.324948, 0.535848, 0.887569", \ + "0.0215757, 0.0812598, 0.12519, 0.19954, 0.325438, 0.536062, 0.891995", \ + "0.0256505, 0.0832094, 0.126189, 0.200205, 0.32616, 0.53675, 0.891996", \ + "0.0318404, 0.0880517, 0.129638, 0.202112, 0.327142, 0.537632, 0.891997", \ + "0.042297, 0.098548, 0.138402, 0.208812, 0.332154, 0.540936, 0.891998", \ + "0.059397, 0.119778, 0.156698, 0.224592, 0.345692, 0.553953, 0.901425" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0425396, 0.0838774, 0.10908, 0.150543, 0.219631, 0.334894, 0.526772", \ + "0.0661439, 0.109255, 0.134642, 0.176199, 0.245327, 0.360535, 0.552303", \ + "0.0802141, 0.124791, 0.149954, 0.191493, 0.260651, 0.375886, 0.567756", \ + "0.0985918, 0.146658, 0.171948, 0.213216, 0.282262, 0.397292, 0.589157", \ + "0.121972, 0.176231, 0.202502, 0.243768, 0.312621, 0.426987, 0.618473", \ + "0.141883, 0.203671, 0.232477, 0.275923, 0.345934, 0.461377, 0.653135", \ + "0.163176, 0.238427, 0.27151, 0.318757, 0.392106, 0.511386, 0.706075" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0110737, 0.0574024, 0.0907644, 0.146578, 0.240174, 0.396406, 0.656785", \ + "0.0141673, 0.0586018, 0.0913923, 0.146749, 0.240302, 0.396862, 0.657051", \ + "0.0166875, 0.0600562, 0.0924309, 0.147398, 0.240692, 0.396863, 0.657052", \ + "0.0214642, 0.0630311, 0.0941183, 0.148296, 0.241255, 0.396995, 0.657053", \ + "0.0288557, 0.0695947, 0.0988032, 0.151185, 0.242719, 0.397908, 0.657768", \ + "0.038541, 0.080917, 0.108936, 0.159596, 0.249231, 0.402695, 0.660327", \ + "0.055838, 0.102196, 0.128551, 0.176369, 0.264284, 0.417546, 0.673911" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0651688, 0.118597, 0.14998, 0.201072, 0.286256, 0.427831, 0.663843", \ + "0.0848225, 0.139292, 0.170851, 0.222053, 0.307182, 0.448875, 0.684967", \ + "0.0993013, 0.155109, 0.186807, 0.238086, 0.323444, 0.46529, 0.701274", \ + "0.117819, 0.176858, 0.208307, 0.259515, 0.34505, 0.486956, 0.723041", \ + "0.145865, 0.209589, 0.242201, 0.293507, 0.378877, 0.52058, 0.756865", \ + "0.187372, 0.259565, 0.293572, 0.346663, 0.433081, 0.575906, 0.812263", \ + "0.244066, 0.329546, 0.368566, 0.424803, 0.513645, 0.660332, 0.900441" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0169639, 0.0790772, 0.123489, 0.198367, 0.324791, 0.535719, 0.887384", \ + "0.0186798, 0.0800319, 0.124215, 0.198729, 0.324953, 0.536186, 0.887526", \ + "0.0206509, 0.0811577, 0.124975, 0.199295, 0.325242, 0.536187, 0.892275", \ + "0.0243403, 0.083706, 0.126349, 0.199964, 0.325838, 0.53643, 0.892276", \ + "0.0299852, 0.0885329, 0.130142, 0.202432, 0.326953, 0.537094, 0.892277", \ + "0.03967, 0.09911, 0.138643, 0.209483, 0.332313, 0.540825, 0.892278", \ + "0.055007, 0.119041, 0.158062, 0.225523, 0.347002, 0.554851, 0.902282" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0465537, 0.0884556, 0.11381, 0.155276, 0.224479, 0.339725, 0.531603", \ + "0.0725015, 0.115719, 0.141198, 0.182702, 0.251978, 0.367207, 0.55915", \ + "0.0885069, 0.13341, 0.15895, 0.200524, 0.269888, 0.385231, 0.577218", \ + "0.110407, 0.157866, 0.183351, 0.225043, 0.294237, 0.409303, 0.601353", \ + "0.139368, 0.192541, 0.218561, 0.260293, 0.329598, 0.444338, 0.636556", \ + "0.166122, 0.226319, 0.255154, 0.297801, 0.368204, 0.483829, 0.675934", \ + "0.205393, 0.277611, 0.308329, 0.354044, 0.426455, 0.545771, 0.740672" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0116092, 0.0577754, 0.0910985, 0.146761, 0.240361, 0.396408, 0.656912", \ + "0.01431, 0.0588301, 0.0916072, 0.147015, 0.240441, 0.397277, 0.657024", \ + "0.0168284, 0.0601011, 0.0923736, 0.14748, 0.240735, 0.397278, 0.657025", \ + "0.0211244, 0.0626121, 0.0939891, 0.148396, 0.241341, 0.397279, 0.657183", \ + "0.0278929, 0.0683159, 0.0980266, 0.150768, 0.24253, 0.397923, 0.657918", \ + "0.036847, 0.078453, 0.106455, 0.15733, 0.247465, 0.401453, 0.660287", \ + "0.051967, 0.096233, 0.122736, 0.171402, 0.259916, 0.413345, 0.670124" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0676148, 0.121076, 0.152438, 0.203457, 0.288774, 0.430274, 0.666441", \ + "0.0842051, 0.138596, 0.170051, 0.221144, 0.306298, 0.447912, 0.684001", \ + "0.0962315, 0.151792, 0.183476, 0.234662, 0.319948, 0.461726, 0.697775", \ + "0.110466, 0.168876, 0.200774, 0.251864, 0.337324, 0.479086, 0.715076", \ + "0.131474, 0.194943, 0.228188, 0.279703, 0.365055, 0.506748, 0.742739", \ + "0.161442, 0.23413, 0.269095, 0.322514, 0.410039, 0.5529, 0.789129", \ + "0.197277, 0.282994, 0.322982, 0.38091, 0.471786, 0.62006, 0.861472" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.01689, 0.0791007, 0.123575, 0.198399, 0.324813, 0.535747, 0.887406", \ + "0.0181398, 0.0798072, 0.123955, 0.198598, 0.324823, 0.535825, 0.887541", \ + "0.0196495, 0.0808021, 0.124697, 0.199018, 0.325044, 0.535871, 0.891808", \ + "0.0226265, 0.0831429, 0.126208, 0.199737, 0.325584, 0.536139, 0.891809", \ + "0.0281255, 0.0884904, 0.130777, 0.202597, 0.326806, 0.536831, 0.89181", \ + "0.037386, 0.099047, 0.139694, 0.210514, 0.333294, 0.541382, 0.891811", \ + "0.052102, 0.119148, 0.158998, 0.228536, 0.35008, 0.557212, 0.904028" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0490712, 0.0917331, 0.117219, 0.158838, 0.228167, 0.343564, 0.535584", \ + "0.0768916, 0.120468, 0.146135, 0.187779, 0.257153, 0.372502, 0.565771", \ + "0.0944891, 0.139622, 0.165271, 0.207039, 0.276498, 0.391835, 0.583903", \ + "0.119242, 0.166743, 0.19233, 0.233957, 0.303075, 0.418408, 0.610425", \ + "0.152864, 0.205711, 0.232023, 0.27383, 0.342864, 0.458045, 0.649806", \ + "0.1877, 0.247934, 0.275458, 0.318236, 0.388096, 0.504018, 0.69646", \ + "0.244478, 0.314916, 0.345912, 0.391243, 0.463242, 0.581898, 0.77642" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121653, 0.0582978, 0.0915147, 0.147092, 0.240766, 0.396772, 0.657088", \ + "0.0146079, 0.0592547, 0.092045, 0.147361, 0.240879, 0.398309, 0.658676", \ + "0.0170396, 0.060372, 0.0928098, 0.147817, 0.241009, 0.39831, 0.658677", \ + "0.0210441, 0.062827, 0.0942908, 0.148837, 0.241587, 0.398311, 0.658678", \ + "0.0274779, 0.0680118, 0.0978337, 0.150958, 0.242739, 0.398486, 0.658679", \ + "0.035928, 0.077402, 0.105574, 0.156999, 0.247307, 0.401597, 0.66011", \ + "0.049531, 0.093198, 0.119964, 0.169131, 0.258438, 0.412036, 0.669423" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0128282, 0.0131986, 0.0132985, 0.0132035, 0.0130887, 0.012793, 0.0122826", \ + "0.013087, 0.013042, 0.0132016, 0.0130874, 0.0131671, 0.0126717, 0.0122116", \ + "0.0139633, 0.0137319, 0.0137024, 0.0137222, 0.0135582, 0.013533, 0.0136896", \ + "0.0160934, 0.0154899, 0.0155022, 0.0154937, 0.0153131, 0.0152467, 0.0153457", \ + "0.0205733, 0.0193529, 0.019219, 0.0191313, 0.019032, 0.0186117, 0.0189938", \ + "0.0301604, 0.0279747, 0.0278986, 0.02748, 0.0271492, 0.0270044, 0.0261724", \ + "0.0501243, 0.0463249, 0.04573, 0.0453423, 0.0447519, 0.0441002, 0.0438354" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00789301, 0.00861615, 0.00864278, 0.00863336, 0.00846315, 0.00826221, 0.00771245", \ + "0.0080997, 0.00845584, 0.00852793, 0.00862594, 0.00847039, 0.00831304, 0.00769036", \ + "0.00898797, 0.00908857, 0.00909477, 0.00899677, 0.00914977, 0.00889957, 0.00824885", \ + "0.0110994, 0.0108456, 0.0108293, 0.0107725, 0.0103756, 0.0103623, 0.0100259", \ + "0.0158951, 0.0148065, 0.0147282, 0.014799, 0.0147335, 0.0142175, 0.0132916", \ + "0.025755, 0.0235394, 0.0233125, 0.0230836, 0.0228428, 0.0226839, 0.0225535", \ + "0.045844, 0.0419341, 0.0413253, 0.0406629, 0.040268, 0.0398278, 0.0394064" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0140013, 0.0143592, 0.014407, 0.0143513, 0.0142524, 0.0139139, 0.0134284", \ + "0.0139208, 0.01399, 0.0141682, 0.014074, 0.0140169, 0.0137199, 0.0131608", \ + "0.014387, 0.0143168, 0.014299, 0.0143665, 0.0142332, 0.0138892, 0.0143598", \ + "0.0160651, 0.0157107, 0.0156975, 0.0156526, 0.015543, 0.0154066, 0.0156367", \ + "0.0201569, 0.0191905, 0.0191878, 0.0191188, 0.0191248, 0.0186472, 0.0187827", \ + "0.0294845, 0.0276032, 0.0273545, 0.0270852, 0.026751, 0.0267899, 0.0264911", \ + "0.0487046, 0.0452672, 0.0448554, 0.0442939, 0.0437767, 0.0433711, 0.0428546" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0093739, 0.0100502, 0.0100992, 0.0100795, 0.00993247, 0.00966983, 0.00922126", \ + "0.00958918, 0.00990218, 0.00997387, 0.0102316, 0.0100359, 0.00981984, 0.00919082", \ + "0.0104747, 0.0106023, 0.0106475, 0.0105591, 0.0108688, 0.0106206, 0.00981202", \ + "0.0126263, 0.0122648, 0.0122981, 0.0124035, 0.0120763, 0.0120473, 0.0114413", \ + "0.0174205, 0.0163689, 0.0163729, 0.0163655, 0.016434, 0.0161168, 0.0152322", \ + "0.0269625, 0.0248791, 0.0245818, 0.0244048, 0.0242315, 0.0241981, 0.0241322", \ + "0.0464614, 0.0428864, 0.0424307, 0.0418261, 0.0412951, 0.0411059, 0.0408589" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0161474, 0.0164858, 0.0165278, 0.0164779, 0.0163633, 0.0160124, 0.0154389", \ + "0.0159089, 0.0160862, 0.0162441, 0.0160988, 0.0159563, 0.0156464, 0.0151851", \ + "0.0162056, 0.0162765, 0.0162872, 0.0163281, 0.0161557, 0.0161625, 0.016247", \ + "0.0175429, 0.0173642, 0.0174535, 0.0174036, 0.017209, 0.0175922, 0.0172385", \ + "0.0215404, 0.0207288, 0.0207835, 0.0206836, 0.0206176, 0.0200238, 0.0205278", \ + "0.0310266, 0.0293556, 0.0291915, 0.0289121, 0.0287633, 0.0286769, 0.0284027", \ + "0.0510473, 0.0480022, 0.047524, 0.0470997, 0.0466246, 0.0462616, 0.0459247" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00960666, 0.0102386, 0.0102659, 0.0102477, 0.0101417, 0.00990199, 0.00939148", \ + "0.00991361, 0.0101502, 0.0102498, 0.0104569, 0.0101655, 0.0103539, 0.00992126", \ + "0.0107626, 0.0108439, 0.0108853, 0.0108335, 0.0109533, 0.0106114, 0.010135", \ + "0.0129693, 0.0125615, 0.0125373, 0.0125649, 0.0122242, 0.0122472, 0.0119592", \ + "0.0178441, 0.0167868, 0.0167714, 0.0167205, 0.0166774, 0.0164769, 0.0154835", \ + "0.0276972, 0.0256754, 0.0253371, 0.0252648, 0.0249926, 0.0249543, 0.0248278", \ + "0.0480833, 0.0445709, 0.0440058, 0.0434513, 0.0429277, 0.042546, 0.0424475" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00248785; + rise_capacitance : 0.00247972; + rise_capacitance_range (0.00247972, 0.00247972); + fall_capacitance : 0.00249599; + fall_capacitance_range (0.00249599, 0.00249599); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0010956, -0.00110017, -0.00110623, -0.00112236, -0.0011449, -0.00115447, -0.00115483" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0010956, 0.00110017, 0.00110623, 0.00112236, 0.0011449, 0.00116503, 0.00118915" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00266035; + rise_capacitance : 0.00271377; + rise_capacitance_range (0.00271377, 0.00271377); + fall_capacitance : 0.00260693; + fall_capacitance_range (0.00260693, 0.00260693); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00267517; + rise_capacitance : 0.00277454; + rise_capacitance_range (0.00277454, 0.00277454); + fall_capacitance : 0.0025758; + fall_capacitance_range (0.0025758, 0.0025758); + } + } + cell (sg13g2_and3_2) { + area : 12.7008; + cell_footprint : "AND3"; + cell_leakage_power : 660.537; + leakage_power () { + value : 780.672; + when : "A&B&C"; + } + leakage_power () { + value : 620.297; + when : "!A&!B&!C"; + } + leakage_power () { + value : 695.079; + when : "!A&!B&C"; + } + leakage_power () { + value : 587.546; + when : "!A&B&!C"; + } + leakage_power () { + value : 787.781; + when : "!A&B&C"; + } + leakage_power () { + value : 581.764; + when : "A&!B&!C"; + } + leakage_power () { + value : 671.804; + when : "A&!B&C"; + } + leakage_power () { + value : 559.357; + when : "A&B&!C"; + } + pin (X) { + direction : "output"; + function : "(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0738641, 0.135621, 0.168049, 0.219914, 0.306024, 0.448821, 0.686527", \ + "0.10061, 0.162682, 0.195228, 0.247163, 0.333274, 0.476036, 0.713663", \ + "0.120812, 0.183898, 0.216651, 0.268658, 0.35465, 0.497458, 0.735209", \ + "0.147829, 0.213521, 0.246138, 0.298095, 0.383804, 0.526603, 0.764377", \ + "0.186168, 0.257699, 0.291291, 0.343298, 0.428515, 0.571413, 0.80918", \ + "0.243559, 0.322376, 0.358048, 0.410085, 0.496669, 0.638382, 0.875831", \ + "0.330341, 0.420566, 0.459837, 0.515447, 0.602469, 0.748285, 0.987173" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0199098, 0.0846494, 0.128436, 0.202877, 0.329791, 0.542052, 0.896844", \ + "0.0222604, 0.0856511, 0.129162, 0.203347, 0.330731, 0.543868, 0.896845", \ + "0.0253114, 0.0872389, 0.130358, 0.204152, 0.330732, 0.543869, 0.89906", \ + "0.0310638, 0.0901367, 0.132251, 0.205438, 0.331165, 0.54387, 0.899061", \ + "0.0398268, 0.0973115, 0.136819, 0.207975, 0.332422, 0.543871, 0.899062", \ + "0.052817, 0.110789, 0.148673, 0.215765, 0.337452, 0.546674, 0.899395", \ + "0.075463, 0.134222, 0.169084, 0.233048, 0.351265, 0.558664, 0.908726" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.051109, 0.0984123, 0.124141, 0.165794, 0.235155, 0.350558, 0.542727", \ + "0.0794831, 0.128135, 0.154004, 0.195689, 0.26509, 0.380325, 0.572375", \ + "0.0974261, 0.148316, 0.174184, 0.215943, 0.28528, 0.400689, 0.592744", \ + "0.122141, 0.17602, 0.202112, 0.243602, 0.312893, 0.427756, 0.619654", \ + "0.154591, 0.215159, 0.242079, 0.284075, 0.35209, 0.466699, 0.658239", \ + "0.18382, 0.25309, 0.283228, 0.32696, 0.396053, 0.511238, 0.702822", \ + "0.224334, 0.304986, 0.339542, 0.387031, 0.460487, 0.578566, 0.772683" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0130177, 0.0604035, 0.0932543, 0.148535, 0.242187, 0.398574, 0.659511", \ + "0.0161361, 0.0617333, 0.0939888, 0.148888, 0.242248, 0.399012, 0.659513", \ + "0.0196026, 0.0637451, 0.0953069, 0.149556, 0.242597, 0.399013, 0.660997", \ + "0.0252607, 0.0676812, 0.0980436, 0.151396, 0.243348, 0.3992, 0.660998", \ + "0.0349702, 0.0764876, 0.104282, 0.155069, 0.245384, 0.400304, 0.660999", \ + "0.048639, 0.091398, 0.118169, 0.165884, 0.252613, 0.404511, 0.662748", \ + "0.070566, 0.116157, 0.141553, 0.186026, 0.270074, 0.419345, 0.674685" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0796326, 0.141241, 0.173788, 0.225635, 0.311674, 0.454538, 0.692339", \ + "0.101973, 0.164251, 0.196866, 0.248801, 0.334822, 0.477607, 0.71557", \ + "0.119527, 0.183039, 0.215762, 0.267821, 0.353834, 0.496647, 0.734417", \ + "0.142985, 0.209205, 0.24206, 0.294318, 0.380271, 0.523137, 0.760921", \ + "0.177603, 0.248945, 0.282552, 0.335014, 0.420955, 0.563741, 0.801353", \ + "0.229999, 0.308921, 0.344678, 0.3978, 0.48442, 0.62742, 0.865196", \ + "0.303125, 0.393375, 0.43385, 0.489593, 0.578948, 0.725068, 0.965406" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0199101, 0.0846319, 0.128473, 0.202967, 0.329717, 0.542292, 0.896495", \ + "0.021488, 0.0854407, 0.12896, 0.20326, 0.330714, 0.543807, 0.896759", \ + "0.0236804, 0.0869397, 0.130089, 0.203967, 0.330715, 0.543808, 0.897101", \ + "0.0283481, 0.0897261, 0.132049, 0.205225, 0.330895, 0.543809, 0.897102", \ + "0.0359265, 0.0962112, 0.136686, 0.207945, 0.332261, 0.54381, 0.897493", \ + "0.047675, 0.10853, 0.147325, 0.215864, 0.337749, 0.546653, 0.899244", \ + "0.068243, 0.130529, 0.16804, 0.233362, 0.352777, 0.559693, 0.909076" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0550005, 0.102821, 0.128656, 0.170455, 0.23987, 0.355143, 0.547399", \ + "0.0849333, 0.13386, 0.159803, 0.20163, 0.270987, 0.386262, 0.578395", \ + "0.104463, 0.155467, 0.181425, 0.223284, 0.292621, 0.408049, 0.600163", \ + "0.131755, 0.185741, 0.212384, 0.253733, 0.323021, 0.438398, 0.630167", \ + "0.168866, 0.228888, 0.25589, 0.297779, 0.366609, 0.481645, 0.673288", \ + "0.20584, 0.274599, 0.304656, 0.348306, 0.417458, 0.532645, 0.724375", \ + "0.259879, 0.338559, 0.37136, 0.420051, 0.490187, 0.607977, 0.801749" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0135929, 0.0608078, 0.0935556, 0.148775, 0.242323, 0.398757, 0.659722", \ + "0.0163389, 0.0619787, 0.0942328, 0.149191, 0.242402, 0.399131, 0.659723", \ + "0.0196706, 0.0638996, 0.0954283, 0.149691, 0.242756, 0.399132, 0.660589", \ + "0.0249548, 0.0677116, 0.0984089, 0.151308, 0.243452, 0.399347, 0.66059", \ + "0.0339519, 0.0751047, 0.103414, 0.154697, 0.245371, 0.400507, 0.660591", \ + "0.046993, 0.08914, 0.116009, 0.163701, 0.251066, 0.403995, 0.662313", \ + "0.066636, 0.111551, 0.136967, 0.182103, 0.26543, 0.41577, 0.672139" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.08209, 0.14384, 0.176187, 0.228181, 0.314084, 0.45709, 0.694534", \ + "0.100319, 0.162484, 0.194999, 0.24695, 0.332911, 0.47574, 0.713584", \ + "0.114278, 0.177851, 0.210427, 0.262461, 0.348371, 0.491168, 0.728902", \ + "0.132326, 0.198376, 0.231443, 0.283634, 0.369586, 0.512407, 0.750215", \ + "0.159274, 0.229808, 0.263706, 0.316575, 0.402184, 0.544903, 0.782671", \ + "0.197933, 0.276089, 0.312061, 0.367225, 0.453999, 0.597146, 0.83447", \ + "0.249779, 0.340201, 0.380564, 0.43898, 0.529329, 0.676889, 0.918263" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0199059, 0.0847819, 0.128449, 0.203054, 0.329735, 0.542138, 0.896558", \ + "0.0209807, 0.0851629, 0.128838, 0.20319, 0.330651, 0.54229, 0.896746", \ + "0.0225435, 0.086607, 0.129743, 0.203717, 0.330652, 0.542291, 0.897915", \ + "0.0260275, 0.0891366, 0.13164, 0.204945, 0.330655, 0.542496, 0.897916", \ + "0.0323312, 0.0950029, 0.136348, 0.208038, 0.331984, 0.543209, 0.897917", \ + "0.044023, 0.106665, 0.146677, 0.217144, 0.338686, 0.547171, 0.898962", \ + "0.063012, 0.128389, 0.167127, 0.235026, 0.354929, 0.561732, 0.911235" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0576593, 0.106114, 0.132106, 0.173966, 0.243569, 0.358853, 0.551259", \ + "0.088866, 0.138198, 0.164235, 0.206179, 0.275663, 0.391118, 0.583238", \ + "0.109757, 0.161065, 0.18704, 0.228962, 0.298441, 0.413942, 0.605985", \ + "0.139371, 0.193604, 0.219833, 0.261429, 0.331055, 0.446277, 0.638213", \ + "0.180682, 0.240423, 0.267459, 0.309227, 0.378343, 0.493503, 0.685137", \ + "0.225524, 0.293778, 0.320954, 0.366548, 0.436163, 0.551998, 0.743443", \ + "0.294299, 0.373833, 0.406948, 0.453443, 0.524951, 0.641876, 0.835401" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0142029, 0.0614444, 0.0940721, 0.149178, 0.242745, 0.398957, 0.659741", \ + "0.0166047, 0.0623641, 0.0945996, 0.149451, 0.242868, 0.399057, 0.659858", \ + "0.0198117, 0.0642424, 0.095776, 0.150066, 0.243038, 0.399058, 0.660031", \ + "0.0248482, 0.0679963, 0.0985569, 0.151602, 0.243805, 0.399509, 0.660168", \ + "0.0335077, 0.0748051, 0.103207, 0.154602, 0.245569, 0.400729, 0.660599", \ + "0.046071, 0.088183, 0.115021, 0.163115, 0.250814, 0.403821, 0.662944", \ + "0.064225, 0.108672, 0.134018, 0.179857, 0.264337, 0.414748, 0.671592" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0203796, 0.0199288, 0.0199923, 0.0199736, 0.0197627, 0.0191272, 0.018316", \ + "0.020985, 0.0196363, 0.0198481, 0.0196246, 0.0197357, 0.0197357, 0.0178664", \ + "0.0223964, 0.0202998, 0.0201833, 0.0203015, 0.0197818, 0.021237, 0.0193887", \ + "0.0254359, 0.022116, 0.0219468, 0.0217524, 0.0212572, 0.0221063, 0.0199008", \ + "0.0317954, 0.0264638, 0.0259527, 0.0257806, 0.025395, 0.0244854, 0.0254459", \ + "0.04356, 0.0357783, 0.0350338, 0.0339541, 0.0332886, 0.0329075, 0.0315724", \ + "0.0673406, 0.0549708, 0.053425, 0.0523501, 0.0509098, 0.0501502, 0.0490214" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0142421, 0.0152526, 0.015389, 0.0153454, 0.0150888, 0.0145921, 0.0137105", \ + "0.0149118, 0.0148199, 0.0150232, 0.0154195, 0.0148893, 0.0144755, 0.0133838", \ + "0.016235, 0.0154797, 0.0154404, 0.0153791, 0.0161083, 0.0150095, 0.0146687", \ + "0.0192833, 0.0169963, 0.0169196, 0.0169119, 0.0163475, 0.0161914, 0.0151092", \ + "0.0259752, 0.0214369, 0.0210627, 0.0209542, 0.0202895, 0.0193041, 0.0179302", \ + "0.0381124, 0.0301555, 0.0296111, 0.0292709, 0.0283584, 0.0283091, 0.0280425", \ + "0.0618189, 0.0501585, 0.0486385, 0.0470438, 0.0461431, 0.0453065, 0.0448125" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0215328, 0.0210136, 0.0211253, 0.0210742, 0.0207774, 0.0202645, 0.0195215", \ + "0.0217111, 0.0206211, 0.0209155, 0.0206213, 0.020701, 0.0206075, 0.0190276", \ + "0.0226098, 0.0209089, 0.0207696, 0.0209328, 0.02047, 0.0215297, 0.0193377", \ + "0.024869, 0.0222035, 0.0221315, 0.0220633, 0.0216466, 0.0216637, 0.0213218", \ + "0.0304285, 0.0259012, 0.0255694, 0.0255787, 0.0254142, 0.0246891, 0.024864", \ + "0.0416529, 0.0347337, 0.0341243, 0.0333575, 0.0326269, 0.0324835, 0.0318888", \ + "0.064536, 0.0536009, 0.0523188, 0.0511287, 0.0500265, 0.0488453, 0.0480863" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0157729, 0.0165794, 0.0167417, 0.0167094, 0.0165043, 0.0159789, 0.0150998", \ + "0.01645, 0.0162336, 0.0164114, 0.0167616, 0.0164298, 0.0159643, 0.0146486", \ + "0.0177316, 0.016882, 0.0168507, 0.0168264, 0.0174909, 0.0161602, 0.0155077", \ + "0.0207841, 0.018478, 0.0186764, 0.0184076, 0.0179188, 0.0180955, 0.0164752", \ + "0.0273552, 0.022762, 0.0225423, 0.0223114, 0.0222123, 0.0214795, 0.0200453", \ + "0.0392473, 0.0316662, 0.0311924, 0.0308854, 0.0302865, 0.0303909, 0.0297954", \ + "0.0627361, 0.0513019, 0.0497988, 0.048807, 0.0474551, 0.0470526, 0.046735" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0236217, 0.0232141, 0.0232484, 0.0231975, 0.0228674, 0.0223707, 0.0213826", \ + "0.0236555, 0.022754, 0.0230571, 0.0227502, 0.0227674, 0.0219887, 0.0210959", \ + "0.024206, 0.023017, 0.0228417, 0.0229781, 0.0224787, 0.0222267, 0.0214753", \ + "0.0259375, 0.0239386, 0.0239681, 0.0239558, 0.0234891, 0.0244193, 0.0237691", \ + "0.0309096, 0.0272104, 0.0271038, 0.0271645, 0.0268873, 0.0261701, 0.0269595", \ + "0.0423684, 0.0363013, 0.035706, 0.0353891, 0.0345409, 0.0344711, 0.0328865", \ + "0.0659796, 0.0559049, 0.054551, 0.0536327, 0.0524492, 0.051569, 0.0507544" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0159965, 0.016665, 0.0168015, 0.0167497, 0.0166148, 0.0160358, 0.0151657", \ + "0.0167361, 0.0164142, 0.0166018, 0.0168854, 0.0167948, 0.0159963, 0.0150019", \ + "0.018045, 0.017136, 0.0169803, 0.0169609, 0.0176254, 0.0165986, 0.0154732", \ + "0.0211489, 0.0189427, 0.0188102, 0.0186134, 0.0182357, 0.0183093, 0.0175769", \ + "0.0276581, 0.0231696, 0.022889, 0.0226077, 0.0224609, 0.0218918, 0.0202459", \ + "0.0398198, 0.0327018, 0.0319048, 0.0315965, 0.0311878, 0.0314118, 0.0305729", \ + "0.0638168, 0.0525418, 0.051212, 0.0501975, 0.0490248, 0.0477781, 0.0477397" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00247786; + rise_capacitance : 0.00247379; + rise_capacitance_range (0.00247379, 0.00247379); + fall_capacitance : 0.00248193; + fall_capacitance_range (0.00248193, 0.00248193); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00108906, -0.0010979, -0.0011032, -0.00111774, -0.0011434, -0.00115269, -0.0011546" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00108906, 0.0010979, 0.0011032, 0.00111774, 0.0011434, 0.00116647, 0.0011881" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00264614; + rise_capacitance : 0.00270563; + rise_capacitance_range (0.00270563, 0.00270563); + fall_capacitance : 0.00258664; + fall_capacitance_range (0.00258664, 0.00258664); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00268304; + rise_capacitance : 0.0027854; + rise_capacitance_range (0.0027854, 0.0027854); + fall_capacitance : 0.00258068; + fall_capacitance_range (0.00258068, 0.00258068); + } + } + cell (sg13g2_and4_1) { + area : 14.5152; + cell_footprint : "AND4"; + cell_leakage_power : 465.118; + leakage_power () { + value : 420.782; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 494.256; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 389.781; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 569.038; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 382.821; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 461.489; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 348.816; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 661.723; + when : "!A&B&C&D"; + } + leakage_power () { + value : 381.028; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 455.706; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 348.329; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 545.766; + when : "A&!B&C&D"; + } + leakage_power () { + value : 343.664; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 433.302; + when : "A&B&!C&D"; + } + leakage_power () { + value : 321.022; + when : "A&B&C&!D"; + } + leakage_power () { + value : 884.361; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0740265, 0.132321, 0.164817, 0.21643, 0.30204, 0.444266, 0.681058", \ + "0.0977203, 0.156401, 0.188926, 0.240744, 0.326447, 0.46865, 0.705626", \ + "0.116156, 0.175706, 0.208176, 0.260254, 0.345948, 0.488151, 0.724853", \ + "0.141007, 0.202509, 0.23528, 0.287029, 0.372837, 0.515291, 0.752395", \ + "0.178666, 0.245044, 0.277819, 0.32987, 0.415594, 0.558049, 0.795483", \ + "0.236389, 0.310154, 0.34501, 0.399034, 0.485039, 0.627822, 0.865059", \ + "0.325615, 0.412441, 0.451129, 0.507489, 0.596505, 0.743161, 0.9838" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0210693, 0.083965, 0.127672, 0.201483, 0.32764, 0.538657, 0.891372", \ + "0.0225924, 0.08516, 0.128428, 0.202128, 0.328095, 0.539373, 0.891384", \ + "0.024702, 0.0862904, 0.129547, 0.202974, 0.328357, 0.539374, 0.89157", \ + "0.0288937, 0.0882612, 0.130814, 0.204039, 0.329314, 0.539899, 0.891877", \ + "0.0353464, 0.0937199, 0.134213, 0.205851, 0.330304, 0.540891, 0.892997", \ + "0.045181, 0.1039, 0.143098, 0.212985, 0.335427, 0.544036, 0.89464", \ + "0.062699, 0.124785, 0.16169, 0.229059, 0.349978, 0.557592, 0.905799" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0448935, 0.0863688, 0.111426, 0.152681, 0.22142, 0.335985, 0.526687", \ + "0.0693794, 0.112531, 0.1378, 0.179037, 0.247827, 0.362352, 0.553018", \ + "0.0836971, 0.128424, 0.153825, 0.195215, 0.263981, 0.37856, 0.569324", \ + "0.102203, 0.150442, 0.175973, 0.216868, 0.285632, 0.400044, 0.59093", \ + "0.124052, 0.178955, 0.205518, 0.247111, 0.314971, 0.428997, 0.6195", \ + "0.139202, 0.202902, 0.231591, 0.274745, 0.344977, 0.460011, 0.649848", \ + "0.150402, 0.227077, 0.261045, 0.308294, 0.381886, 0.500448, 0.695835" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118239, 0.0579253, 0.0907865, 0.146224, 0.239186, 0.394476, 0.653324", \ + "0.0148313, 0.0590167, 0.0914721, 0.14649, 0.239318, 0.394987, 0.653374", \ + "0.0174138, 0.0605387, 0.0924626, 0.147051, 0.239685, 0.394988, 0.653602", \ + "0.0222453, 0.0635012, 0.0944305, 0.148063, 0.240293, 0.395165, 0.653603", \ + "0.0298925, 0.0708043, 0.0996075, 0.151361, 0.241948, 0.39598, 0.654312", \ + "0.040039, 0.082857, 0.110857, 0.160045, 0.248649, 0.400632, 0.657051", \ + "0.057569, 0.104984, 0.131232, 0.177558, 0.264756, 0.415688, 0.670946" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0826537, 0.141134, 0.173393, 0.224981, 0.310582, 0.452934, 0.689533", \ + "0.102781, 0.161862, 0.194396, 0.246213, 0.331806, 0.474056, 0.710897", \ + "0.118885, 0.179199, 0.211864, 0.263921, 0.349584, 0.491856, 0.728562", \ + "0.140901, 0.20362, 0.236635, 0.288666, 0.374545, 0.517034, 0.75391", \ + "0.175412, 0.243018, 0.276696, 0.329929, 0.415926, 0.558081, 0.795474", \ + "0.230061, 0.305641, 0.340943, 0.394569, 0.481619, 0.624165, 0.861613", \ + "0.309581, 0.397861, 0.438226, 0.494681, 0.585318, 0.732642, 0.973788" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0210849, 0.0842018, 0.127629, 0.201608, 0.327526, 0.538705, 0.891221", \ + "0.0224762, 0.085032, 0.128348, 0.201976, 0.32837, 0.539625, 0.891353", \ + "0.0242201, 0.0863006, 0.129382, 0.202813, 0.328371, 0.539626, 0.89227", \ + "0.0279333, 0.0886533, 0.130981, 0.203934, 0.329033, 0.539649, 0.892271", \ + "0.0339653, 0.0940582, 0.135066, 0.206209, 0.330127, 0.540505, 0.892621", \ + "0.043025, 0.104947, 0.144029, 0.214143, 0.33556, 0.543865, 0.894347", \ + "0.05901, 0.124716, 0.163584, 0.230525, 0.351234, 0.558447, 0.906276" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0488787, 0.090834, 0.11609, 0.157336, 0.22619, 0.340749, 0.531514", \ + "0.0754182, 0.118749, 0.14406, 0.18535, 0.254233, 0.368876, 0.559508", \ + "0.0915546, 0.13665, 0.162079, 0.203523, 0.272471, 0.387132, 0.577893", \ + "0.113187, 0.161055, 0.18664, 0.227949, 0.296678, 0.411234, 0.602013", \ + "0.140151, 0.194191, 0.220554, 0.262041, 0.330608, 0.445463, 0.635971", \ + "0.162851, 0.224841, 0.253773, 0.296337, 0.365822, 0.48109, 0.672042", \ + "0.188332, 0.262786, 0.294942, 0.341303, 0.413188, 0.530992, 0.725408" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123428, 0.0580973, 0.0910897, 0.146274, 0.239383, 0.394623, 0.653404", \ + "0.0150215, 0.0592308, 0.0916824, 0.146637, 0.239473, 0.394843, 0.653415", \ + "0.017562, 0.0605316, 0.0924929, 0.14711, 0.239754, 0.394918, 0.653716", \ + "0.0219823, 0.0632828, 0.0942916, 0.148145, 0.240362, 0.395276, 0.653746", \ + "0.0290639, 0.0696264, 0.0987384, 0.150911, 0.241597, 0.395981, 0.654271", \ + "0.038411, 0.080381, 0.108543, 0.157895, 0.246993, 0.399665, 0.656605", \ + "0.054422, 0.099743, 0.125903, 0.172937, 0.259531, 0.411927, 0.667044" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0876679, 0.146045, 0.17837, 0.230061, 0.315585, 0.457735, 0.6946", \ + "0.104773, 0.163879, 0.19631, 0.248061, 0.333686, 0.475905, 0.712814", \ + "0.118176, 0.178426, 0.211176, 0.263099, 0.348769, 0.490885, 0.727629", \ + "0.135831, 0.19874, 0.231731, 0.283868, 0.369652, 0.512188, 0.749042", \ + "0.163695, 0.231281, 0.265411, 0.318349, 0.403979, 0.546731, 0.783564", \ + "0.207677, 0.283789, 0.320123, 0.375105, 0.462858, 0.606008, 0.842831", \ + "0.27044, 0.360018, 0.401202, 0.459799, 0.551557, 0.699499, 0.941053" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0210876, 0.0841206, 0.127641, 0.20173, 0.327447, 0.538644, 0.891208", \ + "0.0220852, 0.0848439, 0.12812, 0.201924, 0.328449, 0.53914, 0.891404", \ + "0.0235151, 0.0860714, 0.129121, 0.202581, 0.32845, 0.539141, 0.89366", \ + "0.0266278, 0.0884847, 0.130839, 0.2038, 0.328754, 0.539353, 0.893661", \ + "0.0321582, 0.0940918, 0.135277, 0.206565, 0.330054, 0.540143, 0.893662", \ + "0.041651, 0.104972, 0.145274, 0.215268, 0.336958, 0.544261, 0.894239", \ + "0.057001, 0.125674, 0.165121, 0.23318, 0.354504, 0.560851, 0.908066" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0516484, 0.0943574, 0.119806, 0.161144, 0.230126, 0.344784, 0.535619", \ + "0.0797447, 0.123484, 0.148984, 0.190421, 0.259437, 0.374123, 0.564828", \ + "0.0974128, 0.142843, 0.168394, 0.20996, 0.278969, 0.393716, 0.584632", \ + "0.1217, 0.16967, 0.195227, 0.23676, 0.305641, 0.420236, 0.611194", \ + "0.153449, 0.206995, 0.233408, 0.27472, 0.343817, 0.458209, 0.64913", \ + "0.182161, 0.244139, 0.272477, 0.315456, 0.385308, 0.500242, 0.691932", \ + "0.223407, 0.295698, 0.327126, 0.372401, 0.444453, 0.562807, 0.756882" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012901, 0.0586924, 0.0915647, 0.146721, 0.239709, 0.394864, 0.653717", \ + "0.0153103, 0.0595999, 0.0920553, 0.146944, 0.239789, 0.396288, 0.653718", \ + "0.017778, 0.0608481, 0.0928562, 0.14742, 0.240026, 0.396289, 0.653898", \ + "0.0219227, 0.0633807, 0.0945384, 0.148568, 0.240602, 0.39629, 0.653899", \ + "0.0287043, 0.0693583, 0.0986919, 0.150852, 0.241832, 0.396576, 0.654537", \ + "0.037821, 0.079386, 0.107489, 0.157599, 0.247003, 0.399644, 0.656756", \ + "0.052562, 0.097534, 0.12386, 0.171561, 0.258718, 0.410717, 0.666587" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0903847, 0.148595, 0.181042, 0.23265, 0.318216, 0.460469, 0.697094", \ + "0.105966, 0.164751, 0.197157, 0.248913, 0.334464, 0.476582, 0.713355", \ + "0.117152, 0.177216, 0.209743, 0.261591, 0.347247, 0.489349, 0.72612", \ + "0.130463, 0.192768, 0.225903, 0.278007, 0.363729, 0.506088, 0.74283", \ + "0.150323, 0.21715, 0.252041, 0.304312, 0.390354, 0.532513, 0.769229", \ + "0.180757, 0.256537, 0.293279, 0.348904, 0.43686, 0.58067, 0.817696", \ + "0.223541, 0.31258, 0.353855, 0.414079, 0.507091, 0.657722, 0.899952" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0210919, 0.0840424, 0.127722, 0.201666, 0.327484, 0.538638, 0.891215", \ + "0.0217928, 0.0845661, 0.127965, 0.201789, 0.32835, 0.540465, 0.89137", \ + "0.0228036, 0.0857483, 0.128807, 0.202315, 0.328351, 0.540466, 0.891412", \ + "0.0251945, 0.0877169, 0.13044, 0.203358, 0.328421, 0.540467, 0.891413", \ + "0.0300876, 0.0933726, 0.135766, 0.206602, 0.330049, 0.540468, 0.891854", \ + "0.039495, 0.104466, 0.145562, 0.216299, 0.337688, 0.544892, 0.89434", \ + "0.053707, 0.124951, 0.165518, 0.235086, 0.356993, 0.563173, 0.909724" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0536239, 0.0972219, 0.122781, 0.164475, 0.233649, 0.348529, 0.539419", \ + "0.0832062, 0.127474, 0.153257, 0.194855, 0.264059, 0.378863, 0.570978", \ + "0.102294, 0.148107, 0.173821, 0.215549, 0.284784, 0.399706, 0.590733", \ + "0.128921, 0.177251, 0.202963, 0.244268, 0.313407, 0.428076, 0.619052", \ + "0.165139, 0.218651, 0.244838, 0.286951, 0.356098, 0.470635, 0.661465", \ + "0.202337, 0.263596, 0.291478, 0.334336, 0.404662, 0.519664, 0.710949", \ + "0.258494, 0.331849, 0.363665, 0.408633, 0.480436, 0.597975, 0.790858" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135392, 0.0595474, 0.0922838, 0.147411, 0.240276, 0.395281, 0.65404", \ + "0.0156297, 0.060199, 0.092651, 0.147652, 0.240279, 0.395831, 0.655187", \ + "0.0180858, 0.06133, 0.0934565, 0.147976, 0.240641, 0.395832, 0.655188", \ + "0.0220595, 0.0640188, 0.0951993, 0.148903, 0.241122, 0.395833, 0.655189", \ + "0.0286338, 0.069556, 0.0987798, 0.151247, 0.24228, 0.396871, 0.65519", \ + "0.037549, 0.079255, 0.106977, 0.157176, 0.247058, 0.399985, 0.657048", \ + "0.0512, 0.095378, 0.122149, 0.17019, 0.257952, 0.410479, 0.666525" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.013285, 0.0134151, 0.0135284, 0.0134941, 0.0133763, 0.0130612, 0.012651", \ + "0.013462, 0.0132475, 0.0134389, 0.0132894, 0.0132491, 0.0130376, 0.0124245", \ + "0.0142337, 0.013891, 0.0137969, 0.0138431, 0.0136254, 0.0140742, 0.0128606", \ + "0.0161597, 0.0154701, 0.0154577, 0.0153413, 0.0151604, 0.0154128, 0.014314", \ + "0.0203903, 0.0190805, 0.0188632, 0.0188131, 0.0187074, 0.0182854, 0.0187364", \ + "0.0294307, 0.0271449, 0.026791, 0.0265054, 0.026084, 0.0260912, 0.0257401", \ + "0.0482821, 0.0443095, 0.04365, 0.0431524, 0.0425287, 0.0420467, 0.0417304" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00848856, 0.00923146, 0.00920366, 0.00920236, 0.00903876, 0.00880826, 0.00828469", \ + "0.00863296, 0.00899392, 0.00904502, 0.00929132, 0.00896882, 0.00883922, 0.00824941", \ + "0.00943738, 0.00952848, 0.00954758, 0.00951368, 0.00961798, 0.00962758, 0.00875107", \ + "0.0113296, 0.0110485, 0.0110727, 0.0109959, 0.0106516, 0.0106401, 0.0102707", \ + "0.015972, 0.0148652, 0.0148055, 0.0147597, 0.0146749, 0.0143443, 0.0133826", \ + "0.0251448, 0.0230259, 0.0228924, 0.0226268, 0.0224241, 0.022275, 0.0217518", \ + "0.0441842, 0.0404678, 0.0398419, 0.039202, 0.0389903, 0.0386488, 0.0385438" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0159957, 0.0161085, 0.0161246, 0.016078, 0.0159695, 0.0156841, 0.0152552", \ + "0.0158341, 0.0157222, 0.0158272, 0.0157271, 0.0157236, 0.015539, 0.0148566", \ + "0.0162699, 0.0160026, 0.0159397, 0.0160279, 0.0157929, 0.0155166, 0.0152616", \ + "0.0177029, 0.0171385, 0.0171287, 0.0170982, 0.016908, 0.0168838, 0.0171036", \ + "0.0216498, 0.0205135, 0.0203984, 0.0203975, 0.0203643, 0.0199251, 0.0203998", \ + "0.0302347, 0.028286, 0.0279489, 0.0276873, 0.0273869, 0.0272441, 0.0266805", \ + "0.0483566, 0.0447963, 0.0443396, 0.0437447, 0.0432099, 0.0426586, 0.0424483" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00893496, 0.00957783, 0.00964803, 0.00959623, 0.00950133, 0.00921683, 0.00873298", \ + "0.00907784, 0.00936104, 0.00940234, 0.00967124, 0.00936674, 0.00915144, 0.00860738", \ + "0.00984367, 0.00994117, 0.00996637, 0.00991467, 0.0101669, 0.0100646, 0.00920957", \ + "0.0117517, 0.0113709, 0.0114003, 0.0114163, 0.0110407, 0.011177, 0.0107932", \ + "0.0162385, 0.0152166, 0.0151828, 0.0151155, 0.0150682, 0.0149772, 0.0140416", \ + "0.0253481, 0.0232173, 0.0230823, 0.0228352, 0.0225904, 0.0225636, 0.0224945", \ + "0.0437767, 0.040211, 0.0397869, 0.0391493, 0.0386005, 0.0383416, 0.0383115" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0176718, 0.0177416, 0.0177774, 0.01775, 0.0176029, 0.0173034, 0.0168434", \ + "0.0173527, 0.0173295, 0.0174943, 0.017327, 0.0173431, 0.0170106, 0.0165225", \ + "0.0176399, 0.0174512, 0.0174284, 0.0174919, 0.0172567, 0.0170024, 0.0169641", \ + "0.0187834, 0.0183866, 0.0183857, 0.0182654, 0.0182023, 0.0187061, 0.0177763", \ + "0.0224954, 0.0214091, 0.0213646, 0.0214234, 0.0213056, 0.0210149, 0.0212315", \ + "0.0313691, 0.0294354, 0.0292043, 0.028982, 0.028759, 0.0287077, 0.0279616", \ + "0.0501302, 0.0467982, 0.0462303, 0.0457434, 0.0453258, 0.0447762, 0.044337" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0100285, 0.0106493, 0.0107167, 0.0106536, 0.0105794, 0.0103374, 0.009869", \ + "0.0101994, 0.0104382, 0.0105374, 0.0108105, 0.0104158, 0.0105812, 0.00963224", \ + "0.0108876, 0.0109824, 0.0109952, 0.010995, 0.0111749, 0.0107048, 0.0102707", \ + "0.0128784, 0.0125012, 0.0124497, 0.0125615, 0.012167, 0.0122633, 0.0114517", \ + "0.0175978, 0.0164772, 0.0164378, 0.0163155, 0.0163205, 0.0159726, 0.0150949", \ + "0.0267379, 0.0246715, 0.0245716, 0.0244264, 0.0242928, 0.0241161, 0.024113", \ + "0.0458869, 0.0424831, 0.0419707, 0.0413127, 0.0407575, 0.0405674, 0.0404908" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.017453, 0.0174939, 0.0175438, 0.0175009, 0.0173565, 0.0170346, 0.0166292", \ + "0.0171088, 0.0171059, 0.0172348, 0.0171064, 0.0171166, 0.0170625, 0.0162256", \ + "0.0172397, 0.0171942, 0.0171229, 0.0171946, 0.0169902, 0.0169792, 0.0162167", \ + "0.018094, 0.0178415, 0.0178951, 0.0179, 0.0177781, 0.0179328, 0.0174707", \ + "0.0214458, 0.0206746, 0.0207818, 0.0206557, 0.0205927, 0.0203235, 0.0205125", \ + "0.0305593, 0.0287802, 0.0285459, 0.0283549, 0.0280218, 0.0280344, 0.0275991", \ + "0.0499912, 0.0471445, 0.0464578, 0.0459262, 0.0454825, 0.0452166, 0.0447435" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0103144, 0.0108569, 0.0108695, 0.0108902, 0.0107759, 0.0105835, 0.0100989", \ + "0.0105572, 0.0107638, 0.0108154, 0.0111144, 0.0107381, 0.0106528, 0.0103918", \ + "0.011343, 0.0113319, 0.0113384, 0.0112877, 0.0116403, 0.0112224, 0.0107456", \ + "0.0133406, 0.0129916, 0.0128945, 0.0128649, 0.0125928, 0.0125737, 0.0122625", \ + "0.0180325, 0.0170459, 0.0169047, 0.0169538, 0.0169263, 0.0165849, 0.0156107", \ + "0.0276521, 0.0257107, 0.0253752, 0.0251731, 0.0251603, 0.0250423, 0.0249143", \ + "0.0476552, 0.0442703, 0.0437315, 0.0430567, 0.042595, 0.0423288, 0.0418896" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00237103; + rise_capacitance : 0.0024216; + rise_capacitance_range (0.0024216, 0.0024216); + fall_capacitance : 0.00232047; + fall_capacitance_range (0.00232047, 0.00232047); + internal_power () { + when : "(B * C * !D) + (B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000303286, -0.000282917, -0.000280455, -0.000286026, -0.000280905, -0.000279901, -0.000282122" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00122104, 0.00121658, 0.00121532, 0.00121792, 0.00121759, 0.00122254, 0.00122328" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000303286, -0.000282917, -0.000280455, -0.000286026, -0.000280905, -0.000279901, -0.000282122" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00122104, 0.00121658, 0.00121532, 0.00121792, 0.00121759, 0.00122254, 0.00122328" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0023848; + rise_capacitance : 0.00246838; + rise_capacitance_range (0.00246838, 0.00246838); + fall_capacitance : 0.00230122; + fall_capacitance_range (0.00230122, 0.00230122); + internal_power () { + when : "(A * C * !D) + (A * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000479922, -0.000478602, -0.000478179, -0.000475353, -0.000478004, -0.000475821, -0.000476759" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000886774, 0.000892261, 0.000889634, 0.000891754, 0.000891537, 0.000896459, 0.000896308" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000479922, -0.000478602, -0.000478179, -0.000475353, -0.000478004, -0.000475821, -0.000476759" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000886774, 0.000892261, 0.000889634, 0.000891754, 0.000891537, 0.000896459, 0.000896308" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00276461; + rise_capacitance : 0.00287643; + rise_capacitance_range (0.00287643, 0.00287643); + fall_capacitance : 0.00265278; + fall_capacitance_range (0.00265278, 0.00265278); + internal_power () { + when : "(A * !B * D) + (!A * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00271951; + rise_capacitance : 0.00284091; + rise_capacitance_range (0.00284091, 0.00284091); + fall_capacitance : 0.0025981; + fall_capacitance_range (0.0025981, 0.0025981); + internal_power () { + when : "(A * !B * C) + (!A * C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00227845, 0.00225877, 0.00226797, 0.00226267, 0.00227078, 0.00225261, 0.00226382" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.52277e-05, 1.79678e-05, 5.10611e-06, -7.81264e-06, -1.79216e-05, -2.46426e-05, -3.57855e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00227845, 0.00225877, 0.00226797, 0.00226267, 0.00227078, 0.00225261, 0.00226382" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.52277e-05, 1.79678e-05, 5.10611e-06, -7.81264e-06, -1.79216e-05, -2.46426e-05, -3.57855e-05" \ + ); + } + } + } + } + cell (sg13g2_and4_2) { + area : 16.3296; + cell_footprint : "AND4"; + cell_leakage_power : 697.622; + leakage_power () { + value : 662.525; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 736; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 631.525; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 810.782; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 624.565; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 703.233; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 590.56; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 903.468; + when : "!A&B&C&D"; + } + leakage_power () { + value : 622.772; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 697.45; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 590.073; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 787.513; + when : "A&!B&C&D"; + } + leakage_power () { + value : 585.408; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 675.047; + when : "A&B&!C&D"; + } + leakage_power () { + value : 562.767; + when : "A&B&C&!D"; + } + leakage_power () { + value : 978.264; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0925684, 0.160159, 0.194276, 0.246877, 0.332999, 0.475463, 0.71253", \ + "0.11928, 0.186974, 0.220836, 0.273805, 0.359979, 0.502336, 0.739941", \ + "0.141284, 0.20986, 0.243825, 0.296672, 0.382882, 0.525394, 0.762531", \ + "0.171917, 0.242245, 0.276184, 0.329095, 0.41505, 0.557646, 0.794444", \ + "0.217281, 0.292124, 0.326867, 0.379799, 0.465977, 0.608281, 0.844894", \ + "0.285511, 0.368267, 0.40532, 0.45905, 0.545597, 0.68848, 0.925276", \ + "0.391647, 0.484713, 0.525556, 0.583393, 0.671986, 0.817207, 1.05527" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0248852, 0.091016, 0.134106, 0.206984, 0.331536, 0.541986, 0.894581", \ + "0.0264917, 0.0918394, 0.134603, 0.207138, 0.332902, 0.542161, 0.895264", \ + "0.0294701, 0.0936373, 0.13585, 0.20806, 0.332903, 0.542361, 0.895265", \ + "0.0348309, 0.0966476, 0.137965, 0.209716, 0.333339, 0.543197, 0.895266", \ + "0.0439688, 0.102984, 0.14255, 0.212131, 0.334893, 0.544529, 0.896075", \ + "0.057655, 0.116566, 0.154522, 0.220648, 0.340043, 0.546882, 0.897698", \ + "0.079708, 0.14012, 0.176757, 0.240757, 0.355941, 0.559981, 0.906658" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0529219, 0.100479, 0.12612, 0.167683, 0.236646, 0.35129, 0.542397", \ + "0.0819232, 0.130796, 0.156556, 0.198094, 0.267098, 0.381752, 0.572604", \ + "0.100104, 0.151159, 0.17713, 0.218693, 0.287655, 0.402257, 0.593428", \ + "0.124664, 0.179043, 0.205201, 0.246597, 0.315201, 0.42963, 0.620392", \ + "0.15569, 0.217035, 0.244841, 0.285952, 0.353665, 0.467827, 0.657817", \ + "0.181932, 0.252152, 0.282786, 0.326547, 0.395568, 0.510031, 0.700427", \ + "0.210373, 0.292737, 0.327381, 0.377381, 0.448407, 0.56565, 0.759203" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0134363, 0.0606334, 0.0931097, 0.148013, 0.241039, 0.396571, 0.655914", \ + "0.0164483, 0.0619729, 0.0938403, 0.148312, 0.241098, 0.397095, 0.655934", \ + "0.0198822, 0.0640247, 0.0952811, 0.14916, 0.241481, 0.397096, 0.657516", \ + "0.0255568, 0.068108, 0.0984803, 0.151033, 0.242412, 0.397161, 0.657517", \ + "0.0354606, 0.0771023, 0.105135, 0.154853, 0.244522, 0.398379, 0.657518", \ + "0.04916, 0.093366, 0.119577, 0.16617, 0.25205, 0.402673, 0.65921", \ + "0.072152, 0.118715, 0.144108, 0.188372, 0.269794, 0.418139, 0.671962" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.101065, 0.168609, 0.202625, 0.255334, 0.341322, 0.483877, 0.720834", \ + "0.123429, 0.19142, 0.225524, 0.278232, 0.364278, 0.509177, 0.743851", \ + "0.1424, 0.211462, 0.245578, 0.298564, 0.384797, 0.52736, 0.764555", \ + "0.169383, 0.240574, 0.275099, 0.328114, 0.41419, 0.557032, 0.793705", \ + "0.210909, 0.286914, 0.322154, 0.3755, 0.461832, 0.604341, 0.841376", \ + "0.275504, 0.359133, 0.396691, 0.451278, 0.538321, 0.680874, 0.917759", \ + "0.371357, 0.465137, 0.50629, 0.564478, 0.655312, 0.801163, 1.04034" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.024914, 0.0910421, 0.13422, 0.206773, 0.331471, 0.541973, 0.894668", \ + "0.0261342, 0.0918122, 0.134549, 0.207047, 0.331995, 0.544499, 0.894967", \ + "0.0282201, 0.0932835, 0.135778, 0.207831, 0.332107, 0.5445, 0.894968", \ + "0.0325852, 0.096474, 0.138201, 0.209604, 0.33314, 0.544501, 0.894992", \ + "0.0407037, 0.102857, 0.142592, 0.212462, 0.334678, 0.544502, 0.895758", \ + "0.052691, 0.115933, 0.154618, 0.221256, 0.340219, 0.546932, 0.897551", \ + "0.073297, 0.137498, 0.17541, 0.239423, 0.356924, 0.560855, 0.907309" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0568303, 0.10487, 0.130627, 0.172188, 0.241189, 0.355944, 0.546948", \ + "0.0871099, 0.136268, 0.162115, 0.203694, 0.272724, 0.387467, 0.578426", \ + "0.106797, 0.158038, 0.184059, 0.225641, 0.294615, 0.409292, 0.600397", \ + "0.133727, 0.188325, 0.214553, 0.256269, 0.325118, 0.439853, 0.630471", \ + "0.169166, 0.230053, 0.257398, 0.29923, 0.368069, 0.482109, 0.673055", \ + "0.201686, 0.27137, 0.301488, 0.344691, 0.414223, 0.528987, 0.719338", \ + "0.242895, 0.323714, 0.357987, 0.405717, 0.476759, 0.593361, 0.787042" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0140147, 0.0610752, 0.0934612, 0.148223, 0.241185, 0.39669, 0.656008", \ + "0.0166572, 0.0621774, 0.0941352, 0.148552, 0.241274, 0.397107, 0.656076", \ + "0.0199735, 0.0641406, 0.095427, 0.149215, 0.241522, 0.397108, 0.656252", \ + "0.025297, 0.068286, 0.0984214, 0.151049, 0.242472, 0.397362, 0.656362", \ + "0.0346163, 0.0760771, 0.103999, 0.154577, 0.24442, 0.39845, 0.656844", \ + "0.047893, 0.091291, 0.117569, 0.164335, 0.250979, 0.402062, 0.658893", \ + "0.068667, 0.11457, 0.139116, 0.185066, 0.265983, 0.41457, 0.668729" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.106074, 0.173484, 0.207661, 0.260345, 0.346266, 0.488887, 0.725917", \ + "0.124712, 0.192679, 0.226654, 0.279464, 0.365643, 0.507921, 0.745044", \ + "0.14004, 0.208984, 0.24319, 0.296205, 0.382386, 0.524877, 0.762054", \ + "0.161577, 0.232502, 0.26774, 0.320355, 0.406765, 0.549373, 0.786293", \ + "0.195483, 0.271035, 0.307232, 0.360793, 0.447188, 0.589448, 0.826692", \ + "0.248587, 0.331998, 0.369548, 0.425271, 0.513345, 0.655729, 0.892904", \ + "0.327154, 0.421264, 0.463045, 0.522063, 0.613802, 0.76098, 1.00138" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.024903, 0.0912222, 0.134415, 0.206741, 0.331365, 0.54206, 0.894532", \ + "0.0258005, 0.0916218, 0.134416, 0.206976, 0.331731, 0.542061, 0.894917", \ + "0.0273342, 0.0928907, 0.135447, 0.207709, 0.331973, 0.542238, 0.894918", \ + "0.0308084, 0.0957677, 0.138052, 0.209251, 0.332791, 0.542723, 0.894919", \ + "0.03773, 0.101742, 0.142981, 0.212565, 0.334643, 0.543984, 0.895593", \ + "0.048921, 0.114685, 0.153796, 0.221898, 0.34151, 0.547546, 0.897126", \ + "0.069056, 0.135957, 0.17408, 0.241684, 0.358557, 0.563285, 0.909029" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0596899, 0.10844, 0.134284, 0.175935, 0.245162, 0.359923, 0.551163", \ + "0.091028, 0.140657, 0.166627, 0.208303, 0.27744, 0.392289, 0.583246", \ + "0.111973, 0.163448, 0.189557, 0.231282, 0.300402, 0.415205, 0.606129", \ + "0.1411, 0.196048, 0.222499, 0.263664, 0.332856, 0.447418, 0.638266", \ + "0.180362, 0.241311, 0.268369, 0.309962, 0.378715, 0.493163, 0.683689", \ + "0.219674, 0.289555, 0.319162, 0.362459, 0.43193, 0.546658, 0.73754", \ + "0.271695, 0.352268, 0.388789, 0.436364, 0.507796, 0.624666, 0.817182" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0146054, 0.0617473, 0.0940201, 0.14861, 0.241632, 0.396928, 0.656187", \ + "0.0169376, 0.0626582, 0.0945295, 0.148954, 0.241633, 0.396929, 0.656262", \ + "0.0201029, 0.0644548, 0.0957405, 0.149537, 0.24186, 0.396946, 0.657281", \ + "0.0252513, 0.0687307, 0.0988883, 0.151267, 0.242585, 0.397456, 0.657282", \ + "0.03421, 0.0758009, 0.103862, 0.154596, 0.244624, 0.398646, 0.657283", \ + "0.047208, 0.090197, 0.116065, 0.163707, 0.250572, 0.402035, 0.659421", \ + "0.066761, 0.112853, 0.137287, 0.182798, 0.264891, 0.413468, 0.668742" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108828, 0.176406, 0.210061, 0.262948, 0.349084, 0.491647, 0.728611", \ + "0.125297, 0.193165, 0.227176, 0.279931, 0.365975, 0.508325, 0.745492", \ + "0.137869, 0.206783, 0.240707, 0.293653, 0.379826, 0.522324, 0.759498", \ + "0.153914, 0.224716, 0.259404, 0.312338, 0.3987, 0.541224, 0.778196", \ + "0.178805, 0.253773, 0.290117, 0.343589, 0.430291, 0.572704, 0.809577", \ + "0.217718, 0.299882, 0.337876, 0.394134, 0.482686, 0.625951, 0.862597", \ + "0.27485, 0.368269, 0.410823, 0.471314, 0.565026, 0.712772, 0.954588" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0249177, 0.0913223, 0.134239, 0.206808, 0.33137, 0.541962, 0.894656", \ + "0.0255145, 0.0914559, 0.134285, 0.206844, 0.332144, 0.541963, 0.894979", \ + "0.0266568, 0.0924874, 0.135149, 0.207403, 0.332145, 0.542202, 0.89498", \ + "0.0292623, 0.0951647, 0.137289, 0.209012, 0.332575, 0.542615, 0.894981", \ + "0.0348311, 0.100659, 0.142475, 0.212231, 0.33451, 0.543413, 0.895354", \ + "0.045552, 0.112592, 0.153285, 0.222288, 0.342119, 0.548165, 0.897434", \ + "0.063949, 0.13325, 0.173926, 0.241487, 0.361232, 0.565549, 0.910989" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0619325, 0.111442, 0.137479, 0.179364, 0.248687, 0.363685, 0.55508", \ + "0.0942119, 0.144404, 0.170595, 0.212423, 0.281736, 0.396605, 0.587785", \ + "0.116429, 0.168134, 0.194508, 0.236437, 0.305641, 0.42053, 0.61175", \ + "0.147601, 0.202502, 0.229514, 0.271117, 0.340166, 0.454811, 0.646013", \ + "0.190827, 0.251479, 0.278693, 0.320518, 0.389173, 0.503339, 0.695018", \ + "0.235413, 0.30519, 0.334803, 0.378461, 0.447955, 0.561785, 0.752627", \ + "0.306582, 0.386434, 0.421131, 0.468208, 0.537839, 0.654196, 0.847032" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0152689, 0.0624142, 0.0947149, 0.149317, 0.242072, 0.397404, 0.656517", \ + "0.017334, 0.0631464, 0.0951122, 0.149437, 0.242089, 0.397405, 0.656527", \ + "0.0203637, 0.0649439, 0.0962677, 0.150089, 0.242453, 0.397491, 0.659145", \ + "0.0253969, 0.0687266, 0.0991763, 0.151687, 0.243198, 0.397957, 0.659146", \ + "0.0339997, 0.0756369, 0.10408, 0.15507, 0.245182, 0.39889, 0.659147", \ + "0.047185, 0.08992, 0.115838, 0.163592, 0.250557, 0.402405, 0.659748", \ + "0.064977, 0.110537, 0.135575, 0.181606, 0.264258, 0.413358, 0.668344" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0215803, 0.0200989, 0.0202176, 0.0199953, 0.0198624, 0.0193541, 0.0183186", \ + "0.0220871, 0.0199637, 0.0202968, 0.0200367, 0.020058, 0.0191217, 0.0185836", \ + "0.0235298, 0.0206551, 0.0203621, 0.0205335, 0.0200668, 0.0212712, 0.018592", \ + "0.0263941, 0.0222841, 0.0219534, 0.0216415, 0.0212166, 0.0208556, 0.0198422", \ + "0.0322637, 0.0260671, 0.0255479, 0.025263, 0.0251218, 0.0239746, 0.0246655", \ + "0.0441172, 0.0349717, 0.0340366, 0.0331967, 0.0324832, 0.0321258, 0.0311552", \ + "0.0666814, 0.0535169, 0.0521841, 0.0512163, 0.049238, 0.0484067, 0.047269" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0147717, 0.0157763, 0.0159014, 0.0158792, 0.0157065, 0.0151477, 0.0142889", \ + "0.0154389, 0.0153978, 0.0155223, 0.0161643, 0.0154486, 0.015182, 0.0139316", \ + "0.0167064, 0.0159224, 0.0159777, 0.0158371, 0.0163396, 0.0157607, 0.0151407", \ + "0.0196017, 0.0173061, 0.0172921, 0.0172859, 0.01655, 0.0162351, 0.015705", \ + "0.0259093, 0.0212629, 0.0213036, 0.0208703, 0.0204695, 0.0196783, 0.0175895", \ + "0.0379334, 0.0300384, 0.0293685, 0.0287902, 0.0280597, 0.0279375, 0.0274904", \ + "0.060778, 0.048694, 0.0472525, 0.046249, 0.0445203, 0.0439502, 0.0438452" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0242209, 0.022697, 0.0227334, 0.0227609, 0.0223118, 0.0218722, 0.0210847", \ + "0.024382, 0.0224237, 0.0227978, 0.0226122, 0.022281, 0.0228557, 0.0207399", \ + "0.0253412, 0.0227279, 0.0225386, 0.0227575, 0.0222776, 0.0233627, 0.0209017", \ + "0.0275626, 0.0239664, 0.0237814, 0.0235119, 0.023059, 0.0230209, 0.0219178", \ + "0.0327729, 0.0274124, 0.0269011, 0.0267197, 0.026617, 0.0255796, 0.0263096", \ + "0.0440061, 0.0361259, 0.0351612, 0.0344435, 0.0337653, 0.0335866, 0.032774", \ + "0.0655629, 0.0538444, 0.0525275, 0.0509955, 0.0496367, 0.0488482, 0.0481066" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0153267, 0.0161169, 0.0162369, 0.0162013, 0.0160009, 0.0155906, 0.0145159", \ + "0.0158681, 0.0157328, 0.0158783, 0.0160797, 0.0157451, 0.015454, 0.0143871", \ + "0.0171057, 0.0162363, 0.0162756, 0.0161602, 0.0168371, 0.0156566, 0.0147153", \ + "0.0199831, 0.0178155, 0.0178577, 0.0179126, 0.0173558, 0.0175875, 0.0166258", \ + "0.0264633, 0.0218285, 0.0216398, 0.0214735, 0.0214464, 0.0207959, 0.019246", \ + "0.037835, 0.030277, 0.0296903, 0.0290208, 0.0286768, 0.0287112, 0.0281597", \ + "0.0604398, 0.0488361, 0.0471488, 0.046502, 0.0448022, 0.0440914, 0.0444385" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.025861, 0.0242773, 0.0243027, 0.0244105, 0.0240302, 0.0233343, 0.0225739", \ + "0.0257961, 0.0240438, 0.0241769, 0.024245, 0.023818, 0.0231963, 0.0224457", \ + "0.0265132, 0.0241737, 0.0240439, 0.0242769, 0.0238132, 0.0250396, 0.0222227", \ + "0.0282237, 0.0250458, 0.0251689, 0.0247011, 0.0243475, 0.0244119, 0.023052", \ + "0.0331023, 0.0281946, 0.0281204, 0.0279666, 0.0278912, 0.0269299, 0.0278717", \ + "0.0439, 0.0369261, 0.0360277, 0.0354251, 0.0349784, 0.0346413, 0.033821", \ + "0.0662293, 0.0554195, 0.0537222, 0.0524915, 0.0513502, 0.050609, 0.0498826" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0164655, 0.0171506, 0.0172367, 0.0172008, 0.0170721, 0.0165362, 0.015719", \ + "0.0170202, 0.0168016, 0.0169727, 0.0172084, 0.0171133, 0.0163173, 0.0153257", \ + "0.0182339, 0.0172647, 0.0171962, 0.0172005, 0.0179315, 0.0169759, 0.016141", \ + "0.0211808, 0.0190664, 0.0190233, 0.0187543, 0.0183515, 0.018466, 0.0175525", \ + "0.0275764, 0.023119, 0.0228309, 0.0225211, 0.0223391, 0.0215895, 0.0198892", \ + "0.0391717, 0.031751, 0.0310133, 0.0305949, 0.0301318, 0.0301818, 0.0298689", \ + "0.0624515, 0.0514302, 0.0495258, 0.0485135, 0.0472345, 0.0464499, 0.0464325" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.025669, 0.0241982, 0.0240141, 0.0239715, 0.0238378, 0.0233278, 0.0223941", \ + "0.0254984, 0.0238209, 0.0240608, 0.0241037, 0.0237255, 0.0228858, 0.02219", \ + "0.025987, 0.0239002, 0.0237531, 0.0239781, 0.0235165, 0.0229944, 0.0220715", \ + "0.0272284, 0.0246248, 0.0245766, 0.0243164, 0.0239974, 0.0242484, 0.0240253", \ + "0.0316334, 0.027479, 0.0275698, 0.0273171, 0.0272511, 0.0264476, 0.0273974", \ + "0.0422731, 0.0358979, 0.0352305, 0.0346787, 0.034087, 0.0340935, 0.0326422", \ + "0.0650947, 0.0550399, 0.0537712, 0.052563, 0.0518498, 0.050652, 0.0501052" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0168648, 0.0173094, 0.0173952, 0.0173902, 0.0170558, 0.0167533, 0.0158672", \ + "0.0174046, 0.0170375, 0.0172427, 0.0177254, 0.0175175, 0.0165575, 0.0156636", \ + "0.0187016, 0.0176021, 0.0175263, 0.0175457, 0.0182654, 0.0168645, 0.0173807", \ + "0.0217465, 0.0192629, 0.0193906, 0.0193236, 0.0186718, 0.0188015, 0.0180603", \ + "0.0280497, 0.0234285, 0.0231775, 0.0229069, 0.0225922, 0.0211441, 0.0205004", \ + "0.0401647, 0.0327056, 0.0319804, 0.0314851, 0.0311103, 0.0310551, 0.030571", \ + "0.0636657, 0.0526708, 0.0511263, 0.0503849, 0.0487721, 0.0474694, 0.0477577" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0023608; + rise_capacitance : 0.00241696; + rise_capacitance_range (0.00241696, 0.00241696); + fall_capacitance : 0.00230464; + fall_capacitance_range (0.00230464, 0.00230464); + internal_power () { + when : "(B * C * !D) + (B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000307085, -0.000284786, -0.000283598, -0.000285541, -0.000282102, -0.000279795, -0.000280362" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00121726, 0.0012187, 0.00121238, 0.00121397, 0.00121668, 0.00122256, 0.00122285" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000307085, -0.000284786, -0.000283598, -0.000285541, -0.000282102, -0.000279795, -0.000280362" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00121726, 0.0012187, 0.00121238, 0.00121397, 0.00121668, 0.00122256, 0.00122285" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00237854; + rise_capacitance : 0.00246672; + rise_capacitance_range (0.00246672, 0.00246672); + fall_capacitance : 0.00229036; + fall_capacitance_range (0.00229036, 0.00229036); + internal_power () { + when : "(A * C * !D) + (A * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000482974, -0.000482822, -0.000480004, -0.000477005, -0.000476448, -0.00047532, -0.000475327" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000886785, 0.000891604, 0.000889487, 0.000890616, 0.000892164, 0.000895721, 0.000895614" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000482974, -0.000482822, -0.000480004, -0.000477005, -0.000476448, -0.00047532, -0.000475327" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000886785, 0.000891604, 0.000889487, 0.000890616, 0.000892164, 0.000895721, 0.000895614" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00275997; + rise_capacitance : 0.00287494; + rise_capacitance_range (0.00287494, 0.00287494); + fall_capacitance : 0.002645; + fall_capacitance_range (0.002645, 0.002645); + internal_power () { + when : "(A * !B * D) + (!A * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00271614; + rise_capacitance : 0.00283895; + rise_capacitance_range (0.00283895, 0.00283895); + fall_capacitance : 0.00259333; + fall_capacitance_range (0.00259333, 0.00259333); + internal_power () { + when : "(A * !B * C) + (!A * C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0022801, 0.00225845, 0.00226567, 0.00226274, 0.00227142, 0.00225369, 0.00226419" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.24333e-05, 1.77105e-05, 3.64607e-06, -1.03192e-05, -1.92883e-05, -2.52233e-05, -3.62818e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0022801, 0.00225845, 0.00226567, 0.00226274, 0.00227142, 0.00225369, 0.00226419" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "5.24333e-05, 1.77105e-05, 3.64607e-06, -1.03192e-05, -1.92883e-05, -2.52233e-05, -3.62818e-05" \ + ); + } + } + } + } + cell (sg13g2_antennanp) { + area : 5.4432; + cell_footprint : "NP_ant"; + dont_touch : true; + dont_use : true; + cell_leakage_power : 6.75; + leakage_power () { + value : 6.75; + when : "A"; + } + leakage_power () { + value : 6.75; + when : "!A"; + } + pin (A) { + direction : "input"; + capacitance : 0.000894056; + rise_capacitance : 0.000858874; + rise_capacitance_range (0.000858874, 0.000858874); + fall_capacitance : 0.000929238; + fall_capacitance_range (0.000929238, 0.000929238); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000600311, -0.000601472, -0.000603573, -0.00060469, -0.000606207, -0.000604433, -0.000604264" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000600311, 0.000601472, 0.000603573, 0.00060469, 0.000606207, 0.000604433, 0.000604264" \ + ); + } + } + } + } + cell (sg13g2_buf_1) { + area : 7.2576; + cell_footprint : "BU"; + cell_leakage_power : 290.472; + leakage_power () { + value : 310.158; + when : "!A&!X"; + } + leakage_power () { + value : 270.786; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0358823, 0.0827461, 0.113855, 0.165056, 0.250896, 0.393415, 0.631126", \ + "0.0563388, 0.103946, 0.134936, 0.186193, 0.271771, 0.414553, 0.652345", \ + "0.0695853, 0.118186, 0.149107, 0.200324, 0.286107, 0.428792, 0.66654", \ + "0.084885, 0.135898, 0.166431, 0.217215, 0.302115, 0.444602, 0.682389", \ + "0.106143, 0.161787, 0.192103, 0.243576, 0.328359, 0.470807, 0.708248", \ + "0.133135, 0.198334, 0.230156, 0.281647, 0.367359, 0.510486, 0.747605", \ + "0.166664, 0.244916, 0.280584, 0.334362, 0.423365, 0.568984, 0.808429" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0110391, 0.0754197, 0.12144, 0.19761, 0.325303, 0.537698, 0.892137", \ + "0.0145038, 0.0759039, 0.121717, 0.197761, 0.325304, 0.537699, 0.892189", \ + "0.0170446, 0.076512, 0.122055, 0.197994, 0.325383, 0.537773, 0.893579", \ + "0.0211451, 0.0780941, 0.122769, 0.198422, 0.325722, 0.538011, 0.89358", \ + "0.0275809, 0.082028, 0.125472, 0.200119, 0.326946, 0.538689, 0.893581", \ + "0.0381568, 0.092459, 0.132794, 0.205471, 0.331222, 0.542003, 0.894403", \ + "0.055106, 0.1127, 0.150516, 0.219233, 0.343133, 0.553066, 0.90361" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0376111, 0.0777532, 0.102906, 0.144299, 0.213431, 0.32871, 0.520431", \ + "0.0596323, 0.101356, 0.126668, 0.168193, 0.23739, 0.352591, 0.544593", \ + "0.0728668, 0.115628, 0.140813, 0.18211, 0.251316, 0.366417, 0.558233", \ + "0.0905608, 0.136508, 0.161401, 0.20258, 0.271392, 0.386383, 0.578414", \ + "0.115072, 0.166332, 0.191801, 0.233516, 0.302244, 0.416568, 0.608366", \ + "0.139782, 0.19793, 0.225491, 0.268188, 0.338265, 0.452845, 0.644713", \ + "0.177172, 0.247079, 0.278715, 0.32425, 0.3969, 0.516817, 0.712605" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0102294, 0.0569082, 0.0905382, 0.146366, 0.240133, 0.396334, 0.656692", \ + "0.0135078, 0.0580492, 0.091156, 0.14671, 0.240195, 0.39652, 0.657125", \ + "0.0159864, 0.0591988, 0.0919243, 0.147197, 0.24054, 0.396612, 0.657126", \ + "0.0206902, 0.0616711, 0.0933496, 0.147941, 0.241307, 0.396891, 0.657127", \ + "0.027801, 0.0675491, 0.0972389, 0.150444, 0.242457, 0.397802, 0.657705", \ + "0.037129, 0.077405, 0.105972, 0.157737, 0.248495, 0.402121, 0.660685", \ + "0.053795, 0.097139, 0.124237, 0.173357, 0.263408, 0.416782, 0.672798" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00887848, 0.00950477, 0.0095034, 0.00943339, 0.00929045, 0.00894356, 0.00840576", \ + "0.00921265, 0.00944896, 0.00958634, 0.00947495, 0.00939962, 0.00902137, 0.00863577", \ + "0.0101702, 0.0103129, 0.0102967, 0.0102499, 0.01023, 0.00988404, 0.0096854", \ + "0.0124768, 0.0122101, 0.012153, 0.0121185, 0.0118842, 0.0118112, 0.0112036", \ + "0.0172428, 0.0164071, 0.0162832, 0.0162983, 0.0160122, 0.0155055, 0.0157226", \ + "0.0276223, 0.0257339, 0.0254557, 0.0251375, 0.0249023, 0.0247735, 0.0243052", \ + "0.0487314, 0.045334, 0.0448332, 0.0441717, 0.0438493, 0.0431579, 0.0424566" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00877569, 0.00945745, 0.00948348, 0.00941383, 0.00928418, 0.00910349, 0.00850999", \ + "0.00927701, 0.0096479, 0.00971329, 0.0098665, 0.00975778, 0.00934767, 0.00900407", \ + "0.0102749, 0.0104764, 0.0104748, 0.0102893, 0.01049, 0.0103748, 0.00954576", \ + "0.0126603, 0.0124724, 0.0125151, 0.0124896, 0.0119973, 0.0120061, 0.011547", \ + "0.0178052, 0.0168545, 0.0167344, 0.0167874, 0.0167165, 0.0161842, 0.0154035", \ + "0.0280917, 0.026005, 0.0257645, 0.0256212, 0.0252779, 0.0250416, 0.0250469", \ + "0.0492515, 0.0455368, 0.0450742, 0.0444645, 0.0439064, 0.0435712, 0.0433202" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00245924; + rise_capacitance : 0.00250252; + rise_capacitance_range (0.00250252, 0.00250252); + fall_capacitance : 0.00241596; + fall_capacitance_range (0.00241596, 0.00241596); + } + } + cell (sg13g2_buf_16) { + area : 45.36; + cell_footprint : "BU"; + cell_leakage_power : 3691.96; + leakage_power () { + value : 2952.72; + when : "A&X"; + } + leakage_power () { + value : 4431.2; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 4.8; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0407694, 0.0932661, 0.124533, 0.176015, 0.262055, 0.405335, 0.643104", \ + "0.0654824, 0.119276, 0.150669, 0.202015, 0.287862, 0.431013, 0.669337", \ + "0.0816032, 0.13719, 0.168311, 0.219562, 0.305426, 0.448421, 0.686791", \ + "0.10098, 0.159798, 0.190841, 0.241966, 0.327606, 0.470469, 0.708613", \ + "0.128157, 0.192276, 0.223166, 0.273906, 0.358573, 0.501033, 0.738386", \ + "0.163723, 0.236841, 0.268639, 0.320108, 0.405086, 0.548071, 0.785093", \ + "0.209735, 0.295051, 0.331769, 0.385174, 0.47193, 0.616985, 0.856384" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0107228, 0.077131, 0.123133, 0.199486, 0.327905, 0.541559, 0.898216", \ + "0.0146216, 0.0776084, 0.123271, 0.199632, 0.327906, 0.541655, 0.898217", \ + "0.0179593, 0.0786407, 0.123808, 0.199958, 0.327994, 0.541716, 0.898934", \ + "0.0231664, 0.0811915, 0.125179, 0.200567, 0.328504, 0.541974, 0.898935", \ + "0.0314058, 0.0871038, 0.128849, 0.202548, 0.329521, 0.542795, 0.898936", \ + "0.045681, 0.099671, 0.13783, 0.208763, 0.333976, 0.545762, 0.900495", \ + "0.067911, 0.124193, 0.159393, 0.225882, 0.346479, 0.5564, 0.909065" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0449118, 0.0926533, 0.118393, 0.16013, 0.229697, 0.345451, 0.538309", \ + "0.0718868, 0.120896, 0.146667, 0.188559, 0.258023, 0.373832, 0.567871", \ + "0.0887612, 0.13964, 0.165538, 0.207363, 0.276919, 0.392753, 0.585629", \ + "0.112728, 0.166437, 0.191943, 0.233558, 0.302905, 0.418362, 0.610965", \ + "0.14616, 0.205613, 0.232095, 0.273491, 0.342558, 0.457679, 0.649541", \ + "0.180277, 0.248955, 0.277657, 0.319833, 0.389777, 0.504574, 0.697118", \ + "0.235794, 0.313525, 0.347617, 0.394026, 0.466822, 0.585883, 0.781439" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0116601, 0.0606014, 0.0939363, 0.149793, 0.244257, 0.401862, 0.664663", \ + "0.0152012, 0.0618945, 0.0946661, 0.150173, 0.244325, 0.401981, 0.66597", \ + "0.0187194, 0.06368, 0.0958242, 0.15084, 0.244628, 0.401982, 0.665971", \ + "0.0245582, 0.0671899, 0.0981996, 0.152392, 0.245488, 0.402554, 0.665972", \ + "0.0339697, 0.0750774, 0.103368, 0.155584, 0.247069, 0.403523, 0.665973", \ + "0.047144, 0.08863, 0.115604, 0.165147, 0.254222, 0.407847, 0.668072", \ + "0.068389, 0.112281, 0.137776, 0.185053, 0.27092, 0.423203, 0.680236" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.119845, 0.130085, 0.13056, 0.129215, 0.127604, 0.123994, 0.114923", \ + "0.127705, 0.127664, 0.1297, 0.12942, 0.126167, 0.121211, 0.115253", \ + "0.139698, 0.133688, 0.132864, 0.134125, 0.131513, 0.130453, 0.122913", \ + "0.164922, 0.147672, 0.148973, 0.149059, 0.145298, 0.146491, 0.145636", \ + "0.215591, 0.184741, 0.181697, 0.181117, 0.177003, 0.167388, 0.171514", \ + "0.32276, 0.264835, 0.259371, 0.254398, 0.248393, 0.24735, 0.23778", \ + "0.527957, 0.435881, 0.425658, 0.420054, 0.411101, 0.405074, 0.395123" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.118385, 0.12696, 0.127831, 0.127099, 0.12509, 0.121472, 0.113731", \ + "0.1279, 0.125961, 0.127333, 0.130475, 0.125686, 0.122211, 0.121483", \ + "0.141268, 0.132896, 0.133102, 0.132654, 0.137531, 0.127746, 0.125101", \ + "0.170074, 0.148583, 0.146699, 0.146995, 0.1419, 0.140817, 0.137448", \ + "0.224382, 0.186189, 0.184045, 0.182492, 0.180734, 0.172539, 0.15991", \ + "0.328, 0.264479, 0.259789, 0.257375, 0.254029, 0.25191, 0.248412", \ + "0.532175, 0.436642, 0.425647, 0.418079, 0.409812, 0.402524, 0.400443" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0180832; + rise_capacitance : 0.018457; + rise_capacitance_range (0.018457, 0.018457); + fall_capacitance : 0.0177093; + fall_capacitance_range (0.0177093, 0.0177093); + } + } + cell (sg13g2_buf_2) { + area : 9.072; + cell_footprint : "BU"; + cell_leakage_power : 481.443; + leakage_power () { + value : 565.41; + when : "!A&!X"; + } + leakage_power () { + value : 397.475; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0403394, 0.0903049, 0.121454, 0.172697, 0.258572, 0.401032, 0.638655", \ + "0.0645193, 0.115618, 0.146655, 0.197971, 0.28384, 0.426493, 0.66418", \ + "0.0801403, 0.132999, 0.164037, 0.215175, 0.300779, 0.443513, 0.681104", \ + "0.0992468, 0.155107, 0.185752, 0.236812, 0.322321, 0.464713, 0.702357", \ + "0.125629, 0.186515, 0.217672, 0.268394, 0.35253, 0.494963, 0.73219", \ + "0.160842, 0.23141, 0.262856, 0.31471, 0.39928, 0.541982, 0.778022", \ + "0.207467, 0.290477, 0.326366, 0.379968, 0.466682, 0.611457, 0.850099" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0110162, 0.0757836, 0.121986, 0.198204, 0.326352, 0.539601, 0.894793", \ + "0.0148191, 0.076436, 0.12212, 0.198345, 0.326353, 0.539602, 0.894881", \ + "0.0179063, 0.0774001, 0.122582, 0.19863, 0.326354, 0.539603, 0.898176", \ + "0.0226271, 0.0797637, 0.123723, 0.19919, 0.32684, 0.539727, 0.898177", \ + "0.0303316, 0.085437, 0.127124, 0.201095, 0.327774, 0.540485, 0.898178", \ + "0.043476, 0.097258, 0.135765, 0.206946, 0.33205, 0.543347, 0.898179", \ + "0.064196, 0.120755, 0.155961, 0.222564, 0.343917, 0.553851, 0.905592" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0428944, 0.0874553, 0.11274, 0.154167, 0.223256, 0.338231, 0.529538", \ + "0.0687135, 0.114723, 0.14007, 0.181534, 0.250552, 0.365388, 0.556843", \ + "0.0845142, 0.132369, 0.157855, 0.199233, 0.268272, 0.383154, 0.574778", \ + "0.106504, 0.157268, 0.18248, 0.223577, 0.292342, 0.406955, 0.598199", \ + "0.136843, 0.193074, 0.219357, 0.260653, 0.328415, 0.442784, 0.63339", \ + "0.167848, 0.231941, 0.260309, 0.303077, 0.371884, 0.486131, 0.67715", \ + "0.214566, 0.289441, 0.321587, 0.367631, 0.440001, 0.558559, 0.751857" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0111511, 0.058505, 0.0915943, 0.147094, 0.240627, 0.396618, 0.65663", \ + "0.0146904, 0.0598427, 0.0923661, 0.147425, 0.240729, 0.397248, 0.656652", \ + "0.0178534, 0.061486, 0.0933568, 0.148051, 0.241086, 0.397249, 0.656878", \ + "0.0234185, 0.0647738, 0.095472, 0.149366, 0.241917, 0.397288, 0.656879", \ + "0.0320971, 0.0718172, 0.100535, 0.152445, 0.243284, 0.398221, 0.657588", \ + "0.043776, 0.084483, 0.111634, 0.160837, 0.249792, 0.40234, 0.660279", \ + "0.064075, 0.106898, 0.13187, 0.178989, 0.265403, 0.416847, 0.671804" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0153229, 0.0165514, 0.0166712, 0.0165084, 0.0162868, 0.0156016, 0.0145213", \ + "0.0161203, 0.0162346, 0.0165828, 0.016338, 0.0162791, 0.0154724, 0.0147433", \ + "0.0175849, 0.0172336, 0.0171532, 0.0172349, 0.0169937, 0.0162607, 0.0166904", \ + "0.0208006, 0.0192919, 0.0193163, 0.0193215, 0.01895, 0.0196582, 0.0171892", \ + "0.0275366, 0.0244696, 0.0241033, 0.0239573, 0.0235253, 0.0224569, 0.0232239", \ + "0.042008, 0.035846, 0.0350959, 0.0345359, 0.0339682, 0.0336659, 0.0324085", \ + "0.0704221, 0.0602552, 0.0589448, 0.0578493, 0.0568055, 0.0558004, 0.0545785" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0151117, 0.0164355, 0.0164609, 0.0164348, 0.0162395, 0.0156471, 0.0146895", \ + "0.0161109, 0.0163594, 0.0164247, 0.0167052, 0.0163171, 0.015971, 0.0147989", \ + "0.0178245, 0.0173347, 0.0173279, 0.0172254, 0.0174653, 0.0171188, 0.0156815", \ + "0.0212951, 0.0195526, 0.0194426, 0.0193198, 0.0187458, 0.018468, 0.0179181", \ + "0.0286787, 0.0247612, 0.0246945, 0.0247522, 0.0242261, 0.0235349, 0.0213702", \ + "0.0426892, 0.0359421, 0.0355375, 0.0352171, 0.0346655, 0.0344396, 0.0339916", \ + "0.0707482, 0.0601746, 0.0587676, 0.0579118, 0.0569898, 0.0565598, 0.055621" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00276335; + rise_capacitance : 0.00281789; + rise_capacitance_range (0.00281789, 0.00281789); + fall_capacitance : 0.00270881; + fall_capacitance_range (0.00270881, 0.00270881); + } + } + cell (sg13g2_buf_4) { + area : 14.5152; + cell_footprint : "BU"; + cell_leakage_power : 883.106; + leakage_power () { + value : 678.323; + when : "A&X"; + } + leakage_power () { + value : 1087.89; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 1.2; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0508645, 0.104588, 0.135816, 0.187105, 0.2728, 0.415372, 0.652778", \ + "0.0802957, 0.13526, 0.166379, 0.21755, 0.303156, 0.445672, 0.683089", \ + "0.100621, 0.157418, 0.188365, 0.239523, 0.324972, 0.467311, 0.704717", \ + "0.127585, 0.187855, 0.218979, 0.269663, 0.354893, 0.497239, 0.73434", \ + "0.166783, 0.23301, 0.26443, 0.315107, 0.400015, 0.542085, 0.77891", \ + "0.227903, 0.30215, 0.335523, 0.386185, 0.470447, 0.61231, 0.848094", \ + "0.318646, 0.403999, 0.441616, 0.494889, 0.580825, 0.724902, 0.962839" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0137771, 0.0775288, 0.122948, 0.198936, 0.326321, 0.538674, 0.892603", \ + "0.017709, 0.0785623, 0.123423, 0.199049, 0.326343, 0.538831, 0.892802", \ + "0.0214782, 0.0801052, 0.124296, 0.199412, 0.326508, 0.538832, 0.893954", \ + "0.0275929, 0.0833871, 0.126247, 0.200325, 0.327067, 0.539067, 0.893955", \ + "0.0367728, 0.090874, 0.130869, 0.202685, 0.328136, 0.539813, 0.893956", \ + "0.050471, 0.104589, 0.142267, 0.210384, 0.333009, 0.542717, 0.894957", \ + "0.074676, 0.129719, 0.1643, 0.227569, 0.346294, 0.553489, 0.903618" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0438437, 0.0902746, 0.1159, 0.157699, 0.227237, 0.343109, 0.535986", \ + "0.0703716, 0.118055, 0.143789, 0.185487, 0.255044, 0.37091, 0.563726", \ + "0.0863207, 0.135879, 0.161494, 0.203192, 0.272819, 0.388576, 0.581542", \ + "0.107297, 0.159887, 0.18549, 0.226913, 0.296134, 0.411711, 0.603828", \ + "0.133344, 0.19177, 0.218265, 0.259474, 0.328464, 0.443206, 0.634342", \ + "0.154172, 0.219823, 0.248212, 0.291117, 0.360649, 0.475801, 0.668976", \ + "0.176016, 0.252534, 0.284764, 0.33067, 0.403093, 0.520652, 0.715426" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0115672, 0.0597604, 0.0930615, 0.148959, 0.243235, 0.400439, 0.662602", \ + "0.0152819, 0.0611017, 0.0937886, 0.149273, 0.243282, 0.401135, 0.662603", \ + "0.0189524, 0.0629737, 0.0949484, 0.149919, 0.243622, 0.401136, 0.663013", \ + "0.0253488, 0.0666606, 0.0973527, 0.151407, 0.244423, 0.401137, 0.663014", \ + "0.0355111, 0.0748188, 0.103174, 0.154703, 0.2462, 0.402097, 0.663595", \ + "0.049077, 0.088984, 0.115475, 0.164037, 0.252676, 0.406118, 0.666248", \ + "0.072456, 0.113939, 0.138263, 0.183169, 0.268245, 0.419466, 0.677007" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0293059, 0.0307477, 0.0309717, 0.0308853, 0.0303909, 0.0291921, 0.0271714", \ + "0.0311945, 0.0300859, 0.0307959, 0.0301984, 0.0298502, 0.0288285, 0.0269893", \ + "0.0341101, 0.0312185, 0.0309794, 0.0313382, 0.0304779, 0.0309151, 0.0287093", \ + "0.0401976, 0.0343599, 0.034621, 0.0341986, 0.0335661, 0.0329969, 0.0301487", \ + "0.0520677, 0.041766, 0.0412536, 0.0410483, 0.0409112, 0.0389967, 0.0407207", \ + "0.0747996, 0.0588088, 0.0579305, 0.0563902, 0.0548789, 0.054311, 0.0512119", \ + "0.120318, 0.0949729, 0.0922317, 0.089728, 0.0874017, 0.0855714, 0.083893" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0292919, 0.0316051, 0.0317985, 0.0317587, 0.0311738, 0.030159, 0.0284303", \ + "0.0313424, 0.031, 0.0314773, 0.0318221, 0.0309547, 0.0304808, 0.027708", \ + "0.0346415, 0.0324943, 0.0326799, 0.0323888, 0.0335801, 0.0310947, 0.0293452", \ + "0.0405058, 0.0352799, 0.035115, 0.0351557, 0.033715, 0.0339831, 0.0323574", \ + "0.0531101, 0.0428379, 0.0426494, 0.0422509, 0.0419913, 0.0401689, 0.0354074", \ + "0.0756331, 0.0594353, 0.0583741, 0.0578302, 0.0565108, 0.0559894, 0.0559408", \ + "0.119808, 0.0954683, 0.0917408, 0.0898514, 0.0878326, 0.0868849, 0.086444" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00391892; + rise_capacitance : 0.00404382; + rise_capacitance_range (0.00404382, 0.00404382); + fall_capacitance : 0.00379402; + fall_capacitance_range (0.00379402, 0.00379402); + } + } + cell (sg13g2_buf_8) { + area : 23.5872; + cell_footprint : "BU"; + cell_leakage_power : 1845.98; + leakage_power () { + value : 1476.38; + when : "A&X"; + } + leakage_power () { + value : 2215.59; + when : "!A&!X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 2.4; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0403875, 0.0923697, 0.123626, 0.175029, 0.26107, 0.403818, 0.642097", \ + "0.0651356, 0.118385, 0.149677, 0.201004, 0.286852, 0.430145, 0.668134", \ + "0.081085, 0.136123, 0.167233, 0.218417, 0.304197, 0.447206, 0.685314", \ + "0.10044, 0.158519, 0.189645, 0.240709, 0.326207, 0.469075, 0.707106", \ + "0.127513, 0.190779, 0.222074, 0.272918, 0.356944, 0.499363, 0.737539", \ + "0.162751, 0.235272, 0.267276, 0.318522, 0.403671, 0.545773, 0.783261", \ + "0.208802, 0.29355, 0.330302, 0.383502, 0.470292, 0.615147, 0.854392" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0105541, 0.0763261, 0.122357, 0.19881, 0.327145, 0.540651, 0.896377", \ + "0.0145188, 0.0769928, 0.122679, 0.198914, 0.327146, 0.540652, 0.896496", \ + "0.0178677, 0.0780229, 0.123193, 0.199249, 0.327147, 0.540653, 0.896591", \ + "0.0230967, 0.0805364, 0.124552, 0.199865, 0.327611, 0.540795, 0.896592", \ + "0.0313632, 0.0866323, 0.128121, 0.201819, 0.328709, 0.541583, 0.896997", \ + "0.045698, 0.099102, 0.137186, 0.208146, 0.333119, 0.544497, 0.898924", \ + "0.067891, 0.123671, 0.158823, 0.225085, 0.345558, 0.555366, 0.907521" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0444017, 0.0917851, 0.117514, 0.159507, 0.229369, 0.345748, 0.539539", \ + "0.0712954, 0.120016, 0.14585, 0.187743, 0.257676, 0.374115, 0.569027", \ + "0.0881585, 0.138846, 0.16463, 0.206661, 0.276608, 0.392884, 0.586863", \ + "0.112023, 0.165419, 0.19107, 0.232688, 0.302348, 0.41833, 0.611855", \ + "0.145338, 0.20454, 0.230963, 0.272739, 0.34215, 0.457517, 0.650539", \ + "0.179307, 0.247566, 0.276777, 0.319072, 0.388506, 0.503952, 0.697588", \ + "0.234503, 0.31185, 0.345261, 0.393464, 0.466011, 0.584843, 0.78088" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0114463, 0.0601995, 0.0935931, 0.1497, 0.244398, 0.40237, 0.665741", \ + "0.0150591, 0.0615358, 0.0943095, 0.150046, 0.244468, 0.403752, 0.666835", \ + "0.0186014, 0.063324, 0.0955053, 0.150728, 0.244742, 0.403753, 0.666914", \ + "0.0245066, 0.0667165, 0.0978571, 0.152134, 0.245664, 0.403754, 0.666915", \ + "0.0339213, 0.0746077, 0.103307, 0.155361, 0.247254, 0.404081, 0.666916", \ + "0.047069, 0.088176, 0.115648, 0.165223, 0.25417, 0.408523, 0.669145", \ + "0.068362, 0.111917, 0.137705, 0.185203, 0.27088, 0.423579, 0.681976" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0590542, 0.0641537, 0.0644127, 0.0640075, 0.0633676, 0.0604891, 0.0561552", \ + "0.0629776, 0.0627192, 0.0641442, 0.0632213, 0.0653355, 0.0601764, 0.0561991", \ + "0.0689341, 0.0659079, 0.0659784, 0.0660564, 0.0655295, 0.0643956, 0.0588944", \ + "0.0815165, 0.0728603, 0.0737645, 0.0739034, 0.0723678, 0.0722237, 0.0710627", \ + "0.106965, 0.0912426, 0.0900178, 0.0895275, 0.0881327, 0.0839232, 0.0858294", \ + "0.160494, 0.131197, 0.128748, 0.12642, 0.123685, 0.121956, 0.119238", \ + "0.263596, 0.216917, 0.211851, 0.208696, 0.204427, 0.201338, 0.196728" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0582766, 0.0628064, 0.063114, 0.0629535, 0.0618328, 0.0600966, 0.0561178", \ + "0.0630346, 0.0621236, 0.0629092, 0.06503, 0.061783, 0.0633165, 0.0589983", \ + "0.0696837, 0.0656133, 0.0655515, 0.0653745, 0.0667971, 0.0628888, 0.0620653", \ + "0.0834338, 0.0731974, 0.0724745, 0.0724146, 0.0702919, 0.0694552, 0.0634569", \ + "0.111659, 0.0922658, 0.0912648, 0.09072, 0.0901466, 0.0856812, 0.0805481", \ + "0.163126, 0.131107, 0.129593, 0.128818, 0.125896, 0.124255, 0.123642", \ + "0.264977, 0.217446, 0.21198, 0.2087, 0.203815, 0.199563, 0.200831" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00906959; + rise_capacitance : 0.00925561; + rise_capacitance_range (0.00925561, 0.00925561); + fall_capacitance : 0.00888357; + fall_capacitance_range (0.00888357, 0.00888357); + } + } + cell (sg13g2_decap_4) { + area : 7.2576; + cell_footprint : "DECAP"; + dont_touch : true; + dont_use : true; + is_decap_cell : true; + cell_leakage_power : 1670.68; + } + cell (sg13g2_decap_8) { + area : 12.7008; + cell_footprint : "DECAP"; + dont_touch : true; + dont_use : true; + is_decap_cell : true; + cell_leakage_power : 3341.41; + } + cell (sg13g2_dfrbp_1) { + area : 47.1744; + cell_footprint : "dffrr"; + cell_leakage_power : 1513.72; + leakage_power () { + value : 1284.4; + when : "!CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1278.41; + when : "!CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1301.34; + when : "CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1295.34; + when : "CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1669.71; + when : "!CLK&!D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 1446.69; + when : "!CLK&!D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 1681.92; + when : "!CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 1688.35; + when : "!CLK&D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 1672.07; + when : "CLK&!D&RESET_B&Q*!Q_N"; + } + leakage_power () { + value : 1466.14; + when : "CLK&!D&RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1738.44; + when : "CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 1641.77; + when : "CLK&D&RESET_B&!Q&Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.132188, 0.17493, 0.205653, 0.256528, 0.341816, 0.483845, 0.720655", \ + "0.157504, 0.200218, 0.231004, 0.281811, 0.367009, 0.509126, 0.746204", \ + "0.174185, 0.216879, 0.247616, 0.298496, 0.383689, 0.525674, 0.762508", \ + "0.194904, 0.237581, 0.268295, 0.319113, 0.404302, 0.54631, 0.783076", \ + "0.223861, 0.266411, 0.297066, 0.347909, 0.433151, 0.575188, 0.811864", \ + "0.260668, 0.302735, 0.333301, 0.384126, 0.469356, 0.611385, 0.848073", \ + "0.304353, 0.345398, 0.375818, 0.42656, 0.511695, 0.653739, 0.890642" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151544, 0.0756103, 0.121223, 0.196912, 0.323931, 0.535598, 0.888511", \ + "0.015168, 0.0756113, 0.121224, 0.196927, 0.324084, 0.535599, 0.888842", \ + "0.0151867, 0.0756123, 0.121225, 0.196928, 0.324085, 0.5356, 0.888843", \ + "0.0152315, 0.0756133, 0.121226, 0.196929, 0.324086, 0.535601, 0.888844", \ + "0.0153296, 0.0756315, 0.121227, 0.19693, 0.324087, 0.535602, 0.888845", \ + "0.015728, 0.075679, 0.121228, 0.196941, 0.324088, 0.535645, 0.888846", \ + "0.016717, 0.075817, 0.121292, 0.197037, 0.324089, 0.535646, 0.888847" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.12533, 0.163475, 0.18835, 0.229479, 0.298184, 0.412712, 0.603667", \ + "0.150422, 0.18847, 0.213444, 0.254511, 0.323288, 0.43784, 0.628654", \ + "0.167179, 0.205186, 0.230197, 0.271224, 0.339984, 0.454438, 0.645412", \ + "0.186885, 0.224866, 0.249897, 0.290973, 0.359699, 0.474187, 0.664913", \ + "0.212885, 0.250921, 0.275865, 0.316939, 0.385647, 0.500224, 0.690977", \ + "0.243918, 0.281936, 0.306888, 0.347951, 0.41668, 0.531189, 0.722039", \ + "0.276466, 0.314461, 0.339409, 0.380483, 0.449204, 0.563745, 0.754589" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124406, 0.0573299, 0.0903637, 0.145694, 0.238942, 0.394356, 0.653466", \ + "0.0124488, 0.0573309, 0.0903647, 0.145696, 0.238943, 0.394357, 0.653529", \ + "0.0124642, 0.0573319, 0.0903657, 0.145733, 0.238944, 0.394358, 0.65353", \ + "0.0124652, 0.0573329, 0.0903667, 0.145809, 0.238973, 0.394359, 0.653531", \ + "0.0124804, 0.0573339, 0.0903677, 0.14581, 0.238974, 0.39436, 0.653532", \ + "0.0124814, 0.0573349, 0.0903687, 0.145811, 0.238975, 0.394477, 0.653533", \ + "0.0124824, 0.0573359, 0.0903697, 0.145812, 0.239105, 0.3946, 0.653534" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.166744, 0.204761, 0.22972, 0.270758, 0.33957, 0.45422, 0.645067", \ + "0.201048, 0.239042, 0.264033, 0.305172, 0.373981, 0.488569, 0.679524", \ + "0.226941, 0.264896, 0.289777, 0.330865, 0.399566, 0.514228, 0.705044", \ + "0.266075, 0.30402, 0.328944, 0.370063, 0.438849, 0.553415, 0.744273", \ + "0.323674, 0.361599, 0.386555, 0.427581, 0.49639, 0.610975, 0.801845", \ + "0.396446, 0.434255, 0.459177, 0.50031, 0.569013, 0.683568, 0.874475", \ + "0.509199, 0.546849, 0.57171, 0.612807, 0.681595, 0.796219, 0.987171" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123663, 0.0571657, 0.0902606, 0.14572, 0.238925, 0.394398, 0.653483", \ + "0.0123673, 0.0571667, 0.0902662, 0.145744, 0.238926, 0.394399, 0.65357", \ + "0.0123683, 0.0571722, 0.0903068, 0.145745, 0.238927, 0.3944, 0.653895", \ + "0.0124184, 0.0571829, 0.0903078, 0.145746, 0.238928, 0.394401, 0.653896", \ + "0.0124511, 0.057205, 0.0903088, 0.145747, 0.238929, 0.394402, 0.653897", \ + "0.012578, 0.057243, 0.0903098, 0.145782, 0.23893, 0.394403, 0.653898", \ + "0.012732, 0.057297, 0.090353, 0.145792, 0.238941, 0.394404, 0.653899" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0491184, 0.0746664, 0.092349, 0.121376, 0.169882, 0.250471, 0.384797", \ + "0.0492865, 0.0748167, 0.0926911, 0.121696, 0.170153, 0.250676, 0.385188", \ + "0.0504183, 0.0759155, 0.0934575, 0.122641, 0.17096, 0.252925, 0.386075", \ + "0.0528675, 0.0782361, 0.0959721, 0.125, 0.173389, 0.254597, 0.388686", \ + "0.0587541, 0.0839064, 0.10158, 0.130795, 0.179444, 0.260066, 0.395444", \ + "0.0721091, 0.0968836, 0.11458, 0.143582, 0.19231, 0.27353, 0.407916", \ + "0.0997535, 0.123869, 0.141365, 0.170476, 0.219154, 0.299997, 0.434939" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0476886, 0.073418, 0.0909527, 0.119819, 0.167976, 0.248128, 0.381683", \ + "0.048045, 0.0737495, 0.0914472, 0.12039, 0.168679, 0.248475, 0.382071", \ + "0.0493437, 0.0750373, 0.0925867, 0.121394, 0.170565, 0.249581, 0.38318", \ + "0.0519835, 0.0777226, 0.0954281, 0.124481, 0.172271, 0.253275, 0.386529", \ + "0.0576796, 0.0832895, 0.101082, 0.13001, 0.178897, 0.2593, 0.392737", \ + "0.0705143, 0.0960512, 0.113707, 0.142838, 0.191414, 0.27217, 0.407266", \ + "0.0967087, 0.122204, 0.139575, 0.168422, 0.217044, 0.298013, 0.432437" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0327156, 0.0583583, 0.0759131, 0.104758, 0.152915, 0.233123, 0.366669", \ + "0.0329454, 0.058616, 0.0766752, 0.105072, 0.153222, 0.233361, 0.366914", \ + "0.0336622, 0.0591983, 0.0767823, 0.10592, 0.153922, 0.233972, 0.367577", \ + "0.0352926, 0.0609736, 0.0785351, 0.107433, 0.155599, 0.238101, 0.369588", \ + "0.0390673, 0.0644565, 0.0821981, 0.111275, 0.159786, 0.239663, 0.373912", \ + "0.0449316, 0.0701622, 0.0877865, 0.116757, 0.165702, 0.246668, 0.381193", \ + "0.0562915, 0.0812313, 0.0986553, 0.127617, 0.176473, 0.257012, 0.391754" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0969316, 0.155333, 0.187521, 0.238973, 0.324471, 0.466683, 0.703296", \ + "0.121991, 0.180466, 0.212675, 0.264117, 0.349568, 0.491797, 0.729248", \ + "0.138605, 0.197061, 0.229318, 0.280769, 0.366267, 0.508363, 0.745092", \ + "0.158438, 0.216789, 0.249007, 0.300514, 0.385966, 0.528053, 0.764621", \ + "0.184222, 0.242551, 0.274722, 0.326289, 0.411689, 0.55382, 0.790403", \ + "0.215537, 0.273645, 0.305873, 0.357306, 0.442806, 0.585016, 0.821656", \ + "0.248017, 0.305912, 0.338052, 0.38946, 0.474901, 0.617036, 0.853713" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.019921, 0.0832184, 0.126268, 0.199768, 0.325663, 0.536837, 0.889349", \ + "0.019922, 0.0832194, 0.126269, 0.199769, 0.327356, 0.537033, 0.890251", \ + "0.019923, 0.0832204, 0.12627, 0.19977, 0.327357, 0.537034, 0.890873", \ + "0.019924, 0.0832578, 0.12628, 0.199771, 0.327358, 0.537035, 0.890874", \ + "0.019925, 0.0832739, 0.126289, 0.199785, 0.327359, 0.537036, 0.890875", \ + "0.019926, 0.0832749, 0.126301, 0.199786, 0.32736, 0.537037, 0.890876", \ + "0.019992, 0.0832759, 0.126302, 0.199787, 0.327361, 0.537038, 0.890877" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.100199, 0.160669, 0.18962, 0.23312, 0.303145, 0.418536, 0.610485", \ + "0.125431, 0.185958, 0.21496, 0.258467, 0.328425, 0.44385, 0.635833", \ + "0.142164, 0.202616, 0.231617, 0.275258, 0.345058, 0.46046, 0.652467", \ + "0.162769, 0.223269, 0.252279, 0.295882, 0.365857, 0.481236, 0.673151", \ + "0.190941, 0.25176, 0.280856, 0.324567, 0.394496, 0.50989, 0.701893", \ + "0.227447, 0.289387, 0.318546, 0.362346, 0.432377, 0.547795, 0.739711", \ + "0.268225, 0.333522, 0.363158, 0.407207, 0.477327, 0.592768, 0.784714" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0219856, 0.0735759, 0.10345, 0.154796, 0.244948, 0.399352, 0.659374", \ + "0.0220237, 0.0736587, 0.103514, 0.154838, 0.244949, 0.399353, 0.659375", \ + "0.0221083, 0.0736597, 0.103572, 0.154839, 0.245076, 0.399354, 0.662706", \ + "0.0224058, 0.0738684, 0.103636, 0.15484, 0.245077, 0.399355, 0.662707", \ + "0.0232575, 0.0742449, 0.103939, 0.154996, 0.245078, 0.399356, 0.662708", \ + "0.02549, 0.075398, 0.10468, 0.155566, 0.245302, 0.399456, 0.662709", \ + "0.029984, 0.078612, 0.106931, 0.156792, 0.24599, 0.399747, 0.66271" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.138533, 0.19579, 0.227639, 0.279052, 0.364522, 0.506655, 0.743292", \ + "0.17273, 0.230009, 0.261877, 0.313316, 0.398784, 0.540863, 0.777658", \ + "0.198663, 0.255873, 0.28778, 0.339179, 0.42468, 0.566762, 0.803964", \ + "0.237656, 0.295024, 0.326855, 0.378229, 0.463662, 0.605751, 0.842366", \ + "0.295078, 0.352552, 0.384425, 0.435797, 0.521223, 0.663336, 0.899988", \ + "0.367608, 0.425341, 0.457293, 0.508708, 0.594152, 0.736257, 0.973033", \ + "0.479875, 0.538066, 0.569987, 0.621369, 0.706907, 0.849051, 1.08574" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0200922, 0.0821462, 0.125406, 0.199382, 0.32548, 0.536974, 0.889329", \ + "0.0200932, 0.0821828, 0.125416, 0.199397, 0.326134, 0.536975, 0.88933", \ + "0.0202096, 0.0821932, 0.125435, 0.199398, 0.326135, 0.536976, 0.889777", \ + "0.0204053, 0.0823153, 0.12545, 0.199399, 0.326136, 0.536977, 0.889778", \ + "0.0209478, 0.0825214, 0.12561, 0.1994, 0.326137, 0.536978, 0.889779", \ + "0.021768, 0.082841, 0.125804, 0.199529, 0.326138, 0.536979, 0.88978", \ + "0.022811, 0.083346, 0.12608, 0.199642, 0.326139, 0.53698, 0.889781" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0477308, 0.0735805, 0.0912104, 0.120276, 0.168773, 0.249327, 0.383822", \ + "0.0480743, 0.0739345, 0.0916687, 0.120696, 0.169974, 0.24976, 0.384607", \ + "0.0492572, 0.0750955, 0.0927734, 0.12198, 0.17028, 0.25228, 0.386266", \ + "0.0519993, 0.0778579, 0.0955588, 0.124633, 0.173086, 0.254526, 0.388966", \ + "0.057646, 0.0834731, 0.101169, 0.130334, 0.178924, 0.259609, 0.395023", \ + "0.0704996, 0.0962571, 0.113966, 0.143058, 0.191543, 0.272892, 0.407359", \ + "0.0967326, 0.122395, 0.139889, 0.168972, 0.217697, 0.298517, 0.433768" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.049119, 0.0745422, 0.0921004, 0.120938, 0.169144, 0.249313, 0.382964", \ + "0.0492832, 0.0746535, 0.0923778, 0.121585, 0.169793, 0.249461, 0.383166", \ + "0.0504114, 0.0758347, 0.0932844, 0.122191, 0.171564, 0.250607, 0.385521", \ + "0.0528757, 0.0781451, 0.0959046, 0.124968, 0.172832, 0.253569, 0.387166", \ + "0.0586078, 0.0836521, 0.101407, 0.130428, 0.179184, 0.2597, 0.393325", \ + "0.0719556, 0.0966699, 0.114328, 0.143406, 0.192024, 0.272935, 0.407569", \ + "0.0996394, 0.12378, 0.14116, 0.169985, 0.218576, 0.299388, 0.433997" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0326798, 0.0585918, 0.0762434, 0.105326, 0.153713, 0.234485, 0.368723", \ + "0.0328863, 0.058873, 0.0764528, 0.105933, 0.154309, 0.234715, 0.368858", \ + "0.0336163, 0.0593936, 0.077097, 0.106239, 0.154891, 0.235175, 0.36973", \ + "0.0352704, 0.061103, 0.0786656, 0.107718, 0.156515, 0.236997, 0.372241", \ + "0.0390504, 0.0647952, 0.0824291, 0.111621, 0.160101, 0.240749, 0.375761", \ + "0.0448647, 0.0703806, 0.0879815, 0.117258, 0.165884, 0.24672, 0.381489", \ + "0.0561992, 0.0814123, 0.0989843, 0.128176, 0.176624, 0.258008, 0.392592" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00290054; + rise_capacitance : 0.00307284; + rise_capacitance_range (0.00307284, 0.00307284); + fall_capacitance : 0.00264209; + fall_capacitance_range (0.00264209, 0.00264209); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0723267, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0827026, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0175864, 0.0178384, 0.0188451, 0.0212782, 0.026675, 0.0389284, 0.0643676" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0303769, 0.0306959, 0.0320844, 0.0345564, 0.041061, 0.0533285, 0.0803936" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0303206, 0.0306084, 0.0319706, 0.0344607, 0.0409521, 0.0532649, 0.0803393" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0171259, 0.0174104, 0.0183619, 0.0208026, 0.0261855, 0.0384046, 0.0637613" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0172424, 0.0175794, 0.0188267, 0.0212457, 0.0275591, 0.0393559, 0.065491" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0616471, 0.0617599, 0.0623912, 0.0647977, 0.0707417, 0.0829892, 0.109174" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0168314, 0.0171153, 0.0180743, 0.0205113, 0.0259184, 0.0381771, 0.0635455" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0172298, 0.0175749, 0.0188028, 0.0212653, 0.0275656, 0.0393476, 0.0654658" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0171307, 0.0174212, 0.0183698, 0.0208098, 0.0261951, 0.0384072, 0.0637652" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0172381, 0.0175521, 0.0188045, 0.0212255, 0.0275371, 0.0393365, 0.0654671" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0171307, 0.0174212, 0.0183698, 0.0208098, 0.0261951, 0.0384072, 0.0637652" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0303206, 0.0306084, 0.0319706, 0.0344607, 0.0409521, 0.0532649, 0.0803393" \ + ); + } + } + } + pin (D) { + direction : "input"; + nextstate_type : "data"; + max_transition : 2.5074; + capacitance : 0.00182984; + rise_capacitance : 0.00169511; + rise_capacitance_range (0.00169511, 0.00169511); + fall_capacitance : 0.00196456; + fall_capacitance_range (0.00196456, 0.00196456); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0415682, -0.0127256, -0.0067163, -0.00622484", \ + "-0.127041, -0.0993119, -0.0934398, -0.0928683", \ + "-0.160449, -0.147822, -0.143013, -0.139957", \ + "-0.188057, -0.190279, -0.187738, -0.194802" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0268971, 0.0371909, 0.0652935, 0.0909163", \ + "-0.13952, -0.0814867, -0.0541036, -0.0268923", \ + "-0.217028, -0.171424, -0.151108, -0.123007", \ + "-0.301389, -0.272749, -0.255537, -0.236123" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0660201, 0.0301964, 0.022147, 0.0197167", \ + "0.171965, 0.124777, 0.114419, 0.109362", \ + "0.227315, 0.176669, 0.167299, 0.162556", \ + "0.2825, 0.231514, 0.218812, 0.218414" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0684653, -0.00474515, -0.0370039, -0.0639326", \ + "0.196924, 0.127323, 0.0908174, 0.0626293", \ + "0.294182, 0.226494, 0.194282, 0.165381", \ + "0.401228, 0.341474, 0.312036, 0.286299" \ + ); + } + } + internal_power () { + when : "CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00254906, 0.0026656, 0.00310135, 0.0041835, 0.00640539, 0.0112027, 0.0211013" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0021133, 0.00231774, 0.00277822, 0.00387466, 0.0063692, 0.0111315, 0.0214416" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161623, 0.0163316, 0.016806, 0.0178191, 0.0204402, 0.0258206, 0.0377288" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0138448, 0.0139786, 0.0145344, 0.015865, 0.0186179, 0.0243685, 0.0365224" \ + ); + } + } + internal_power () { + when : "(!CLK * !RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00015504, 0.000160833, 0.000159856, 0.000162355, 0.000162976, 0.000161532, 0.000162931" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000151341, 0.000155807, 0.000154518, 0.000154606, 0.000155621, 0.000157358, 0.000158157" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00254906, 0.0026656, 0.00310135, 0.0041835, 0.00640539, 0.0112027, 0.0211013" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0021133, 0.00231774, 0.00277822, 0.00387466, 0.0063692, 0.0111315, 0.0214416" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00673653; + rise_capacitance : 0.00697458; + rise_capacitance_range (0.00697458, 0.00697458); + fall_capacitance : 0.00656649; + fall_capacitance_range (0.00656649, 0.00656649); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0709105, 0.035188, 0.0247187, 0.0251134", \ + "0.171965, 0.129869, 0.119664, 0.117609", \ + "0.250461, 0.208138, 0.196981, 0.196456", \ + "0.355356, 0.313984, 0.306386, 0.30696" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0611298, -0.0277006, -0.022147, -0.0197167", \ + "-0.159486, -0.12223, -0.114419, -0.11486", \ + "-0.235031, -0.197648, -0.191584, -0.193631", \ + "-0.333769, -0.300239, -0.297911, -0.301057" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0775146, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00661294, 0.00653123, 0.00672196, 0.00747987, 0.00956486, 0.0141839, 0.0237775" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0438664, 0.0438528, 0.0448904, 0.0478116, 0.0552328, 0.0687322, 0.0958077" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00268621, 0.00268344, 0.0026831, 0.00268309, 0.00269324, 0.00268047, 0.00268092" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00268621, -0.00268344, -0.0026831, -0.00268309, -0.00269324, -0.00268047, -0.00268092" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0205551, 0.02039, 0.0207485, 0.0217706, 0.0248574, 0.0318342, 0.0468639" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0122831, 0.0120247, 0.0123176, 0.0135919, 0.0173597, 0.0245735, 0.0402297" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00277818, 0.00277565, 0.00277541, 0.00277449, 0.00278046, 0.00277144, 0.00277504" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00277818, -0.00277565, -0.00277541, -0.00277449, -0.00278046, -0.00277144, -0.00277504" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00661294, 0.00653123, 0.00672196, 0.00747987, 0.00956486, 0.0141839, 0.0237775" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0122831, 0.0120247, 0.0123176, 0.0135919, 0.0173597, 0.0245735, 0.0402297" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clocked_on : "CLK"; + next_state : "D"; + } + } + cell (sg13g2_dfrbp_2) { + area : 54.432; + cell_footprint : "dffrr"; + cell_leakage_power : 1911.43; + leakage_power () { + value : 1672.43; + when : "!CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1666.34; + when : "!CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1712.54; + when : "CLK&!D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 1706.46; + when : "CLK&D&!RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 2085.6; + when : "!CLK&!D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 1834.66; + when : "!CLK&!D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 2072.43; + when : "!CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 2063.49; + when : "!CLK&D&RESET_B&!Q*Q_N"; + } + leakage_power () { + value : 2062.26; + when : "CLK&!D&RESET_B&Q*!Q_N"; + } + leakage_power () { + value : 1878.54; + when : "CLK&!D&RESET_B&!Q&Q_N"; + } + leakage_power () { + value : 2129.33; + when : "CLK&D&RESET_B&Q&!Q_N"; + } + leakage_power () { + value : 2053.05; + when : "CLK&D&RESET_B&!Q&Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.162715, 0.202288, 0.232558, 0.283519, 0.369365, 0.512577, 0.75166", \ + "0.188084, 0.227634, 0.257916, 0.308861, 0.394686, 0.537998, 0.776933", \ + "0.205103, 0.24462, 0.274892, 0.325788, 0.411587, 0.554875, 0.794006", \ + "0.226491, 0.266049, 0.296245, 0.347126, 0.432915, 0.576144, 0.815142", \ + "0.256849, 0.296365, 0.326552, 0.377396, 0.46324, 0.606494, 0.845418", \ + "0.300157, 0.339146, 0.369321, 0.420153, 0.505849, 0.64913, 0.888109", \ + "0.356175, 0.393845, 0.423665, 0.474358, 0.559933, 0.703214, 0.942218" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0165508, 0.0769768, 0.122411, 0.198617, 0.326486, 0.539858, 0.895403", \ + "0.0165518, 0.0769778, 0.122412, 0.198618, 0.326497, 0.539859, 0.895601", \ + "0.0165528, 0.0769788, 0.122413, 0.198619, 0.326498, 0.53986, 0.895602", \ + "0.0165538, 0.0769798, 0.122414, 0.19862, 0.326499, 0.539861, 0.895603", \ + "0.0166195, 0.076992, 0.122415, 0.198621, 0.3265, 0.53987, 0.895604", \ + "0.016787, 0.077044, 0.122416, 0.198622, 0.326501, 0.539871, 0.895605", \ + "0.01731, 0.077233, 0.122434, 0.198623, 0.326502, 0.539875, 0.895606" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.14105, 0.17954, 0.204457, 0.245646, 0.314624, 0.429732, 0.621624", \ + "0.165993, 0.204332, 0.229312, 0.27047, 0.339523, 0.454547, 0.646353", \ + "0.1827, 0.221156, 0.246106, 0.287265, 0.356246, 0.47129, 0.663198", \ + "0.202893, 0.241339, 0.266259, 0.307456, 0.376498, 0.491477, 0.683195", \ + "0.230691, 0.269041, 0.293861, 0.335141, 0.404093, 0.519235, 0.710912", \ + "0.265018, 0.303477, 0.328423, 0.369597, 0.438608, 0.553688, 0.745399", \ + "0.307198, 0.345537, 0.370517, 0.411735, 0.480742, 0.595866, 0.787567" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0139178, 0.0594818, 0.0922506, 0.147673, 0.24116, 0.39742, 0.657855", \ + "0.0139188, 0.0594828, 0.0922516, 0.147674, 0.241161, 0.397421, 0.657856", \ + "0.0139198, 0.0594838, 0.0922526, 0.147699, 0.241162, 0.397424, 0.657857", \ + "0.0139208, 0.0594848, 0.0922549, 0.1477, 0.241163, 0.397425, 0.657858", \ + "0.0139218, 0.0594858, 0.0922559, 0.147701, 0.241178, 0.397426, 0.657859", \ + "0.0139228, 0.0594868, 0.0922569, 0.147702, 0.241179, 0.397427, 0.65786", \ + "0.0139238, 0.0594878, 0.0922579, 0.147703, 0.24118, 0.397493, 0.657865" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.189614, 0.228062, 0.252979, 0.294139, 0.363311, 0.478489, 0.670351", \ + "0.224541, 0.262819, 0.287774, 0.32901, 0.398019, 0.51334, 0.705054", \ + "0.25127, 0.289579, 0.31459, 0.355828, 0.424829, 0.540073, 0.731913", \ + "0.291663, 0.330019, 0.354953, 0.396163, 0.465305, 0.580309, 0.772191", \ + "0.351379, 0.389749, 0.414542, 0.455725, 0.52477, 0.639897, 0.831784", \ + "0.427227, 0.465454, 0.490363, 0.531501, 0.600629, 0.71566, 0.907625", \ + "0.542499, 0.580515, 0.60537, 0.646503, 0.715555, 0.830708, 1.02254" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.013648, 0.0594041, 0.0921695, 0.14761, 0.241147, 0.39737, 0.657849", \ + "0.013649, 0.0594051, 0.0921705, 0.147611, 0.241488, 0.397592, 0.657926", \ + "0.01365, 0.0594061, 0.0921715, 0.147612, 0.241489, 0.397593, 0.65899", \ + "0.013671, 0.059445, 0.0921982, 0.147613, 0.24149, 0.397594, 0.658991", \ + "0.0137247, 0.059446, 0.0921992, 0.147614, 0.241491, 0.397595, 0.658992", \ + "0.013802, 0.059489, 0.092204, 0.147615, 0.241492, 0.397596, 0.658993", \ + "0.013923, 0.059545, 0.092229, 0.147619, 0.241493, 0.397597, 0.658994" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.064132, 0.113487, 0.148822, 0.207008, 0.303902, 0.465354, 0.734103", \ + "0.0644238, 0.113874, 0.149231, 0.207363, 0.304252, 0.465651, 0.734746", \ + "0.0654824, 0.114696, 0.150037, 0.208492, 0.305301, 0.466703, 0.735389", \ + "0.0683373, 0.117585, 0.153038, 0.211051, 0.307818, 0.46976, 0.738572", \ + "0.0747763, 0.123722, 0.158978, 0.217489, 0.314791, 0.47605, 0.746658", \ + "0.0889917, 0.13715, 0.172502, 0.230744, 0.327868, 0.490304, 0.759441", \ + "0.119451, 0.166092, 0.201025, 0.259224, 0.356513, 0.518649, 0.788365" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0624662, 0.114125, 0.1493, 0.207136, 0.303434, 0.463733, 0.730668", \ + "0.0629514, 0.114631, 0.150055, 0.207864, 0.303937, 0.464218, 0.731371", \ + "0.0641676, 0.116052, 0.15088, 0.208739, 0.307657, 0.465333, 0.73249", \ + "0.0670628, 0.118863, 0.154295, 0.212366, 0.308677, 0.47023, 0.737912", \ + "0.0732971, 0.124821, 0.16049, 0.218526, 0.315729, 0.477197, 0.742907", \ + "0.0868897, 0.138289, 0.173663, 0.231899, 0.329057, 0.491022, 0.760967", \ + "0.114766, 0.165922, 0.200951, 0.258773, 0.356151, 0.517536, 0.786788" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0478489, 0.0992739, 0.134484, 0.192147, 0.288594, 0.448897, 0.716007", \ + "0.0480543, 0.0995897, 0.13571, 0.192572, 0.289102, 0.449364, 0.716206", \ + "0.0487663, 0.100037, 0.135318, 0.194026, 0.289506, 0.449788, 0.718018", \ + "0.0505923, 0.102075, 0.137412, 0.194912, 0.291509, 0.456346, 0.721121", \ + "0.0539525, 0.10496, 0.140501, 0.198469, 0.295665, 0.454498, 0.724261", \ + "0.0607645, 0.111337, 0.14649, 0.204451, 0.302062, 0.46437, 0.733249", \ + "0.0725341, 0.122436, 0.157368, 0.215264, 0.312778, 0.474331, 0.743245" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0954913, 0.159338, 0.19226, 0.244067, 0.329768, 0.472097, 0.709215", \ + "0.120354, 0.184233, 0.217148, 0.268952, 0.354638, 0.496906, 0.73479", \ + "0.137046, 0.200946, 0.233817, 0.285641, 0.371325, 0.513653, 0.750596", \ + "0.157311, 0.221142, 0.254026, 0.305861, 0.391551, 0.533798, 0.770718", \ + "0.18449, 0.248252, 0.281121, 0.332983, 0.418658, 0.56097, 0.797831", \ + "0.220031, 0.283553, 0.316402, 0.368306, 0.453939, 0.596219, 0.833138", \ + "0.261852, 0.325104, 0.357941, 0.409754, 0.495403, 0.637692, 0.874778" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0180117, 0.084575, 0.127658, 0.20082, 0.32633, 0.537744, 0.891234", \ + "0.0180127, 0.0845857, 0.127659, 0.200821, 0.328687, 0.537966, 0.892011", \ + "0.0180137, 0.0845906, 0.12766, 0.200822, 0.328688, 0.537967, 0.892012", \ + "0.0180147, 0.0846352, 0.127661, 0.200823, 0.328689, 0.537968, 0.892013", \ + "0.0180157, 0.0846494, 0.127662, 0.200824, 0.32869, 0.537969, 0.892014", \ + "0.0180167, 0.0846504, 0.127663, 0.200825, 0.328691, 0.53797, 0.892015", \ + "0.0180177, 0.0846514, 0.127664, 0.200826, 0.328692, 0.537971, 0.892016" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.106808, 0.1774, 0.20862, 0.253903, 0.324978, 0.440622, 0.632454", \ + "0.132062, 0.202681, 0.233902, 0.27923, 0.350306, 0.465958, 0.657881", \ + "0.149177, 0.219735, 0.250967, 0.296211, 0.367265, 0.482888, 0.674815", \ + "0.170492, 0.241011, 0.272259, 0.31754, 0.388607, 0.504253, 0.696042", \ + "0.200995, 0.271744, 0.303012, 0.348341, 0.419381, 0.535135, 0.727018", \ + "0.242806, 0.313967, 0.345333, 0.390743, 0.461963, 0.577675, 0.769474", \ + "0.295732, 0.369623, 0.401227, 0.446767, 0.518021, 0.633687, 0.82561" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0246137, 0.081452, 0.111504, 0.161639, 0.249969, 0.402763, 0.661691", \ + "0.0246338, 0.081453, 0.111511, 0.161675, 0.24997, 0.402853, 0.661692", \ + "0.0246349, 0.081581, 0.111512, 0.161676, 0.249971, 0.402869, 0.661693", \ + "0.0246706, 0.0815904, 0.111513, 0.161677, 0.249972, 0.40287, 0.661694", \ + "0.0252191, 0.081854, 0.111729, 0.161777, 0.249973, 0.402917, 0.661695", \ + "0.027068, 0.082712, 0.112339, 0.162211, 0.250298, 0.402918, 0.661696", \ + "0.031714, 0.085444, 0.11431, 0.163347, 0.250916, 0.403151, 0.661944" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.144765, 0.207113, 0.239731, 0.291568, 0.377148, 0.519554, 0.756472", \ + "0.179616, 0.242078, 0.274652, 0.326412, 0.412022, 0.554359, 0.791452", \ + "0.206286, 0.268728, 0.301315, 0.353161, 0.438765, 0.581112, 0.818122", \ + "0.246593, 0.309063, 0.341684, 0.39336, 0.478997, 0.621331, 0.858392", \ + "0.305795, 0.368429, 0.401015, 0.452779, 0.538463, 0.680701, 0.91767", \ + "0.381404, 0.444384, 0.477007, 0.528765, 0.614402, 0.75672, 0.993796", \ + "0.496162, 0.559577, 0.592101, 0.643893, 0.729546, 0.871943, 1.10909" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0181899, 0.0834656, 0.126815, 0.200393, 0.326187, 0.537879, 0.891059", \ + "0.0181909, 0.0834913, 0.126816, 0.200394, 0.326188, 0.538026, 0.891106", \ + "0.0182626, 0.0835156, 0.126817, 0.200395, 0.326205, 0.538027, 0.891255", \ + "0.0185083, 0.0835468, 0.126818, 0.200396, 0.326206, 0.538028, 0.891256", \ + "0.0189344, 0.0837615, 0.126966, 0.200397, 0.326207, 0.538029, 0.891257", \ + "0.019658, 0.084157, 0.127203, 0.200549, 0.326207, 0.53803, 0.891258", \ + "0.020773, 0.084733, 0.127442, 0.200701, 0.32632, 0.538031, 0.891259" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0625057, 0.114301, 0.149705, 0.207872, 0.304856, 0.466145, 0.735238", \ + "0.0629775, 0.114823, 0.150639, 0.208519, 0.307638, 0.466887, 0.736037", \ + "0.0641923, 0.115976, 0.151267, 0.209657, 0.306435, 0.468061, 0.736568", \ + "0.0671271, 0.11904, 0.154501, 0.21264, 0.309525, 0.471417, 0.741587", \ + "0.0732098, 0.124998, 0.160464, 0.21885, 0.316077, 0.477368, 0.74797", \ + "0.086861, 0.138528, 0.174025, 0.232216, 0.3293, 0.491928, 0.760779", \ + "0.114772, 0.1662, 0.201445, 0.259705, 0.357164, 0.519178, 0.789151" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0641451, 0.113171, 0.148261, 0.206063, 0.302553, 0.462874, 0.73011", \ + "0.0644302, 0.113466, 0.149135, 0.206559, 0.303383, 0.463455, 0.730726", \ + "0.0655417, 0.114669, 0.149534, 0.2075, 0.306368, 0.464163, 0.731157", \ + "0.0684127, 0.117497, 0.152839, 0.211071, 0.306568, 0.468227, 0.735387", \ + "0.0745815, 0.123267, 0.15876, 0.216892, 0.314442, 0.475092, 0.742928", \ + "0.0890169, 0.136909, 0.172115, 0.230158, 0.327669, 0.489524, 0.759759", \ + "0.119377, 0.165814, 0.200589, 0.258371, 0.355745, 0.516493, 0.78626" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0479109, 0.0996029, 0.135027, 0.193231, 0.290017, 0.451385, 0.720116", \ + "0.0480646, 0.0999397, 0.135328, 0.194401, 0.290242, 0.451925, 0.720272", \ + "0.0487717, 0.100303, 0.13595, 0.194141, 0.29154, 0.452308, 0.721265", \ + "0.0505817, 0.102097, 0.137555, 0.195716, 0.292981, 0.454453, 0.724088", \ + "0.0544586, 0.105863, 0.14119, 0.199668, 0.296576, 0.457752, 0.729629", \ + "0.0606501, 0.111689, 0.146866, 0.205477, 0.302587, 0.464524, 0.733857", \ + "0.0724661, 0.122752, 0.157966, 0.216419, 0.313231, 0.475816, 0.74518" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00314205; + rise_capacitance : 0.00333075; + rise_capacitance_range (0.00333075, 0.00333075); + fall_capacitance : 0.00285901; + fall_capacitance_range (0.00285901, 0.00285901); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0904846, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0852966, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0171931, 0.0174842, 0.0185858, 0.0213028, 0.02727, 0.0404083, 0.0679363" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.032492, 0.0327888, 0.0343349, 0.0371153, 0.0438543, 0.0570292, 0.0860633" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0326052, 0.032896, 0.0344135, 0.0370434, 0.043977, 0.0571277, 0.0861085" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0180772, 0.0184403, 0.0194563, 0.0221651, 0.028026, 0.0411242, 0.0685177" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0167383, 0.0171061, 0.018475, 0.0210135, 0.0277983, 0.0405157, 0.0684447" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0829364, 0.0762163, 0.0769589, 0.0794195, 0.0858754, 0.0991585, 0.126877" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0169482, 0.0173042, 0.0183433, 0.0210513, 0.0269579, 0.0401121, 0.0676377" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0167286, 0.0171022, 0.0184697, 0.0210196, 0.0277878, 0.0405012, 0.0685969" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0180987, 0.0184704, 0.0194821, 0.0221882, 0.0281097, 0.0411607, 0.0685378" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0167198, 0.0170861, 0.0184309, 0.020994, 0.0277765, 0.0404947, 0.0684244" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0171931, 0.0174842, 0.0185858, 0.0213028, 0.02727, 0.0404083, 0.0679363" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.032492, 0.0327888, 0.0343349, 0.0371153, 0.0438543, 0.0570292, 0.0860633" \ + ); + } + } + } + pin (D) { + direction : "input"; + nextstate_type : "data"; + max_transition : 2.5074; + capacitance : 0.00170673; + rise_capacitance : 0.00157354; + rise_capacitance_range (0.00157354, 0.00157354); + fall_capacitance : 0.00183992; + fall_capacitance_range (0.00183992, 0.00183992); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.039123, -0.0102298, 0.000999033, 0.00996535", \ + "-0.127041, -0.0993119, -0.088195, -0.0763743", \ + "-0.160449, -0.1452, -0.137617, -0.125832", \ + "-0.185359, -0.190279, -0.187738, -0.180044" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0268971, 0.0371909, 0.0678652, 0.10171", \ + "-0.13952, -0.0840332, -0.0514812, -0.0158963", \ + "-0.217028, -0.171424, -0.145712, -0.111707", \ + "-0.301389, -0.272749, -0.249887, -0.221365" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0709105, 0.0301964, 0.0170034, 0.00622484", \ + "0.176957, 0.127323, 0.109174, 0.0983663", \ + "0.235031, 0.179291, 0.1646, 0.148431", \ + "0.293294, 0.237012, 0.218812, 0.209559" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0733557, -0.00224933, -0.0395757, -0.0747261", \ + "0.19942, 0.127323, 0.0908174, 0.0516333", \ + "0.296753, 0.229117, 0.194282, 0.154081", \ + "0.406625, 0.341474, 0.309211, 0.274493" \ + ); + } + } + internal_power () { + when : "CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00227057, 0.0024071, 0.00284293, 0.00394876, 0.00618371, 0.0109909, 0.0209024" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00187675, 0.00210617, 0.00257697, 0.00367719, 0.00620038, 0.0109946, 0.0212985" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0186651, 0.0188475, 0.0193478, 0.0203167, 0.0229195, 0.0283106, 0.0402054" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0148104, 0.0149255, 0.0154809, 0.0168303, 0.0196041, 0.0253666, 0.03775" \ + ); + } + } + internal_power () { + when : "(!CLK * !RESET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.08901e-05, -3.11017e-05, -2.89651e-05, -2.6959e-05, -2.61861e-05, -2.91249e-05, -2.70821e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000287783, 0.000292493, 0.00029242, 0.000293185, 0.000293502, 0.000294725, 0.000295103" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00227057, 0.0024071, 0.00284293, 0.00394876, 0.00618371, 0.0109909, 0.0209024" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00187675, 0.00210617, 0.00257697, 0.00367719, 0.00620038, 0.0109946, 0.0212985" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0062584; + rise_capacitance : 0.00649463; + rise_capacitance_range (0.00649463, 0.00649463); + fall_capacitance : 0.00608967; + fall_capacitance_range (0.00608967, 0.00608967); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0758009, 0.035188, 0.022147, 0.0116216", \ + "0.176957, 0.129869, 0.117042, 0.106613", \ + "0.255605, 0.208138, 0.194282, 0.182331", \ + "0.363451, 0.313984, 0.300736, 0.292202" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0660201, -0.0301964, -0.0195752, -0.0089232", \ + "-0.166974, -0.124777, -0.111797, -0.101115", \ + "-0.242746, -0.20027, -0.188886, -0.179506", \ + "-0.344563, -0.302988, -0.295086, -0.289251" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0801086, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00604729, 0.00597688, 0.00618507, 0.00698013, 0.00910547, 0.0137217, 0.0233325" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.060943, 0.0609012, 0.0620519, 0.0650875, 0.0725341, 0.0863976, 0.113994" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00213103, 0.00213219, 0.00213267, 0.00213422, 0.00213652, 0.00212874, 0.0021266" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00213103, -0.00213219, -0.00213267, -0.00213422, -0.00213652, -0.00212874, -0.0021266" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.022705, 0.0225335, 0.0228921, 0.0238704, 0.0269635, 0.0339763, 0.0489447" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0137091, 0.0134793, 0.0138056, 0.01505, 0.0187581, 0.0259471, 0.0416679" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00221675, 0.0022243, 0.00221471, 0.00221668, 0.00222113, 0.002223, 0.00221191" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00221675, -0.0022243, -0.00221471, -0.00221668, -0.00222113, -0.002223, -0.00221191" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00604729, 0.00597688, 0.00618507, 0.00698013, 0.00910547, 0.0137217, 0.0233325" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0137091, 0.0134793, 0.0138056, 0.01505, 0.0187581, 0.0259471, 0.0416679" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clocked_on : "CLK"; + next_state : "D"; + } + } + cell (sg13g2_dlhq_1) { + area : 30.8448; + cell_footprint : "DLHQ"; + cell_leakage_power : 1021.49; + leakage_power () { + value : 1024.09; + when : "!D&!GATE&!Q"; + } + leakage_power () { + value : 935.703; + when : "!D&!GATE&Q"; + } + leakage_power () { + value : 1136.46; + when : "!D&GATE&!Q"; + } + leakage_power () { + value : 1127.66; + when : "D&!GATE&!Q"; + } + leakage_power () { + value : 928.967; + when : "D&!GATE&Q"; + } + leakage_power () { + value : 976.079; + when : "D&GATE&Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.120772, 0.168349, 0.199326, 0.250364, 0.335667, 0.477748, 0.714351", \ + "0.141084, 0.188655, 0.21967, 0.270691, 0.355978, 0.498077, 0.735583", \ + "0.154643, 0.202231, 0.233212, 0.28424, 0.369496, 0.511558, 0.748184", \ + "0.170687, 0.218279, 0.249262, 0.300299, 0.38559, 0.527566, 0.76419", \ + "0.194095, 0.241672, 0.272661, 0.323717, 0.408951, 0.551024, 0.787609", \ + "0.225206, 0.272836, 0.303824, 0.354878, 0.44017, 0.582271, 0.818915", \ + "0.265155, 0.312893, 0.343882, 0.394953, 0.480246, 0.622261, 0.859064" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0128896, 0.0749987, 0.120671, 0.196406, 0.32334, 0.534968, 0.887732", \ + "0.0128963, 0.0749997, 0.120672, 0.196423, 0.325588, 0.535035, 0.888538", \ + "0.0128973, 0.0750007, 0.120673, 0.196424, 0.325589, 0.535036, 0.888539", \ + "0.0129209, 0.0750017, 0.120674, 0.196425, 0.32559, 0.535037, 0.88854", \ + "0.0129738, 0.0750027, 0.120675, 0.196426, 0.325591, 0.535038, 0.888541", \ + "0.013171, 0.0750037, 0.120683, 0.196427, 0.325592, 0.535039, 0.888542", \ + "0.013579, 0.075057, 0.120684, 0.196428, 0.325593, 0.53504, 0.888543" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.105908, 0.147101, 0.171996, 0.212879, 0.281216, 0.39508, 0.584574", \ + "0.128184, 0.169358, 0.194267, 0.235182, 0.303497, 0.417301, 0.606949", \ + "0.141822, 0.183032, 0.207924, 0.248817, 0.317136, 0.430908, 0.620626", \ + "0.160757, 0.201963, 0.226882, 0.267775, 0.336094, 0.449874, 0.639426", \ + "0.188063, 0.229311, 0.25422, 0.295143, 0.363455, 0.477252, 0.666766", \ + "0.216324, 0.25764, 0.282555, 0.323465, 0.391802, 0.505604, 0.695175", \ + "0.259551, 0.301032, 0.325957, 0.366878, 0.435216, 0.549036, 0.738635" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115565, 0.0563211, 0.0891222, 0.144164, 0.236758, 0.391111, 0.648425", \ + "0.0115605, 0.0563221, 0.0891386, 0.144194, 0.236804, 0.39112, 0.648426", \ + "0.0115615, 0.0563346, 0.0891396, 0.144214, 0.236838, 0.391121, 0.650458", \ + "0.0116102, 0.0563356, 0.0891406, 0.144215, 0.236839, 0.391122, 0.650459", \ + "0.0117097, 0.0563679, 0.0891416, 0.144216, 0.23684, 0.391123, 0.65046", \ + "0.011847, 0.056403, 0.089177, 0.144246, 0.236841, 0.391125, 0.650461", \ + "0.012165, 0.056495, 0.089186, 0.144247, 0.236842, 0.391146, 0.650462" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.102714, 0.150263, 0.181224, 0.232259, 0.317536, 0.459605, 0.696223", \ + "0.12355, 0.171016, 0.202025, 0.253018, 0.338291, 0.48035, 0.717319", \ + "0.1372, 0.184721, 0.215754, 0.266772, 0.352008, 0.49403, 0.730779", \ + "0.153068, 0.200562, 0.231576, 0.28258, 0.367873, 0.509872, 0.746485", \ + "0.173558, 0.220707, 0.251706, 0.302727, 0.388006, 0.530026, 0.76673", \ + "0.194772, 0.242461, 0.27347, 0.32456, 0.409845, 0.551911, 0.788585", \ + "0.208225, 0.256306, 0.287324, 0.33841, 0.423708, 0.565746, 0.802447" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0127944, 0.0750239, 0.120633, 0.196343, 0.323369, 0.534967, 0.887654", \ + "0.0128228, 0.0750249, 0.120634, 0.196378, 0.32436, 0.535023, 0.887927", \ + "0.0128499, 0.0750259, 0.120635, 0.196379, 0.324361, 0.535024, 0.887928", \ + "0.0129132, 0.0750269, 0.120636, 0.19638, 0.324362, 0.535025, 0.887929", \ + "0.0131456, 0.0750279, 0.120641, 0.196381, 0.324363, 0.535026, 0.88793", \ + "0.013722, 0.075085, 0.120713, 0.196453, 0.324364, 0.535027, 0.887931", \ + "0.014754, 0.075228, 0.120783, 0.196524, 0.324365, 0.535028, 0.887932" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.109105, 0.150153, 0.175073, 0.215945, 0.284281, 0.398133, 0.587696", \ + "0.130198, 0.171162, 0.196089, 0.236965, 0.305324, 0.419177, 0.609926", \ + "0.143509, 0.184543, 0.209471, 0.250359, 0.318667, 0.432439, 0.622057", \ + "0.158652, 0.199699, 0.224606, 0.265494, 0.333806, 0.447555, 0.6371", \ + "0.17607, 0.21711, 0.242015, 0.282913, 0.351241, 0.465014, 0.654531", \ + "0.192959, 0.233996, 0.259105, 0.300011, 0.368339, 0.482181, 0.671683", \ + "0.201287, 0.242309, 0.26721, 0.308129, 0.376443, 0.490191, 0.679693" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0114013, 0.0562853, 0.0891042, 0.144177, 0.236761, 0.39111, 0.648486", \ + "0.0114023, 0.0562863, 0.089135, 0.144235, 0.236762, 0.392487, 0.649716", \ + "0.0114033, 0.0562992, 0.089136, 0.144236, 0.236763, 0.392488, 0.649717", \ + "0.0114074, 0.0563002, 0.089137, 0.144237, 0.236764, 0.392489, 0.649718", \ + "0.0114121, 0.0563012, 0.089138, 0.144238, 0.236865, 0.39249, 0.649719", \ + "0.011422, 0.0563022, 0.089139, 0.144239, 0.236866, 0.392491, 0.64972", \ + "0.011429, 0.056308, 0.089168, 0.14424, 0.236867, 0.392492, 0.649721" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0235104, 0.0240375, 0.0240479, 0.0240262, 0.0238356, 0.023529, 0.0230572", \ + "0.023428, 0.0239146, 0.0240374, 0.023992, 0.0241674, 0.0234948, 0.0232261", \ + "0.0233933, 0.0239254, 0.0239167, 0.0240152, 0.0237268, 0.0241319, 0.0229957", \ + "0.0233075, 0.0238675, 0.0239566, 0.0239196, 0.0237473, 0.0241479, 0.0234669", \ + "0.0233843, 0.0238816, 0.0240073, 0.0240713, 0.0240775, 0.0236399, 0.0240728", \ + "0.0235486, 0.0239761, 0.0241175, 0.0240833, 0.0240714, 0.0242259, 0.0239372", \ + "0.0243976, 0.0247844, 0.0247785, 0.0247943, 0.0248939, 0.0248444, 0.0247771" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0241958, 0.0248692, 0.024895, 0.0248567, 0.0247118, 0.024417, 0.0238371", \ + "0.0241557, 0.0247868, 0.0248634, 0.0249367, 0.024688, 0.0244089, 0.0237679", \ + "0.0240736, 0.0247783, 0.0247156, 0.0247427, 0.0250595, 0.0242174, 0.024331", \ + "0.0241117, 0.0248285, 0.0248824, 0.024939, 0.0246583, 0.0247437, 0.0239518", \ + "0.0238629, 0.0244903, 0.024634, 0.0246495, 0.0247257, 0.0243227, 0.0237233", \ + "0.0243675, 0.0249792, 0.0250076, 0.0251603, 0.0250522, 0.0251821, 0.025198", \ + "0.0247954, 0.0254588, 0.025437, 0.0253595, 0.0252944, 0.0253137, 0.0254683" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0201722, 0.0206901, 0.0207111, 0.0207131, 0.0205409, 0.0201775, 0.0197848", \ + "0.0200678, 0.0205571, 0.0207412, 0.0205461, 0.0205787, 0.0200909, 0.0197082", \ + "0.0200482, 0.0205765, 0.0205796, 0.0206839, 0.0204155, 0.0203131, 0.0196366", \ + "0.0201343, 0.0206759, 0.0207751, 0.0207734, 0.0205521, 0.0203981, 0.0202765", \ + "0.0205426, 0.0210474, 0.0211764, 0.0212499, 0.0212078, 0.0208086, 0.0211591", \ + "0.0213994, 0.0217133, 0.0218207, 0.0217886, 0.0217439, 0.0218701, 0.0215987", \ + "0.0235629, 0.0238383, 0.0237101, 0.0236269, 0.0236833, 0.0234916, 0.0233806" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0218928, 0.0225429, 0.0225745, 0.0225445, 0.0224145, 0.0221132, 0.0215964", \ + "0.0219772, 0.022603, 0.0226459, 0.0227418, 0.0224581, 0.0225734, 0.0220465", \ + "0.0221007, 0.022807, 0.0228208, 0.0226989, 0.0228332, 0.0226357, 0.0217922", \ + "0.0222671, 0.0229594, 0.0230813, 0.0230991, 0.0228659, 0.0225991, 0.0228517", \ + "0.0223628, 0.0230809, 0.0230944, 0.0231824, 0.0232319, 0.0231231, 0.0220354", \ + "0.0224377, 0.0230606, 0.0229862, 0.0231046, 0.022966, 0.0227834, 0.0229643", \ + "0.022466, 0.0231497, 0.0231767, 0.0229955, 0.0228933, 0.0230136, 0.0229192" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00241523; + rise_capacitance : 0.00245873; + rise_capacitance_range (0.00245873, 0.00245873); + fall_capacitance : 0.00237173; + fall_capacitance_range (0.00237173, 0.00237173); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.063575, -0.0376839, -0.0144316, 0.0207588", \ + "-0.132032, -0.101858, -0.0777053, -0.0433863", \ + "-0.168165, -0.13471, -0.107935, -0.0749827", \ + "-0.206946, -0.171036, -0.142539, -0.106255" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0244519, 0.0571575, 0.111585, 0.182661", \ + "-0.092099, -0.00763938, 0.0507929, 0.121554", \ + "-0.134731, -0.045548, 0.0161902, 0.0888645", \ + "-0.177264, -0.0830677, -0.0210657, 0.0531277" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0684653, 0.0551547, 0.0555801, 0.0655889", \ + "0.137024, 0.114591, 0.103929, 0.103864", \ + "0.173308, 0.142577, 0.129521, 0.120182", \ + "0.212343, 0.179283, 0.159488, 0.144625" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0293423, -0.0521658, -0.106442, -0.174566", \ + "0.0970907, 0.0101858, -0.0481705, -0.116056", \ + "0.139875, 0.0481705, -0.0107935, -0.0832146", \ + "0.182661, 0.0885657, 0.0267156, -0.0472246" \ + ); + } + } + internal_power () { + when : "(!GATE * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00548593, 0.0056017, 0.00639162, 0.0083279, 0.0124872, 0.0214766, 0.0401422" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00558553, 0.00604304, 0.0069082, 0.00881461, 0.0134943, 0.0222587, 0.0413213" \ + ); + } + } + internal_power () { + when : "(!GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00538161, 0.00556951, 0.00636112, 0.00832716, 0.0124555, 0.0214748, 0.0400581" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00587773, 0.00627844, 0.00711809, 0.00903013, 0.0136803, 0.0224253, 0.0414366" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00538161, 0.00556951, 0.00636112, 0.00832716, 0.0124555, 0.0214748, 0.0400581" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00587773, 0.00627844, 0.00711809, 0.00903013, 0.0136803, 0.0224253, 0.0414366" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00245643; + rise_capacitance : 0.00278884; + rise_capacitance_range (0.00278884, 0.00278884); + fall_capacitance : 0.00179161; + fall_capacitance_range (0.00179161, 0.00179161); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0541687, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0124443, 0.0126924, 0.0136585, 0.0160793, 0.0212183, 0.0325449, 0.0559028" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0235792, 0.0242274, 0.0253184, 0.0278855, 0.0335844, 0.0452939, 0.0697828" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0124443, 0.0126924, 0.0136585, 0.0160793, 0.0212183, 0.0325449, 0.0559028" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0235792, 0.0242274, 0.0253184, 0.0278855, 0.0335844, 0.0452939, 0.0697828" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dlhr_1) { + area : 32.6592; + cell_footprint : "DLHR"; + cell_leakage_power : 1454.49; + leakage_power () { + value : 1322.79; + when : "!RESET_B*!Q*Q_N*!D*!GATE"; + } + leakage_power () { + value : 1397.93; + when : "!RESET_B*!Q*Q_N*!D*GATE"; + } + leakage_power () { + value : 1433.34; + when : "!RESET_B*!Q*Q_N*D*!GATE"; + } + leakage_power () { + value : 1328.26; + when : "!RESET_B*!Q*Q_N*D*GATE"; + } + leakage_power () { + value : 1426.87; + when : "RESET_B*!GATE*!D*!Q*!Q_N"; + } + leakage_power () { + value : 1426.87; + when : "RESET_B*!GATE*!D*!Q*Q_N"; + } + leakage_power () { + value : 1426.87; + when : "RESET_B*!GATE*!D*Q*!Q_N"; + } + leakage_power () { + value : 1426.87; + when : "RESET_B*!GATE*!D*Q*Q_N"; + } + leakage_power () { + value : 1537.4; + when : "RESET_B*!GATE*D*!Q*!Q_N"; + } + leakage_power () { + value : 1537.4; + when : "RESET_B*!GATE*D*!Q*Q_N"; + } + leakage_power () { + value : 1537.4; + when : "RESET_B*!GATE*D*Q*!Q_N"; + } + leakage_power () { + value : 1537.4; + when : "RESET_B*!GATE*D*Q*Q_N"; + } + leakage_power () { + value : 1523.66; + when : "D*GATE*RESET_B*Q*!Q_N"; + } + leakage_power () { + value : 1499.82; + when : "!D*GATE*RESET_B*!Q*Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.137291, 0.192932, 0.224489, 0.275741, 0.361126, 0.503212, 0.739984", \ + "0.15696, 0.212689, 0.244223, 0.295466, 0.380841, 0.523033, 0.759912", \ + "0.17035, 0.226009, 0.2576, 0.308778, 0.394151, 0.536286, 0.772996", \ + "0.186294, 0.241999, 0.273505, 0.324713, 0.410088, 0.55218, 0.788955", \ + "0.209017, 0.26464, 0.296217, 0.347364, 0.432765, 0.574924, 0.811674", \ + "0.238926, 0.294691, 0.326207, 0.377456, 0.462883, 0.604966, 0.841783", \ + "0.277529, 0.33342, 0.364953, 0.416196, 0.50163, 0.643759, 0.880448" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0177948, 0.0795232, 0.123317, 0.197922, 0.324476, 0.536084, 0.889103", \ + "0.0177983, 0.0795242, 0.123333, 0.197923, 0.324483, 0.536212, 0.889279", \ + "0.0178076, 0.0795252, 0.123349, 0.197924, 0.32449, 0.536213, 0.88928", \ + "0.0178417, 0.0795262, 0.12335, 0.197925, 0.324491, 0.536214, 0.889281", \ + "0.0178735, 0.0795272, 0.123371, 0.197926, 0.324518, 0.536215, 0.889282", \ + "0.017973, 0.079558, 0.123403, 0.197937, 0.324519, 0.536216, 0.889283", \ + "0.018258, 0.079667, 0.123464, 0.197961, 0.32452, 0.536243, 0.889358" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.115704, 0.161067, 0.186468, 0.227698, 0.296459, 0.410895, 0.601644", \ + "0.137487, 0.182921, 0.208344, 0.249579, 0.318312, 0.432786, 0.623711", \ + "0.150935, 0.196396, 0.221815, 0.26301, 0.331761, 0.446227, 0.637043", \ + "0.169678, 0.215123, 0.240515, 0.281746, 0.350484, 0.464944, 0.655607", \ + "0.196786, 0.242255, 0.267657, 0.308911, 0.377651, 0.492126, 0.682754", \ + "0.224734, 0.270244, 0.295658, 0.336928, 0.405666, 0.520158, 0.710813", \ + "0.268115, 0.313767, 0.339188, 0.38047, 0.449217, 0.563754, 0.754427" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130761, 0.0589438, 0.0909579, 0.145456, 0.238247, 0.393452, 0.652394", \ + "0.0130771, 0.0589477, 0.0909589, 0.145457, 0.238249, 0.393773, 0.652395", \ + "0.0130781, 0.0589487, 0.0909599, 0.145458, 0.23825, 0.393774, 0.653057", \ + "0.0131229, 0.0589497, 0.0909609, 0.145459, 0.238251, 0.393775, 0.653058", \ + "0.013147, 0.0589546, 0.0909619, 0.14546, 0.238252, 0.393776, 0.653059", \ + "0.013359, 0.059002, 0.0909629, 0.145461, 0.238259, 0.393777, 0.65306", \ + "0.013598, 0.059091, 0.091017, 0.145465, 0.23826, 0.393778, 0.653061" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.124779, 0.180337, 0.211819, 0.263047, 0.348453, 0.490526, 0.727306", \ + "0.145888, 0.201559, 0.2331, 0.284353, 0.369738, 0.511871, 0.748694", \ + "0.15979, 0.215295, 0.246841, 0.298047, 0.383425, 0.525547, 0.762292", \ + "0.175961, 0.231486, 0.263026, 0.314248, 0.399618, 0.54171, 0.77844", \ + "0.19657, 0.252174, 0.283713, 0.334892, 0.42031, 0.56243, 0.799133", \ + "0.21798, 0.273742, 0.305246, 0.356474, 0.441921, 0.583992, 0.820833", \ + "0.23185, 0.287893, 0.319442, 0.370716, 0.456152, 0.598291, 0.835151" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0176815, 0.0794501, 0.123303, 0.197941, 0.324554, 0.536114, 0.889104", \ + "0.0176825, 0.0794511, 0.123341, 0.197942, 0.324621, 0.536157, 0.889258", \ + "0.0177374, 0.0794521, 0.123342, 0.197943, 0.324622, 0.536175, 0.889259", \ + "0.0177713, 0.079478, 0.123343, 0.197944, 0.324623, 0.536176, 0.88926", \ + "0.0179019, 0.0794916, 0.123384, 0.197945, 0.324624, 0.536177, 0.889261", \ + "0.018175, 0.07965, 0.123449, 0.197946, 0.324625, 0.536178, 0.889262", \ + "0.018751, 0.079859, 0.123606, 0.198036, 0.324626, 0.536211, 0.889263" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.120612, 0.166132, 0.19159, 0.232744, 0.301495, 0.415973, 0.606755", \ + "0.142094, 0.187653, 0.213026, 0.254243, 0.323049, 0.437546, 0.628205", \ + "0.155788, 0.201352, 0.226742, 0.267977, 0.336681, 0.451161, 0.641894", \ + "0.171168, 0.216709, 0.242102, 0.283368, 0.352066, 0.466521, 0.657162", \ + "0.189709, 0.234864, 0.26066, 0.301915, 0.370572, 0.485113, 0.675693", \ + "0.207895, 0.253445, 0.278842, 0.320102, 0.388858, 0.503278, 0.693954", \ + "0.217148, 0.262696, 0.288094, 0.329339, 0.398074, 0.512528, 0.703327" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0133317, 0.0589553, 0.0909501, 0.14551, 0.238369, 0.393522, 0.652374", \ + "0.0133675, 0.0589868, 0.0909511, 0.145511, 0.23837, 0.393581, 0.652441", \ + "0.0133685, 0.0589878, 0.0909521, 0.145512, 0.238371, 0.393633, 0.65783", \ + "0.0133695, 0.0589949, 0.0909531, 0.145513, 0.238372, 0.393634, 0.657831", \ + "0.0133705, 0.0589959, 0.0909538, 0.145514, 0.238373, 0.393635, 0.657832", \ + "0.0133715, 0.0589969, 0.0909548, 0.145515, 0.238374, 0.393636, 0.657833", \ + "0.0133725, 0.0589979, 0.0909558, 0.145516, 0.238375, 0.393637, 0.657834" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0502143, 0.0957266, 0.121193, 0.162513, 0.231283, 0.345765, 0.536553", \ + "0.0779966, 0.125304, 0.150983, 0.192294, 0.261116, 0.375656, 0.567661", \ + "0.0954225, 0.145105, 0.170896, 0.212355, 0.281268, 0.395812, 0.586661", \ + "0.119637, 0.173389, 0.199711, 0.241153, 0.310096, 0.424731, 0.615505", \ + "0.152187, 0.213528, 0.240823, 0.282508, 0.351459, 0.466113, 0.656922", \ + "0.184607, 0.256877, 0.286604, 0.330056, 0.399857, 0.515031, 0.706171", \ + "0.234388, 0.32152, 0.355742, 0.403021, 0.475228, 0.593124, 0.787085" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0129917, 0.0592018, 0.0910354, 0.145618, 0.238444, 0.393694, 0.65254", \ + "0.0156179, 0.0602112, 0.0917331, 0.145897, 0.238492, 0.393929, 0.65414", \ + "0.0182644, 0.0618618, 0.0926951, 0.146436, 0.23871, 0.39393, 0.654141", \ + "0.0225359, 0.0650133, 0.0947356, 0.147513, 0.239336, 0.394168, 0.654142", \ + "0.029268, 0.0717797, 0.0992569, 0.149906, 0.240435, 0.394901, 0.654143", \ + "0.038238, 0.084563, 0.109654, 0.156983, 0.244912, 0.397468, 0.654864", \ + "0.052856, 0.103896, 0.127721, 0.17207, 0.256841, 0.408053, 0.663147" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00739295, 0.00780325, 0.00787375, 0.00784905, 0.00775885, 0.00757855, 0.00727065", \ + "0.00733215, 0.00775855, 0.00783155, 0.00802935, 0.00771345, 0.00754525, 0.00732355", \ + "0.00740465, 0.00781035, 0.00787075, 0.00791345, 0.00781365, 0.00775335, 0.00737705", \ + "0.00743905, 0.00788225, 0.00796135, 0.00792115, 0.00787385, 0.00800275, 0.00764615", \ + "0.0074248, 0.0078449, 0.0079194, 0.0080016, 0.0080009, 0.0078739, 0.0079268", \ + "0.0073788, 0.0077808, 0.0078793, 0.0078921, 0.0078226, 0.0079939, 0.0077183", \ + "0.0075831, 0.007925, 0.0079541, 0.0079742, 0.0080427, 0.0080254, 0.0080137" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0026683, 0.0031043, 0.0031006, 0.0030098, 0.0027769, 0.0023006, 0.0015965", \ + "0.0011937, 0.0016726, 0.0018074, 0.0015883, 0.0016602, 0.000900099, 0.000220399", \ + "0.001118, 0.0015728, 0.0016202, 0.0015845, 0.0018019, 0.000865201, 0.000160601", \ + "0.0010941, 0.0016312, 0.0017621, 0.0017002, 0.0012787, 0.001798, 0.0005135", \ + "0.0010237, 0.0014906, 0.0016575, 0.0017002, 0.0017204, 0.0009661, 0.000463299", \ + "0.000871999, 0.0012573, 0.0013464, 0.0014269, 0.0014612, 0.0015284, 0.0012811", \ + "0.000686802, 0.0010849, 0.0010689, 0.000967, 0.000923302, 0.000967801, 0.0009358" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0166097, 0.0170485, 0.0170875, 0.017069, 0.0170285, 0.0167358, 0.0165094", \ + "0.0165181, 0.0169552, 0.0170649, 0.0171185, 0.0169959, 0.0167507, 0.0165325", \ + "0.0165488, 0.0169436, 0.017008, 0.0170929, 0.0169407, 0.0173571, 0.0164499", \ + "0.0165881, 0.0170305, 0.0171193, 0.0171109, 0.0170216, 0.0171681, 0.0169695", \ + "0.0167351, 0.0171041, 0.0172234, 0.0172701, 0.0173076, 0.0170932, 0.017285", \ + "0.0171163, 0.0174903, 0.0175944, 0.0175865, 0.01755, 0.0176792, 0.017376", \ + "0.0181942, 0.0184991, 0.0185481, 0.0185493, 0.0186498, 0.0185689, 0.0184121" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0165801, 0.0170367, 0.0170483, 0.0169357, 0.0166576, 0.0162479, 0.0154482", \ + "0.0166111, 0.0170728, 0.0171364, 0.0171569, 0.0167682, 0.0162877, 0.0154814", \ + "0.0166647, 0.0171902, 0.0171796, 0.0170434, 0.0170681, 0.0163876, 0.0166782", \ + "0.0167425, 0.0172457, 0.0174015, 0.0173973, 0.0169917, 0.0168826, 0.0167337", \ + "0.0168065, 0.0172834, 0.0173251, 0.0174221, 0.0174534, 0.017077, 0.0163092", \ + "0.0168433, 0.0172707, 0.0173481, 0.0174548, 0.0173145, 0.0171547, 0.0172697", \ + "0.0169831, 0.0174484, 0.0174345, 0.0172848, 0.0172329, 0.0172779, 0.0169456" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121276, 0.0125893, 0.0125835, 0.012479, 0.0122405, 0.0117889, 0.0110571", \ + "0.0124104, 0.0126541, 0.0127511, 0.0131109, 0.0123241, 0.0119945, 0.0116231", \ + "0.0130678, 0.0131747, 0.0132012, 0.0131138, 0.0131286, 0.0123677, 0.0116682", \ + "0.0146299, 0.0144543, 0.0144835, 0.0145195, 0.0142227, 0.0141089, 0.013243", \ + "0.0180319, 0.017304, 0.0173946, 0.0174031, 0.0174161, 0.0169108, 0.0162245", \ + "0.0248263, 0.0234656, 0.0233371, 0.0232893, 0.0231752, 0.0232798, 0.0229178", \ + "0.0387575, 0.036351, 0.0361053, 0.0356868, 0.035479, 0.0353816, 0.0351496" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.141553, 0.185963, 0.216891, 0.26795, 0.35325, 0.495294, 0.732108", \ + "0.163436, 0.20787, 0.238697, 0.289717, 0.374968, 0.517104, 0.75369", \ + "0.176893, 0.221365, 0.252251, 0.303175, 0.388415, 0.530405, 0.767188", \ + "0.195724, 0.240202, 0.271087, 0.322053, 0.407312, 0.549331, 0.785989", \ + "0.222784, 0.26724, 0.298117, 0.349173, 0.434418, 0.576457, 0.81315", \ + "0.250852, 0.295292, 0.326225, 0.377187, 0.462538, 0.604584, 0.841151", \ + "0.294283, 0.338541, 0.36944, 0.420464, 0.505769, 0.647854, 0.88448" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121904, 0.0751612, 0.120937, 0.196707, 0.323684, 0.535404, 0.888213", \ + "0.0122114, 0.0751622, 0.120938, 0.196708, 0.324717, 0.535554, 0.888214", \ + "0.0122167, 0.0751632, 0.120939, 0.196709, 0.324718, 0.535931, 0.888215", \ + "0.0122177, 0.0751642, 0.12094, 0.19671, 0.324719, 0.535932, 0.888216", \ + "0.0122187, 0.0751652, 0.120941, 0.196711, 0.32472, 0.535933, 0.888217", \ + "0.012233, 0.0751662, 0.120942, 0.196712, 0.324721, 0.535934, 0.888223", \ + "0.012282, 0.0751672, 0.120943, 0.196723, 0.324722, 0.535935, 0.888224" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.16593, 0.203722, 0.228561, 0.269776, 0.338503, 0.453107, 0.6439", \ + "0.185635, 0.223507, 0.248327, 0.289503, 0.35824, 0.472754, 0.663622", \ + "0.19901, 0.236834, 0.261757, 0.302844, 0.371606, 0.486126, 0.676907", \ + "0.21499, 0.252772, 0.277728, 0.318844, 0.387595, 0.50204, 0.692785", \ + "0.237592, 0.275398, 0.300328, 0.341474, 0.410275, 0.524753, 0.715442", \ + "0.267969, 0.305737, 0.330684, 0.371766, 0.440529, 0.555088, 0.745773", \ + "0.306436, 0.344155, 0.369095, 0.410224, 0.479001, 0.593462, 0.784302" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119462, 0.0569644, 0.0901242, 0.145588, 0.238764, 0.394096, 0.65302", \ + "0.0119472, 0.0570132, 0.0901252, 0.145594, 0.238765, 0.394364, 0.653114", \ + "0.0119482, 0.0570142, 0.0901462, 0.145598, 0.238766, 0.394365, 0.65347", \ + "0.0119492, 0.0570206, 0.0901472, 0.145599, 0.238767, 0.394366, 0.653471", \ + "0.0119502, 0.0570216, 0.0901482, 0.145659, 0.238768, 0.394367, 0.653472", \ + "0.0119512, 0.0570226, 0.0901492, 0.14566, 0.238769, 0.394368, 0.653473", \ + "0.011988, 0.0570236, 0.090163, 0.145663, 0.23877, 0.394369, 0.653474" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.146617, 0.190594, 0.22148, 0.27268, 0.357806, 0.499688, 0.736723", \ + "0.16811, 0.212595, 0.243444, 0.294469, 0.379775, 0.521782, 0.758694", \ + "0.181826, 0.226336, 0.257232, 0.308166, 0.393375, 0.53547, 0.772124", \ + "0.197195, 0.241646, 0.272586, 0.323524, 0.408755, 0.550776, 0.787495", \ + "0.215504, 0.25999, 0.290914, 0.341874, 0.427196, 0.569188, 0.805811", \ + "0.233754, 0.278227, 0.309159, 0.360128, 0.445425, 0.587503, 0.824142", \ + "0.243142, 0.287668, 0.318595, 0.369555, 0.454825, 0.596907, 0.833677" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122378, 0.0751502, 0.120921, 0.196695, 0.323695, 0.535411, 0.888247", \ + "0.0122388, 0.0751608, 0.120922, 0.196732, 0.323938, 0.535412, 0.8884", \ + "0.0122398, 0.0751618, 0.120923, 0.196733, 0.323939, 0.535413, 0.890906", \ + "0.0122408, 0.0751631, 0.120924, 0.196734, 0.32394, 0.535414, 0.890907", \ + "0.0122418, 0.0751641, 0.120925, 0.196735, 0.323941, 0.535415, 0.890908", \ + "0.0122428, 0.0751651, 0.120926, 0.196736, 0.323942, 0.535417, 0.890909", \ + "0.0122438, 0.0751661, 0.120927, 0.196737, 0.323943, 0.535433, 0.89091" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.153283, 0.190955, 0.216142, 0.256986, 0.325993, 0.440388, 0.631324", \ + "0.174453, 0.212346, 0.237309, 0.278403, 0.347121, 0.461703, 0.652553", \ + "0.188349, 0.226146, 0.251123, 0.29223, 0.360958, 0.475528, 0.66622", \ + "0.204542, 0.242358, 0.267276, 0.308373, 0.377153, 0.491647, 0.682366", \ + "0.225131, 0.262932, 0.287861, 0.328914, 0.397685, 0.512207, 0.702944", \ + "0.247007, 0.28471, 0.30964, 0.350794, 0.419496, 0.534003, 0.724805", \ + "0.260901, 0.298547, 0.323483, 0.364602, 0.433304, 0.547784, 0.7387" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119106, 0.0569855, 0.0901265, 0.1456, 0.238764, 0.394095, 0.653051", \ + "0.0119116, 0.0569865, 0.0901275, 0.145601, 0.238765, 0.394337, 0.6535", \ + "0.0119126, 0.0569875, 0.0901283, 0.145602, 0.238766, 0.394338, 0.653501", \ + "0.011915, 0.0569885, 0.0901345, 0.145603, 0.238767, 0.394339, 0.653502", \ + "0.0119287, 0.0569895, 0.0901355, 0.145663, 0.238768, 0.39434, 0.653503", \ + "0.011977, 0.0569905, 0.090152, 0.145664, 0.238769, 0.394341, 0.653504", \ + "0.012019, 0.056997, 0.090167, 0.145665, 0.23877, 0.394342, 0.653505" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.076118, 0.1207, 0.151614, 0.202699, 0.287891, 0.429908, 0.66663", \ + "0.10552, 0.149461, 0.180326, 0.231342, 0.316564, 0.458631, 0.696223", \ + "0.124848, 0.167967, 0.19872, 0.249639, 0.334773, 0.476823, 0.71349", \ + "0.152213, 0.19403, 0.224579, 0.275423, 0.36063, 0.502563, 0.739229", \ + "0.189859, 0.229676, 0.260021, 0.310601, 0.395732, 0.537707, 0.77434", \ + "0.229269, 0.266606, 0.296568, 0.346751, 0.431577, 0.573405, 0.810024", \ + "0.287921, 0.322425, 0.351504, 0.401054, 0.484671, 0.626083, 0.862801" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121688, 0.0751281, 0.120887, 0.196707, 0.323692, 0.53538, 0.888194", \ + "0.0126335, 0.075161, 0.120917, 0.196711, 0.324848, 0.535381, 0.888916", \ + "0.0132248, 0.0752047, 0.120949, 0.196712, 0.324849, 0.536484, 0.891055", \ + "0.0143279, 0.0753458, 0.120974, 0.196721, 0.32485, 0.536485, 0.891056", \ + "0.0162342, 0.0755727, 0.121125, 0.196833, 0.324851, 0.536486, 0.891057", \ + "0.018931, 0.076188, 0.121371, 0.197061, 0.324852, 0.536487, 0.891058", \ + "0.022732, 0.077266, 0.121821, 0.197268, 0.324853, 0.536488, 0.891059" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0026845, 0.0032198, 0.0032937, 0.0032786, 0.0031938, 0.0030154, 0.0026605", \ + "0.0012308, 0.0018162, 0.0018642, 0.001903, 0.002049, 0.0016357, 0.0012201", \ + "0.0011568, 0.0016841, 0.0017676, 0.0018366, 0.0018091, 0.0016091, 0.0011467", \ + "0.0011303, 0.0016964, 0.0017845, 0.0017415, 0.0017836, 0.0014387, 0.0022428", \ + "0.0010625, 0.0016244, 0.0017145, 0.0017843, 0.001739, 0.0014389, 0.0018182", \ + "0.000895999, 0.0014056, 0.0015095, 0.0015221, 0.0015708, 0.0016286, 0.0012718", \ + "0.000724301, 0.0012009, 0.0012413, 0.0012853, 0.0013047, 0.0013465, 0.0013423" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00738615, 0.00770805, 0.00769695, 0.00763805, 0.00740565, 0.00698555, 0.00630325", \ + "0.00733325, 0.00768005, 0.00779135, 0.00765275, 0.00775095, 0.00699945, 0.00632475", \ + "0.00740315, 0.00776995, 0.00771205, 0.00770155, 0.00810105, 0.00702625, 0.00643705", \ + "0.00743545, 0.00782545, 0.00789265, 0.00790925, 0.00758795, 0.00739685, 0.00718365", \ + "0.0074129, 0.0077371, 0.0078651, 0.0078876, 0.0079278, 0.0074722, 0.0067263", \ + "0.0073923, 0.007695, 0.007772, 0.0077711, 0.0077995, 0.0077195, 0.007724", \ + "0.0075604, 0.0078373, 0.0077975, 0.0077502, 0.0077193, 0.0075861, 0.007444" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0228848, 0.0233552, 0.0234192, 0.0234435, 0.0233345, 0.0231477, 0.0228776", \ + "0.0230235, 0.0236067, 0.0236879, 0.0238303, 0.0236427, 0.0233747, 0.0231085", \ + "0.02358, 0.0241492, 0.0241868, 0.0242659, 0.024131, 0.0245493, 0.0244985", \ + "0.0248505, 0.0254411, 0.025534, 0.0255051, 0.0254442, 0.0253854, 0.0253118", \ + "0.0274878, 0.0280694, 0.0281579, 0.0282133, 0.0282306, 0.0280223, 0.0281644", \ + "0.0331466, 0.0337015, 0.0338015, 0.0338163, 0.0337608, 0.0338998, 0.0336565", \ + "0.0448753, 0.0454386, 0.0454563, 0.0454785, 0.0455554, 0.0455006, 0.0453486" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0166102, 0.0169111, 0.0169527, 0.0168291, 0.0166461, 0.0161853, 0.0155639", \ + "0.016522, 0.0168642, 0.0169393, 0.0169527, 0.0165688, 0.0161979, 0.0155248", \ + "0.0165496, 0.0169317, 0.0168565, 0.0168573, 0.0169181, 0.0161944, 0.015461", \ + "0.0165896, 0.0169676, 0.0170407, 0.0170736, 0.0168396, 0.0167448, 0.0165518", \ + "0.0167418, 0.017038, 0.0171758, 0.0171594, 0.0172019, 0.0169134, 0.0162861", \ + "0.0170997, 0.0173618, 0.0174301, 0.0174931, 0.0174624, 0.0172931, 0.0174743", \ + "0.0181771, 0.018416, 0.0183862, 0.0183144, 0.0182974, 0.0183271, 0.0180463" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121615, 0.0126927, 0.0127481, 0.0127628, 0.0126763, 0.0124707, 0.0121362", \ + "0.0124284, 0.0127609, 0.0128709, 0.0129066, 0.0130463, 0.0125385, 0.0124595", \ + "0.013119, 0.0132378, 0.0132712, 0.0134139, 0.0132031, 0.0132479, 0.0136458", \ + "0.0146167, 0.0144501, 0.0145025, 0.0145143, 0.0145395, 0.0141734, 0.0154524", \ + "0.0180636, 0.0173781, 0.0173656, 0.0174302, 0.0173837, 0.0170405, 0.0172634", \ + "0.0248596, 0.0234588, 0.0233678, 0.0232813, 0.0232591, 0.0232116, 0.022823", \ + "0.0388213, 0.0364588, 0.0360708, 0.0357826, 0.0355948, 0.0354243, 0.0354521" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00221026; + rise_capacitance : 0.00240569; + rise_capacitance_range (0.00240569, 0.00240569); + fall_capacitance : 0.00201482; + fall_capacitance_range (0.00201482, 0.00201482); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.063575, -0.035188, -0.0067163, 0.0288539", \ + "-0.132032, -0.0967655, -0.0698381, -0.0323903", \ + "-0.165593, -0.126843, -0.0998395, -0.0636829", \ + "-0.204248, -0.162789, -0.134064, -0.0944492" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0268971, 0.0571575, 0.114157, 0.182661", \ + "-0.0945949, -0.00763938, 0.0534153, 0.124303", \ + "-0.137303, -0.045548, 0.0161902, 0.0888645", \ + "-0.179962, -0.0858167, -0.0210657, 0.0531277" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0733557, 0.050163, 0.045293, 0.0467003", \ + "0.13952, 0.109498, 0.0960622, 0.0873703", \ + "0.173308, 0.139955, 0.121426, 0.106057", \ + "0.212343, 0.173785, 0.153838, 0.132819" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0342327, -0.0521658, -0.106442, -0.174566", \ + "0.102082, 0.0127323, -0.0481705, -0.118805", \ + "0.142447, 0.0507929, -0.0107935, -0.0832146", \ + "0.185359, 0.0913147, 0.0267156, -0.0472246" \ + ); + } + } + internal_power () { + when : "(!GATE * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00468866, 0.00488854, 0.00565864, 0.00764538, 0.011894, 0.020869, 0.039543" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00545564, 0.00594145, 0.00680393, 0.00873619, 0.0134574, 0.022278, 0.0413489" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0267419, 0.0269389, 0.0276433, 0.0295541, 0.0338372, 0.0431112, 0.062187" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0352236, 0.0384868, 0.0394152, 0.041458, 0.0460026, 0.0555494, 0.0753672" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0267419, 0.0269389, 0.0276433, 0.0295541, 0.0338372, 0.0431112, 0.062187" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0352236, 0.0384868, 0.0394152, 0.041458, 0.0460026, 0.0555494, 0.0753672" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00241468; + rise_capacitance : 0.00276621; + rise_capacitance_range (0.00276621, 0.00276621); + fall_capacitance : 0.00179949; + fall_capacitance_range (0.00179949, 0.00179949); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0593567, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0172905, 0.01747, 0.0184164, 0.0209607, 0.0263621, 0.0384017, 0.0632491" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0194928, 0.0198851, 0.0211411, 0.0237546, 0.0300662, 0.0419082, 0.0672996" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0235517, 0.0242001, 0.0253045, 0.027845, 0.0335735, 0.0449533, 0.0689479" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0125655, 0.0128235, 0.0137896, 0.0161894, 0.0213642, 0.0326181, 0.0557608" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0236191, 0.0242655, 0.0253739, 0.0279102, 0.0336213, 0.0449064, 0.0689704" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0125655, 0.0128235, 0.0137896, 0.0161894, 0.0213642, 0.0326181, 0.0557608" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0235517, 0.0242001, 0.0253045, 0.027845, 0.0335735, 0.0449533, 0.0689479" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00327876; + rise_capacitance : 0.00346174; + rise_capacitance_range (0.00346174, 0.00346174); + fall_capacitance : 0.00316897; + fall_capacitance_range (0.00316897, 0.00316897); + timing () { + related_pin : "GATE"; + timing_type : recovery_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.00489038, -0.0821157, -0.137303, -0.204248", \ + "0.0446784, -0.0407434, -0.105864, -0.184781", \ + "0.0755806, -0.00952261, -0.0755542, -0.156663", \ + "0.112503, 0.0280877, -0.0382583, -0.121013" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : removal_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0146711, 0.0970907, 0.160449, 0.239326", \ + "-0.0371909, 0.0509292, 0.116353, 0.198526", \ + "-0.0678652, 0.0173898, 0.0863477, 0.170788", \ + "-0.107106, -0.0198407, 0.0467332, 0.129868" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.131989, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000227486, -0.00013018, -0.000102696, -8.54207e-05, -6.35482e-05, -5.1552e-05, -3.62859e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000227486, 0.00013018, 0.000102696, 8.54207e-05, 6.35482e-05, 5.1552e-05, 3.62859e-05" \ + ); + } + } + internal_power () { + when : "(!D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.66921e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.66921e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-6.66921e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "6.66921e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dlhrq_1) { + area : 27.216; + cell_footprint : "DLHRQ"; + cell_leakage_power : 1159.01; + leakage_power () { + value : 1038.48; + when : "!RESET_B*!Q*!D*!GATE"; + } + leakage_power () { + value : 1114.88; + when : "!RESET_B*!Q*!D*GATE"; + } + leakage_power () { + value : 1148.94; + when : "!RESET_B*!Q*D*!GATE"; + } + leakage_power () { + value : 1051.95; + when : "!RESET_B*!Q*D*GATE"; + } + leakage_power () { + value : 1149.25; + when : "RESET_B*!GATE*!D*!Q"; + } + leakage_power () { + value : 1149.25; + when : "RESET_B*!GATE*!D*Q"; + } + leakage_power () { + value : 1259.73; + when : "RESET_B*!GATE*D*!Q"; + } + leakage_power () { + value : 1259.73; + when : "RESET_B*!GATE*D*Q"; + } + leakage_power () { + value : 1195.66; + when : "D*GATE*RESET_B*Q"; + } + leakage_power () { + value : 1222.2; + when : "!D*GATE*RESET_B*!Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.127174, 0.177988, 0.209093, 0.260117, 0.345325, 0.487124, 0.72332", \ + "0.147291, 0.198113, 0.229219, 0.280224, 0.365401, 0.50728, 0.74381", \ + "0.160813, 0.211653, 0.242712, 0.293717, 0.378879, 0.520662, 0.756993", \ + "0.177011, 0.227831, 0.25894, 0.30992, 0.395079, 0.536844, 0.773035", \ + "0.199927, 0.250774, 0.281882, 0.332892, 0.4181, 0.559807, 0.796054", \ + "0.230446, 0.281339, 0.312467, 0.363498, 0.448629, 0.590535, 0.826744", \ + "0.269038, 0.320054, 0.351172, 0.402173, 0.487355, 0.629148, 0.865547" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0158352, 0.0769942, 0.121957, 0.197241, 0.323894, 0.535128, 0.887278", \ + "0.0158399, 0.0769952, 0.121958, 0.197249, 0.32771, 0.535172, 0.887688", \ + "0.0158409, 0.0769988, 0.121959, 0.19725, 0.327711, 0.535173, 0.887689", \ + "0.0158419, 0.0770028, 0.12196, 0.197252, 0.327712, 0.535174, 0.88769", \ + "0.0158831, 0.0770143, 0.121966, 0.197253, 0.327713, 0.535175, 0.887691", \ + "0.016072, 0.077061, 0.12199, 0.197254, 0.327714, 0.53522, 0.887692", \ + "0.016374, 0.077163, 0.122048, 0.197279, 0.327715, 0.535221, 0.887693" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.111558, 0.153778, 0.179106, 0.220456, 0.289633, 0.404949, 0.597044", \ + "0.133867, 0.176037, 0.201343, 0.242768, 0.311998, 0.427308, 0.619368", \ + "0.147369, 0.189555, 0.214858, 0.256308, 0.325535, 0.440773, 0.632913", \ + "0.166492, 0.208708, 0.233999, 0.275422, 0.344668, 0.459839, 0.651827", \ + "0.194017, 0.236239, 0.261524, 0.302986, 0.372192, 0.487465, 0.679365", \ + "0.222553, 0.264861, 0.290181, 0.331612, 0.400859, 0.516152, 0.708086", \ + "0.266633, 0.309067, 0.33441, 0.375841, 0.445114, 0.560356, 0.752483" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119498, 0.0577242, 0.0908371, 0.146546, 0.240424, 0.39697, 0.657936", \ + "0.0119851, 0.0577252, 0.0909308, 0.146577, 0.240425, 0.397154, 0.657962", \ + "0.0120162, 0.0577262, 0.0909318, 0.146578, 0.240426, 0.397155, 0.658605", \ + "0.0120594, 0.0577272, 0.0909328, 0.146581, 0.240427, 0.397156, 0.658606", \ + "0.0121352, 0.0577282, 0.0909338, 0.146612, 0.240428, 0.397157, 0.658607", \ + "0.012256, 0.05776, 0.0909348, 0.146659, 0.240434, 0.397158, 0.658608", \ + "0.012493, 0.057841, 0.0909358, 0.146666, 0.240436, 0.397159, 0.658609" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.114189, 0.164804, 0.195996, 0.24696, 0.332144, 0.473923, 0.710162", \ + "0.135616, 0.186299, 0.217377, 0.268387, 0.353531, 0.495436, 0.732512", \ + "0.149447, 0.200185, 0.231271, 0.282267, 0.36734, 0.509126, 0.745557", \ + "0.165741, 0.216442, 0.247538, 0.298535, 0.383721, 0.525435, 0.761671", \ + "0.186266, 0.237009, 0.268127, 0.319151, 0.404279, 0.546054, 0.782348", \ + "0.207524, 0.25842, 0.289532, 0.340519, 0.425728, 0.567596, 0.803781", \ + "0.221082, 0.272244, 0.303374, 0.354413, 0.439609, 0.581383, 0.817793" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157321, 0.0769311, 0.122035, 0.197269, 0.323881, 0.535192, 0.887279", \ + "0.0157331, 0.0769605, 0.122036, 0.19727, 0.326, 0.535237, 0.888215", \ + "0.0157423, 0.0769615, 0.122037, 0.197271, 0.326001, 0.535248, 0.888216", \ + "0.0158334, 0.0769774, 0.122038, 0.197272, 0.326002, 0.535249, 0.888217", \ + "0.0160071, 0.077032, 0.122039, 0.19729, 0.326003, 0.53525, 0.888218", \ + "0.016332, 0.077168, 0.122049, 0.197291, 0.326004, 0.535251, 0.888219", \ + "0.01701, 0.077391, 0.122192, 0.197351, 0.326005, 0.535252, 0.88822" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.116362, 0.158637, 0.183923, 0.225404, 0.294584, 0.409867, 0.601852", \ + "0.138027, 0.180391, 0.205701, 0.247125, 0.316375, 0.431585, 0.623613", \ + "0.151823, 0.194096, 0.21941, 0.260834, 0.330084, 0.445236, 0.637318", \ + "0.167232, 0.20952, 0.234826, 0.276249, 0.345444, 0.460696, 0.652622", \ + "0.186091, 0.22838, 0.253649, 0.295093, 0.364309, 0.479518, 0.671541", \ + "0.20468, 0.246975, 0.272266, 0.313702, 0.382919, 0.498225, 0.690142", \ + "0.214165, 0.256487, 0.281745, 0.323194, 0.392413, 0.507719, 0.699611" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122777, 0.0576948, 0.0909599, 0.146565, 0.240433, 0.396968, 0.657949", \ + "0.0122834, 0.0577319, 0.0909609, 0.14665, 0.240434, 0.397156, 0.658006", \ + "0.0122844, 0.0577329, 0.0909619, 0.146651, 0.240435, 0.397157, 0.663219", \ + "0.0122854, 0.0577339, 0.0909629, 0.146652, 0.240436, 0.397158, 0.66322", \ + "0.0122864, 0.0577349, 0.0909639, 0.146653, 0.240437, 0.397159, 0.663221", \ + "0.0122874, 0.057743, 0.0909649, 0.146654, 0.240438, 0.39716, 0.663222", \ + "0.012292, 0.05775, 0.0909659, 0.146664, 0.24049, 0.397161, 0.663223" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.046246, 0.0885892, 0.113957, 0.155422, 0.224693, 0.340007, 0.532063", \ + "0.0725829, 0.116372, 0.141914, 0.183489, 0.252777, 0.368178, 0.560212", \ + "0.0890799, 0.134657, 0.160255, 0.201806, 0.271274, 0.386537, 0.578656", \ + "0.112234, 0.160703, 0.186537, 0.228177, 0.297581, 0.413001, 0.605094", \ + "0.144329, 0.198405, 0.224947, 0.266874, 0.336281, 0.451477, 0.643794", \ + "0.177846, 0.239877, 0.268245, 0.311613, 0.382356, 0.497948, 0.690645", \ + "0.232783, 0.306019, 0.337673, 0.383858, 0.456723, 0.576298, 0.771624" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119021, 0.057879, 0.0910217, 0.146702, 0.240549, 0.397077, 0.658045", \ + "0.014556, 0.0588413, 0.0916156, 0.147089, 0.240655, 0.397293, 0.65809", \ + "0.0169582, 0.0601246, 0.0923561, 0.147522, 0.240909, 0.397294, 0.658311", \ + "0.0209278, 0.0624052, 0.0938189, 0.148303, 0.241506, 0.397556, 0.658373", \ + "0.0269845, 0.0678535, 0.0975508, 0.150547, 0.242346, 0.398377, 0.658967", \ + "0.034898, 0.077332, 0.105647, 0.156725, 0.247329, 0.401652, 0.660667", \ + "0.047959, 0.093837, 0.120208, 0.169643, 0.258848, 0.412614, 0.670198" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0016413, 0.0019847, 0.0020143, 0.0019714, 0.0018251, 0.0015152, 0.0010483", \ + "0.0015619, 0.0019126, 0.0021007, 0.002049, 0.0024085, 0.0014304, 0.001051", \ + "0.0016692, 0.0020131, 0.0019982, 0.0021148, 0.0018246, 0.0024307, 0.0011012", \ + "0.0017267, 0.0021245, 0.0022016, 0.0021462, 0.0019801, 0.0021482, 0.0018091", \ + "0.0016197, 0.0019784, 0.002068, 0.0021553, 0.0021033, 0.0018775, 0.0022059", \ + "0.0013938, 0.0016919, 0.0018099, 0.0018081, 0.0017477, 0.0018714, 0.0015306", \ + "0.00198101, 0.002237, 0.0021814, 0.00219601, 0.00227071, 0.0022083, 0.0021353" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "-0.0016413, -0.0019847, -0.0020143, -0.0019714, -0.0018251, -0.0015152, -0.0010483", \ + "-0.0015619, -0.0019126, -0.0021007, -0.002049, -0.0024085, -0.0014304, -0.001051", \ + "-0.0016692, -0.0020131, -0.0019982, -0.0021148, -0.0018246, -0.0024307, -0.0011012", \ + "-0.0017267, -0.0021245, -0.0022016, -0.0021462, -0.0019801, -0.0021482, -0.0018091", \ + "-0.0016197, -0.0019784, -0.002068, -0.0021553, -0.0021033, -0.0018775, -0.0022059", \ + "-0.0013938, -0.0016919, -0.0018099, -0.0018081, -0.0017477, -0.0018714, -0.0015306", \ + "-0.00198101, -0.002237, -0.0021814, -0.00219601, -0.00227071, -0.0022083, -0.0021353" \ + ); + } + } + internal_power () { + related_pin : "GATE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204331, 0.0207798, 0.020875, 0.0207909, 0.020631, 0.0203032, 0.0198454", \ + "0.0203304, 0.0206462, 0.0208551, 0.020696, 0.0208545, 0.0202126, 0.0199711", \ + "0.0203629, 0.0207193, 0.0207037, 0.0208028, 0.0205387, 0.0206772, 0.0198129", \ + "0.0203874, 0.0207806, 0.0208731, 0.020785, 0.0206489, 0.0206625, 0.0199031", \ + "0.0207461, 0.02107, 0.0211737, 0.021251, 0.0212377, 0.0208132, 0.0212978", \ + "0.0215988, 0.0218268, 0.0219535, 0.0219239, 0.0219307, 0.0220284, 0.021691", \ + "0.0237437, 0.0239293, 0.0238494, 0.0238483, 0.0239685, 0.0238363, 0.0237851" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204086, 0.0210587, 0.0211033, 0.0210518, 0.0209003, 0.0206446, 0.0201363", \ + "0.0204794, 0.0211094, 0.0211772, 0.0214421, 0.021076, 0.0207369, 0.0201438", \ + "0.0206123, 0.0212989, 0.0213598, 0.0212004, 0.0213696, 0.0209654, 0.0216152", \ + "0.0206914, 0.0213652, 0.0214874, 0.0215249, 0.0213902, 0.0211577, 0.0206849", \ + "0.0208054, 0.0214749, 0.0215223, 0.021599, 0.0216733, 0.0215489, 0.0208325", \ + "0.0209989, 0.0216069, 0.0216496, 0.0217605, 0.0217324, 0.021504, 0.0217372", \ + "0.0211835, 0.0218588, 0.0219066, 0.0217422, 0.0217244, 0.0217891, 0.0217606" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118315, 0.0124735, 0.0124997, 0.0124451, 0.0123217, 0.0120371, 0.0115487", \ + "0.0123977, 0.0126919, 0.0127762, 0.0129668, 0.0127576, 0.0124347, 0.0118423", \ + "0.0135607, 0.0136617, 0.0136713, 0.0135992, 0.0139299, 0.0132591, 0.01281", \ + "0.0161927, 0.0159114, 0.0159804, 0.01603, 0.0158132, 0.0158804, 0.0153796", \ + "0.0220951, 0.0211471, 0.0211552, 0.021221, 0.0212399, 0.0210177, 0.0202921", \ + "0.033868, 0.0319713, 0.0317389, 0.0317056, 0.031677, 0.0315836, 0.0315615", \ + "0.0581944, 0.0549967, 0.0543179, 0.0538135, 0.0534252, 0.0532958, 0.0532001" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00225925; + rise_capacitance : 0.00249501; + rise_capacitance_range (0.00249501, 0.00249501); + fall_capacitance : 0.0020235; + fall_capacitance_range (0.0020235, 0.0020235); + timing () { + related_pin : "GATE"; + timing_type : hold_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0611298, -0.0301964, -0.00414452, 0.0342506", \ + "-0.127041, -0.094219, -0.0672157, -0.0296413", \ + "-0.163021, -0.12422, -0.0971411, -0.058033", \ + "-0.201549, -0.16004, -0.131239, -0.0914977" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0268971, 0.0596533, 0.116729, 0.185359", \ + "-0.0970907, -0.00763938, 0.0534153, 0.127052", \ + "-0.137303, -0.045548, 0.0161902, 0.0888645", \ + "-0.182661, -0.0858167, -0.0238906, 0.0531277" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : setup_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0660201, 0.0476672, 0.0427212, 0.0440019", \ + "0.134528, 0.106951, 0.0934398, 0.0846213", \ + "0.168165, 0.137332, 0.118728, 0.103232", \ + "0.206946, 0.171036, 0.148188, 0.126916" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0317875, -0.0521658, -0.109014, -0.179962", \ + "0.102082, 0.0101858, -0.0481705, -0.118805", \ + "0.142447, 0.0507929, -0.0107935, -0.0832146", \ + "0.188057, 0.0913147, 0.0267156, -0.0472246" \ + ); + } + } + internal_power () { + when : "(!GATE * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00431401, 0.00446309, 0.00524147, 0.00721952, 0.0113806, 0.0203877, 0.039012" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00518817, 0.00564487, 0.00650181, 0.00842709, 0.0131077, 0.0218785, 0.0408633" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0273253, 0.0275081, 0.0282063, 0.0300863, 0.0343621, 0.043801, 0.0626395" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0357274, 0.0388371, 0.0397571, 0.0417446, 0.046338, 0.0556249, 0.0753437" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0273253, 0.0275081, 0.0282063, 0.0300863, 0.0343621, 0.043801, 0.0626395" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0357274, 0.0388371, 0.0397571, 0.0417446, 0.046338, 0.0556249, 0.0753437" \ + ); + } + } + } + pin (GATE) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00235401; + rise_capacitance : 0.00278807; + rise_capacitance_range (0.00278807, 0.00278807); + fall_capacitance : 0.00181144; + fall_capacitance_range (0.00181144, 0.00181144); + timing () { + related_pin : "GATE"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0541687, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.017763, 0.0179372, 0.018882, 0.0213529, 0.0268338, 0.0387138, 0.0636864" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0189952, 0.0194375, 0.0206526, 0.023292, 0.0295779, 0.0413896, 0.0667293" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0238306, 0.0245172, 0.0256065, 0.0282253, 0.0337612, 0.0451198, 0.0692653" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0130229, 0.0132844, 0.0141954, 0.0166026, 0.0217113, 0.0328809, 0.0560073" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0239104, 0.0245641, 0.025668, 0.028275, 0.0338404, 0.0452372, 0.0691492" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0130229, 0.0132844, 0.0141954, 0.0166026, 0.0217113, 0.0328809, 0.0560073" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0238306, 0.0245172, 0.0256065, 0.0282253, 0.0337612, 0.0451198, 0.0692653" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00310961; + rise_capacitance : 0.0032527; + rise_capacitance_range (0.0032527, 0.0032527); + fall_capacitance : 0.00300229; + fall_capacitance_range (0.00300229, 0.00300229); + timing () { + related_pin : "GATE"; + timing_type : recovery_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.012226, -0.092099, -0.14759, -0.217739", \ + "0.0247118, -0.061115, -0.126843, -0.206773", \ + "0.0421475, -0.043614, -0.107935, -0.190563", \ + "0.0612343, -0.0241433, -0.0891074, -0.171189" \ + ); + } + } + timing () { + related_pin : "GATE"; + timing_type : removal_falling; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0195615, 0.104578, 0.168165, 0.25012", \ + "-0.0172243, 0.0713009, 0.137332, 0.220518", \ + "-0.0370039, 0.0514812, 0.118728, 0.201863", \ + "-0.0558375, 0.0323903, 0.0975823, 0.180044" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.129395, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-8.87504e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.87504e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!D * !GATE * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-8.87504e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "8.87504e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE"; + } + } + cell (sg13g2_dllr_1) { + area : 34.4736; + cell_footprint : "DLLR"; + cell_leakage_power : 1477.59; + leakage_power () { + value : 1395.69; + when : "!RESET_B*!Q*Q_N*!D*!GATE_N"; + } + leakage_power () { + value : 1313.46; + when : "!RESET_B*!Q*Q_N*!D*GATE_N"; + } + leakage_power () { + value : 1329; + when : "!RESET_B*!Q*Q_N*D*!GATE_N"; + } + leakage_power () { + value : 1440.32; + when : "!RESET_B*!Q*Q_N*D*GATE_N"; + } + leakage_power () { + value : 1499.81; + when : "RESET_B*!GATE_N*!D*!Q*!Q_N"; + } + leakage_power () { + value : 1499.81; + when : "RESET_B*!GATE_N*!D*!Q*Q_N"; + } + leakage_power () { + value : 1499.81; + when : "RESET_B*!GATE_N*!D*Q*!Q_N"; + } + leakage_power () { + value : 1499.81; + when : "RESET_B*!GATE_N*!D*Q*Q_N"; + } + leakage_power () { + value : 1561.07; + when : "RESET_B*!GATE_N*D*!Q*!Q_N"; + } + leakage_power () { + value : 1561.07; + when : "RESET_B*!GATE_N*D*!Q*Q_N"; + } + leakage_power () { + value : 1561.07; + when : "RESET_B*!GATE_N*D*Q*!Q_N"; + } + leakage_power () { + value : 1561.07; + when : "RESET_B*!GATE_N*D*Q*Q_N"; + } + leakage_power () { + value : 1537.41; + when : "D*GATE_N*RESET_B*Q*!Q_N"; + } + leakage_power () { + value : 1426.89; + when : "!D*GATE_N*RESET_B*!Q*Q_N"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.138324, 0.193853, 0.225375, 0.276548, 0.361977, 0.504022, 0.740747", \ + "0.15803, 0.213595, 0.24509, 0.296286, 0.381689, 0.523839, 0.760743", \ + "0.171312, 0.226783, 0.258303, 0.309494, 0.394848, 0.537077, 0.773676", \ + "0.187115, 0.242626, 0.274128, 0.325312, 0.410696, 0.552752, 0.789419", \ + "0.209617, 0.265152, 0.296664, 0.347892, 0.433232, 0.5753, 0.811967", \ + "0.239648, 0.295217, 0.326728, 0.377971, 0.463355, 0.60545, 0.842243", \ + "0.277455, 0.333126, 0.364671, 0.415921, 0.501335, 0.643445, 0.880225" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180604, 0.0797014, 0.123469, 0.198042, 0.324614, 0.536122, 0.88886", \ + "0.0180834, 0.0797024, 0.123486, 0.198068, 0.324696, 0.536201, 0.888975", \ + "0.0180844, 0.0797034, 0.123487, 0.198069, 0.324697, 0.536202, 0.888976", \ + "0.0180854, 0.0797044, 0.123497, 0.19807, 0.324698, 0.536203, 0.888977", \ + "0.0181367, 0.0797054, 0.123531, 0.198071, 0.324699, 0.536204, 0.888978", \ + "0.018258, 0.079728, 0.123562, 0.198072, 0.3247, 0.536205, 0.888979", \ + "0.018531, 0.079839, 0.123615, 0.198077, 0.324701, 0.536206, 0.88898" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.116966, 0.162288, 0.187628, 0.228887, 0.297595, 0.412128, 0.602856", \ + "0.138791, 0.184081, 0.209509, 0.250712, 0.319505, 0.434055, 0.624846", \ + "0.152187, 0.197474, 0.222886, 0.264092, 0.33287, 0.44735, 0.638181", \ + "0.170731, 0.216064, 0.241458, 0.282709, 0.351479, 0.465921, 0.656639", \ + "0.198014, 0.243293, 0.268677, 0.309906, 0.37872, 0.49317, 0.683891", \ + "0.226046, 0.271448, 0.296887, 0.338141, 0.406895, 0.521376, 0.712141", \ + "0.269578, 0.315109, 0.340535, 0.381816, 0.450643, 0.565148, 0.755879" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0132591, 0.0590848, 0.0910695, 0.145624, 0.238419, 0.393706, 0.652651", \ + "0.0132683, 0.0591267, 0.0910844, 0.145625, 0.238449, 0.393707, 0.652818", \ + "0.0132974, 0.0591277, 0.0911087, 0.145626, 0.23845, 0.393735, 0.652819", \ + "0.0132984, 0.0591287, 0.0911287, 0.145627, 0.238451, 0.393736, 0.65282", \ + "0.0134413, 0.0591297, 0.0911297, 0.14566, 0.238478, 0.393737, 0.652821", \ + "0.013467, 0.059157, 0.091155, 0.145661, 0.238479, 0.393738, 0.652822", \ + "0.013782, 0.059259, 0.091156, 0.145662, 0.23848, 0.393739, 0.652833" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.152447, 0.207801, 0.239259, 0.290548, 0.375922, 0.518004, 0.754721", \ + "0.178569, 0.233947, 0.265441, 0.316667, 0.402086, 0.544112, 0.78099", \ + "0.195359, 0.25072, 0.282271, 0.333457, 0.418901, 0.560948, 0.797787", \ + "0.219912, 0.275243, 0.306735, 0.357963, 0.443307, 0.585396, 0.822164", \ + "0.25685, 0.312162, 0.343648, 0.394895, 0.480248, 0.622316, 0.858977", \ + "0.29994, 0.355248, 0.386724, 0.437968, 0.523365, 0.66537, 0.902099", \ + "0.375741, 0.431049, 0.462531, 0.513757, 0.59916, 0.741203, 0.977938" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180227, 0.0796431, 0.123521, 0.198047, 0.324523, 0.536265, 0.88886", \ + "0.0180237, 0.0796441, 0.123522, 0.198048, 0.324583, 0.536266, 0.888861", \ + "0.0180247, 0.0796451, 0.123523, 0.198049, 0.324584, 0.536366, 0.889014", \ + "0.0180257, 0.0796461, 0.123524, 0.19805, 0.324585, 0.536367, 0.889015", \ + "0.0180267, 0.0796471, 0.123525, 0.198051, 0.324586, 0.536368, 0.889016", \ + "0.0180277, 0.0796481, 0.123526, 0.198052, 0.324587, 0.536369, 0.889017", \ + "0.0180287, 0.0796491, 0.123527, 0.198053, 0.324588, 0.53637, 0.889018" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.113251, 0.158676, 0.184084, 0.225327, 0.294064, 0.408529, 0.599332", \ + "0.140508, 0.185979, 0.211373, 0.252619, 0.321355, 0.435851, 0.626708", \ + "0.158661, 0.204111, 0.229516, 0.27073, 0.339485, 0.453974, 0.644725", \ + "0.185783, 0.231272, 0.256683, 0.29792, 0.366656, 0.481133, 0.671827", \ + "0.226682, 0.272247, 0.297691, 0.338917, 0.407701, 0.522136, 0.712874", \ + "0.275161, 0.32091, 0.346358, 0.387596, 0.456395, 0.570872, 0.761579", \ + "0.356318, 0.402533, 0.428017, 0.469309, 0.538041, 0.652564, 0.843329" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135759, 0.0591231, 0.0910604, 0.145638, 0.238436, 0.393572, 0.652682", \ + "0.0135769, 0.0591491, 0.0910751, 0.145639, 0.23845, 0.39402, 0.652841", \ + "0.0136179, 0.0591532, 0.09108, 0.14564, 0.238569, 0.394021, 0.652842", \ + "0.0136853, 0.0591542, 0.0911214, 0.145641, 0.23857, 0.394022, 0.652843", \ + "0.0139051, 0.0592138, 0.0911429, 0.145656, 0.238571, 0.394023, 0.652844", \ + "0.014191, 0.059331, 0.091212, 0.145672, 0.238572, 0.394024, 0.652845", \ + "0.015003, 0.059618, 0.091344, 0.145704, 0.238573, 0.394025, 0.652846" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0500582, 0.0974271, 0.123565, 0.16501, 0.233679, 0.348141, 0.538802", \ + "0.0778575, 0.127161, 0.15337, 0.194766, 0.2634, 0.377879, 0.568549", \ + "0.0954549, 0.147458, 0.17358, 0.215004, 0.283645, 0.398065, 0.588717", \ + "0.120154, 0.176573, 0.202708, 0.243959, 0.312619, 0.426977, 0.61762", \ + "0.153837, 0.217413, 0.243493, 0.284429, 0.352735, 0.467068, 0.657665", \ + "0.188052, 0.260006, 0.285796, 0.326315, 0.394309, 0.508531, 0.698999", \ + "0.241084, 0.321125, 0.34607, 0.386039, 0.452776, 0.567299, 0.757289" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.013525, 0.0611683, 0.0927419, 0.14629, 0.238219, 0.392959, 0.65189", \ + "0.0164107, 0.0620953, 0.0929781, 0.146291, 0.23822, 0.39422, 0.651947", \ + "0.0193459, 0.0637081, 0.0936608, 0.146316, 0.238221, 0.394221, 0.652393", \ + "0.0240622, 0.0666592, 0.0949432, 0.14667, 0.238222, 0.394222, 0.652394", \ + "0.0314549, 0.0717525, 0.097166, 0.147214, 0.238223, 0.394223, 0.652395", \ + "0.04104, 0.078319, 0.099997, 0.147612, 0.238323, 0.394224, 0.652396", \ + "0.055503, 0.08589, 0.102826, 0.148385, 0.238324, 0.394225, 0.652397" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015459, 0.0414808, 0.0591479, 0.0881351, 0.136679, 0.217238, 0.351548", \ + "0.0153223, 0.0414319, 0.0591331, 0.0881779, 0.136633, 0.217237, 0.351549", \ + "0.0154408, 0.041478, 0.0590754, 0.0882516, 0.136601, 0.218769, 0.351591", \ + "0.0155025, 0.0416123, 0.0592906, 0.0883007, 0.136769, 0.217972, 0.352669", \ + "0.0154468, 0.0415343, 0.0592053, 0.0883163, 0.137003, 0.21759, 0.352852", \ + "0.0153889, 0.0414001, 0.0591529, 0.0881739, 0.136686, 0.218001, 0.352448", \ + "0.0156591, 0.0415129, 0.0591339, 0.0882179, 0.13691, 0.218005, 0.352713" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0079269, 0.0340161, 0.0516081, 0.0804144, 0.128428, 0.208522, 0.342153", \ + "-5.86994e-05, 0.0261244, 0.0439908, 0.0725641, 0.121163, 0.200681, 0.334308", \ + "-0.000365898, 0.0257171, 0.0433579, 0.0722847, 0.12148, 0.200319, 0.333913", \ + "-0.0004697, 0.0258133, 0.0435604, 0.0724886, 0.120264, 0.202341, 0.334208", \ + "-0.000598997, 0.025486, 0.0434074, 0.0724937, 0.121114, 0.200572, 0.33477", \ + "-0.000868998, 0.0251449, 0.0428472, 0.0721522, 0.120702, 0.20187, 0.336296", \ + "-0.0010909, 0.024871, 0.042397, 0.071219, 0.119859, 0.200798, 0.335747" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0355718, 0.0616131, 0.0792682, 0.10825, 0.156709, 0.237408, 0.371671", \ + "0.0355508, 0.0616979, 0.0792942, 0.108608, 0.156781, 0.237432, 0.371722", \ + "0.0354657, 0.0614378, 0.0791714, 0.108302, 0.156677, 0.237432, 0.371789", \ + "0.0355841, 0.0616689, 0.0793486, 0.108276, 0.15698, 0.237477, 0.372059", \ + "0.0352334, 0.0613183, 0.0790702, 0.108171, 0.156626, 0.237032, 0.372893", \ + "0.0354572, 0.0615365, 0.0792125, 0.108341, 0.157054, 0.23797, 0.37179", \ + "0.0350471, 0.0610936, 0.0786866, 0.107762, 0.156317, 0.237392, 0.372233" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0328766, 0.05899, 0.0765778, 0.105379, 0.153488, 0.233526, 0.367255", \ + "0.032746, 0.0588929, 0.0767967, 0.105272, 0.153362, 0.233589, 0.366995", \ + "0.0327572, 0.058837, 0.0764339, 0.105474, 0.154138, 0.233832, 0.366937", \ + "0.0329598, 0.059164, 0.0770119, 0.105768, 0.153695, 0.235684, 0.367427", \ + "0.0330181, 0.0591918, 0.0769672, 0.106122, 0.154625, 0.234609, 0.368315", \ + "0.0339534, 0.0599267, 0.0776465, 0.1068, 0.155531, 0.236646, 0.370733", \ + "0.0353613, 0.0611646, 0.0787476, 0.10763, 0.156342, 0.236911, 0.372176" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0375207, 0.0636561, 0.0812015, 0.110092, 0.158166, 0.238193, 0.371776", \ + "0.0376454, 0.0633805, 0.081108, 0.110668, 0.157899, 0.238671, 0.371494", \ + "0.0387111, 0.064181, 0.0816818, 0.110619, 0.159186, 0.238806, 0.372291", \ + "0.0416889, 0.0665539, 0.0841225, 0.113129, 0.161168, 0.242908, 0.375424", \ + "0.0482696, 0.0718001, 0.0895448, 0.11849, 0.1671, 0.246779, 0.380822", \ + "0.0600736, 0.0819928, 0.0992065, 0.128132, 0.176576, 0.257663, 0.392231", \ + "0.081962, 0.10166, 0.118378, 0.146716, 0.194586, 0.275477, 0.410259" \ + ); + } + } + } + pin (Q_N) { + direction : "output"; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.142686, 0.187183, 0.217989, 0.268892, 0.354026, 0.495849, 0.732102", \ + "0.164543, 0.208984, 0.239851, 0.290716, 0.375826, 0.518556, 0.754183", \ + "0.177934, 0.222334, 0.253169, 0.304006, 0.389187, 0.530973, 0.767305", \ + "0.196667, 0.241096, 0.271932, 0.322865, 0.408004, 0.549777, 0.786111", \ + "0.223556, 0.267975, 0.298855, 0.349814, 0.434933, 0.576724, 0.813109", \ + "0.252024, 0.296423, 0.327238, 0.378181, 0.463343, 0.605149, 0.841485", \ + "0.295594, 0.339951, 0.370701, 0.421688, 0.50691, 0.648783, 0.885078" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122596, 0.0750756, 0.120735, 0.196433, 0.323266, 0.534601, 0.886718", \ + "0.0122606, 0.0750769, 0.120736, 0.196434, 0.324323, 0.535607, 0.88709", \ + "0.0122616, 0.0750779, 0.120753, 0.196435, 0.324324, 0.53574, 0.887091", \ + "0.0122626, 0.0750789, 0.120755, 0.196436, 0.324325, 0.535741, 0.887092", \ + "0.0122636, 0.0750799, 0.120766, 0.196451, 0.324326, 0.535742, 0.887093", \ + "0.012292, 0.0750809, 0.120767, 0.196452, 0.324327, 0.535743, 0.887094", \ + "0.012325, 0.0750819, 0.120768, 0.196453, 0.324328, 0.535744, 0.887095" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.166729, 0.204614, 0.229458, 0.270643, 0.339473, 0.454012, 0.644789", \ + "0.1865, 0.224398, 0.249295, 0.290477, 0.359168, 0.473661, 0.664622", \ + "0.199754, 0.237615, 0.262553, 0.303686, 0.372396, 0.486949, 0.677768", \ + "0.215583, 0.253447, 0.2784, 0.319487, 0.388311, 0.502789, 0.693516", \ + "0.238111, 0.275976, 0.30088, 0.342007, 0.410862, 0.52534, 0.71607", \ + "0.26811, 0.305973, 0.330869, 0.371996, 0.440817, 0.555387, 0.746079", \ + "0.306137, 0.343885, 0.368841, 0.409975, 0.478685, 0.593195, 0.784137" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119797, 0.0570002, 0.0901474, 0.145629, 0.238818, 0.394183, 0.653187", \ + "0.0119807, 0.0570323, 0.0901941, 0.14563, 0.238819, 0.394422, 0.653213", \ + "0.0119817, 0.0570333, 0.0901951, 0.145631, 0.23882, 0.394423, 0.653536", \ + "0.0119827, 0.0570343, 0.0901961, 0.145632, 0.238821, 0.394424, 0.653537", \ + "0.0119837, 0.0570353, 0.0901971, 0.145642, 0.238822, 0.394425, 0.653538", \ + "0.0119847, 0.0570363, 0.0901981, 0.145643, 0.238823, 0.394426, 0.653539", \ + "0.012031, 0.0570373, 0.0901991, 0.145696, 0.238824, 0.394427, 0.65354" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.139095, 0.183538, 0.214379, 0.265337, 0.350555, 0.492293, 0.728603", \ + "0.166394, 0.210821, 0.241656, 0.292647, 0.377735, 0.519591, 0.755982", \ + "0.184551, 0.228961, 0.259869, 0.310724, 0.39589, 0.537813, 0.77414", \ + "0.211746, 0.256197, 0.286979, 0.337964, 0.423129, 0.564883, 0.801223", \ + "0.252815, 0.297216, 0.328101, 0.378981, 0.46415, 0.605989, 0.842246", \ + "0.301656, 0.34597, 0.376759, 0.427742, 0.512908, 0.654701, 0.891134", \ + "0.3829, 0.427056, 0.457868, 0.508808, 0.593979, 0.735852, 0.972277" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122728, 0.075085, 0.120773, 0.196433, 0.323266, 0.53465, 0.886951", \ + "0.0122738, 0.075086, 0.120774, 0.196434, 0.324117, 0.534682, 0.887037", \ + "0.012279, 0.075087, 0.120775, 0.196439, 0.324118, 0.539923, 0.887067", \ + "0.01228, 0.075088, 0.120776, 0.19646, 0.324119, 0.539924, 0.887127", \ + "0.0122832, 0.075089, 0.120777, 0.196461, 0.32412, 0.539925, 0.887128", \ + "0.012363, 0.07509, 0.120778, 0.196462, 0.324121, 0.539926, 0.887129", \ + "0.012474, 0.075091, 0.120779, 0.196463, 0.324122, 0.539927, 0.88713" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.180777, 0.218662, 0.243532, 0.284682, 0.353487, 0.46809, 0.658811", \ + "0.206876, 0.244795, 0.269748, 0.310887, 0.379611, 0.494153, 0.685046", \ + "0.223684, 0.261607, 0.286498, 0.327635, 0.39633, 0.510885, 0.701638", \ + "0.248114, 0.285991, 0.310928, 0.351989, 0.420783, 0.535249, 0.726016", \ + "0.285156, 0.323047, 0.34797, 0.389104, 0.457889, 0.572394, 0.763172", \ + "0.327796, 0.365688, 0.390625, 0.431777, 0.500493, 0.615087, 0.805811", \ + "0.404033, 0.441926, 0.466852, 0.508015, 0.576732, 0.691325, 0.882064" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119459, 0.057, 0.090146, 0.145658, 0.238819, 0.394174, 0.652937", \ + "0.0119469, 0.057001, 0.0901812, 0.145659, 0.239524, 0.394233, 0.653143", \ + "0.0119479, 0.057002, 0.0901822, 0.14566, 0.239525, 0.394234, 0.653487", \ + "0.0119489, 0.057003, 0.0901832, 0.145661, 0.239526, 0.394235, 0.653488", \ + "0.0119499, 0.057004, 0.0901842, 0.145662, 0.239527, 0.394236, 0.653489", \ + "0.0119509, 0.057028, 0.0901852, 0.145663, 0.239528, 0.394237, 0.65349", \ + "0.0119519, 0.057029, 0.090208, 0.145664, 0.239529, 0.394238, 0.653491" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0764051, 0.12098, 0.151907, 0.202753, 0.287906, 0.429744, 0.666169", \ + "0.105907, 0.149896, 0.180705, 0.231662, 0.316715, 0.45862, 0.695765", \ + "0.125631, 0.168719, 0.199421, 0.250246, 0.335297, 0.477154, 0.713465", \ + "0.153729, 0.195565, 0.226147, 0.276943, 0.361934, 0.50373, 0.739995", \ + "0.192699, 0.232673, 0.262892, 0.313395, 0.398289, 0.540043, 0.776308", \ + "0.233931, 0.271684, 0.301157, 0.351191, 0.435917, 0.577577, 0.813656", \ + "0.294985, 0.329637, 0.358268, 0.407692, 0.492004, 0.633082, 0.869434" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124433, 0.0751209, 0.120835, 0.19646, 0.323227, 0.534783, 0.887023", \ + "0.0128951, 0.0751846, 0.120836, 0.196461, 0.3244, 0.534784, 0.887654", \ + "0.0136623, 0.075217, 0.120837, 0.196462, 0.324401, 0.535646, 0.889772", \ + "0.0148419, 0.0753503, 0.120861, 0.196469, 0.324402, 0.535647, 0.889773", \ + "0.0167849, 0.0756246, 0.120977, 0.196527, 0.324403, 0.535648, 0.889774", \ + "0.019601, 0.076222, 0.121166, 0.196559, 0.324404, 0.535649, 0.889775", \ + "0.022947, 0.076922, 0.121409, 0.196679, 0.324405, 0.53565, 0.889776" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.007981, 0.0342848, 0.0519164, 0.0809304, 0.129339, 0.209947, 0.344176", \ + "1.51023e-05, 0.0264024, 0.0440156, 0.0731101, 0.122114, 0.202652, 0.336498", \ + "-0.0002966, 0.0259366, 0.0436403, 0.0729137, 0.12137, 0.202327, 0.335994", \ + "-0.000390898, 0.0259283, 0.0436596, 0.0726166, 0.121315, 0.201614, 0.336384", \ + "-0.0005542, 0.0257663, 0.0435041, 0.0726487, 0.121047, 0.20156, 0.337351", \ + "-0.000777498, 0.025426, 0.0431932, 0.0722882, 0.120877, 0.20204, 0.336256", \ + "-0.000992499, 0.025168, 0.042744, 0.071823, 0.120549, 0.201564, 0.336395" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0154405, 0.0412707, 0.0587937, 0.0876931, 0.135876, 0.216122, 0.349756", \ + "0.0153279, 0.0412217, 0.0589914, 0.0877199, 0.136557, 0.216067, 0.349668", \ + "0.0154408, 0.0413388, 0.0587834, 0.0878216, 0.137191, 0.216083, 0.349923", \ + "0.0155005, 0.0414736, 0.0591833, 0.0882177, 0.136021, 0.217083, 0.351332", \ + "0.0154506, 0.0412908, 0.0590913, 0.0881233, 0.13684, 0.217312, 0.35042", \ + "0.0154175, 0.0412132, 0.0589229, 0.0880589, 0.136639, 0.217346, 0.352438", \ + "0.0156222, 0.0413099, 0.0588389, 0.0877529, 0.13636, 0.216934, 0.35171" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0462265, 0.0725573, 0.0902232, 0.119238, 0.167685, 0.248239, 0.382644", \ + "0.0465798, 0.0729636, 0.0906117, 0.119684, 0.168574, 0.248576, 0.383093", \ + "0.0477611, 0.0740337, 0.0917488, 0.120865, 0.169508, 0.252212, 0.384198", \ + "0.0503774, 0.0767418, 0.0944254, 0.123387, 0.172108, 0.252486, 0.388473", \ + "0.0563045, 0.0826022, 0.100357, 0.129504, 0.177981, 0.258563, 0.393983", \ + "0.0682662, 0.0944795, 0.112247, 0.141393, 0.190004, 0.271091, 0.405072", \ + "0.0937662, 0.119817, 0.137433, 0.166604, 0.21521, 0.296263, 0.431" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0355748, 0.0614128, 0.0789677, 0.107814, 0.155992, 0.236137, 0.369825", \ + "0.035555, 0.0614876, 0.0794921, 0.107983, 0.156404, 0.236239, 0.369834", \ + "0.0354733, 0.0613059, 0.0788962, 0.108121, 0.156257, 0.236894, 0.369813", \ + "0.035592, 0.061576, 0.0792059, 0.107954, 0.156216, 0.238149, 0.369876", \ + "0.0351875, 0.0611903, 0.0788092, 0.107951, 0.156511, 0.236274, 0.370559", \ + "0.0354155, 0.061275, 0.0790115, 0.107845, 0.156838, 0.237845, 0.372382", \ + "0.0350246, 0.0608416, 0.0783766, 0.107302, 0.155995, 0.236362, 0.371634" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0374868, 0.0639026, 0.0816056, 0.110579, 0.158959, 0.239715, 0.374008", \ + "0.0376472, 0.0636144, 0.0814633, 0.110447, 0.159349, 0.239437, 0.37418", \ + "0.0387574, 0.0643089, 0.0819554, 0.111239, 0.159517, 0.240573, 0.376357", \ + "0.041708, 0.0665596, 0.0842886, 0.113293, 0.16189, 0.242311, 0.379753", \ + "0.0482819, 0.0720053, 0.0895253, 0.118595, 0.16711, 0.247366, 0.382681", \ + "0.0600907, 0.0821963, 0.0994482, 0.128245, 0.176784, 0.257679, 0.392", \ + "0.08199, 0.101907, 0.118644, 0.147128, 0.195339, 0.276053, 0.410801" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00228154; + rise_capacitance : 0.00247817; + rise_capacitance_range (0.00247817, 0.00247817); + fall_capacitance : 0.00208491; + fall_capacitance_range (0.00208491, 0.00208491); + timing () { + related_pin : "GATE_N"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.051349, 0.0122326, 0.0267168, 0.0261555", \ + "-0.119553, -0.0534757, -0.0357467, -0.0323903", \ + "-0.155306, -0.0875066, -0.0674591, -0.0636829", \ + "-0.193454, -0.124303, -0.102989, -0.0974008" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0586846, -0.0551547, -0.0735825, -0.106064", \ + "-0.124545, -0.119684, -0.135398, -0.167091", \ + "-0.165593, -0.155689, -0.172695, -0.20493", \ + "-0.209644, -0.195777, -0.210337, -0.242026" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0611298, -0.00474515, -0.0190015, -0.0180604", \ + "0.127041, 0.061115, 0.043614, 0.0406373", \ + "0.163021, 0.0953739, 0.0755542, 0.0693328", \ + "0.198851, 0.13255, 0.111464, 0.103304" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.063575, 0.0726254, 0.117303, 0.19511", \ + "0.129536, 0.132416, 0.166867, 0.230318", \ + "0.170736, 0.168801, 0.196981, 0.252955", \ + "0.215041, 0.206773, 0.232937, 0.283348" \ + ); + } + } + internal_power () { + when : "(GATE_N * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00477971, 0.00495084, 0.00575541, 0.00772201, 0.0119943, 0.0209614, 0.0396815" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00477626, 0.00525715, 0.00613792, 0.00805616, 0.0127786, 0.0215868, 0.0406343" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0278799, 0.0281101, 0.0288364, 0.0307313, 0.0349877, 0.0442671, 0.0634461" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0335886, 0.0418899, 0.0430093, 0.0450654, 0.0496353, 0.0590868, 0.078744" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0278799, 0.0281101, 0.0288364, 0.0307313, 0.0349877, 0.0442671, 0.0634461" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0335886, 0.0418899, 0.0430093, 0.0450654, 0.0496353, 0.0590868, 0.078744" \ + ); + } + } + } + pin (GATE_N) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00244812; + rise_capacitance : 0.00194469; + rise_capacitance_range (0.00194469, 0.00194469); + fall_capacitance : 0.0027358; + fall_capacitance_range (0.0027358, 0.0027358); + timing () { + related_pin : "GATE_N"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0775146, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0204895, 0.0206402, 0.0215779, 0.0239048, 0.0289122, 0.040047, 0.0631328" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0196646, 0.0200982, 0.0212524, 0.0236485, 0.0295006, 0.040449, 0.0641538" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0222051, 0.0242243, 0.0252269, 0.0274961, 0.0328437, 0.0441705, 0.0674135" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0223687, 0.0244037, 0.0253768, 0.0276528, 0.032944, 0.0443298, 0.0676086" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0133178, 0.0137989, 0.0149798, 0.0173801, 0.0232838, 0.0343215, 0.0583244" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0222051, 0.0242243, 0.0252269, 0.0274961, 0.0328437, 0.0441705, 0.0674135" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0133178, 0.0137989, 0.0149798, 0.0173801, 0.0232838, 0.0343215, 0.0583244" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0032405; + rise_capacitance : 0.00342443; + rise_capacitance_range (0.00342443, 0.00342443); + fall_capacitance : 0.00313014; + fall_capacitance_range (0.00313014, 0.00313014); + timing () { + related_pin : "GATE_N"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0195615, -0.0796199, -0.0961548, -0.10171", \ + "0.0272076, -0.033104, -0.0507929, -0.0583267", \ + "0.0550064, -0.00427779, -0.0215869, -0.0295405", \ + "0.0909163, 0.0308367, 0.0154158, 0.00885461" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0293423, 0.0896032, 0.106442, 0.112503", \ + "-0.0172243, 0.0407434, 0.0612825, 0.0665737", \ + "-0.047291, 0.012145, 0.029682, 0.0351904", \ + "-0.0828212, -0.0253387, -0.00976587, -0.00295154" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.131989, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.08552e-05, 2.39968e-05, 2.3171e-05, 2.76716e-05, 2.91188e-05, 2.37502e-05, 2.32206e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000207488, 0.000108465, 8.18009e-05, 6.0835e-05, 3.99495e-05, 2.83325e-05, 1.18118e-05" \ + ); + } + } + internal_power () { + when : "(!D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000208852, -0.000112359, -8.55282e-05, -6.42258e-05, -4.29491e-05, -3.1765e-05, -1.52508e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000208852, 0.000112359, 8.55282e-05, 6.42258e-05, 4.29491e-05, 3.1765e-05, 1.52508e-05" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000208852, -0.000112359, -8.55282e-05, -6.42258e-05, -4.29491e-05, -3.1765e-05, -1.52508e-05" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000208852, 0.000112359, 8.55282e-05, 6.42258e-05, 4.29491e-05, 3.1765e-05, 1.52508e-05" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE_N'"; + } + } + cell (sg13g2_dllrq_1) { + area : 29.0304; + cell_footprint : "DLLRQ"; + cell_leakage_power : 1158.03; + leakage_power () { + value : 1111.41; + when : "!RESET_B*!Q*!D*!GATE_N"; + } + leakage_power () { + value : 1029.36; + when : "!RESET_B*!Q*!D*GATE_N"; + } + leakage_power () { + value : 1051.78; + when : "!RESET_B*!Q*D*!GATE_N"; + } + leakage_power () { + value : 1156.07; + when : "!RESET_B*!Q*D*GATE_N"; + } + leakage_power () { + value : 1140.12; + when : "RESET_B*GATE_N*!D*!Q"; + } + leakage_power () { + value : 1140.12; + when : "RESET_B*GATE_N*!D*Q"; + } + leakage_power () { + value : 1266.82; + when : "RESET_B*GATE_N*D*!Q"; + } + leakage_power () { + value : 1266.82; + when : "RESET_B*GATE_N*D*Q"; + } + leakage_power () { + value : 1195.59; + when : "D*!GATE_N*RESET_B*Q"; + } + leakage_power () { + value : 1222.21; + when : "!D*!GATE_N*RESET_B*!Q"; + } + pin (Q) { + direction : "output"; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.12636, 0.177016, 0.208178, 0.259291, 0.344587, 0.48666, 0.723254", \ + "0.146274, 0.196932, 0.228112, 0.279191, 0.364495, 0.50663, 0.743532", \ + "0.159689, 0.210352, 0.241476, 0.292553, 0.377881, 0.519883, 0.756533", \ + "0.175665, 0.22635, 0.257518, 0.308611, 0.393926, 0.535888, 0.772509", \ + "0.198403, 0.249096, 0.280262, 0.331347, 0.416626, 0.558699, 0.795291", \ + "0.228324, 0.279061, 0.310237, 0.361364, 0.446632, 0.588787, 0.825387", \ + "0.266531, 0.317375, 0.34856, 0.399671, 0.484993, 0.627011, 0.863811" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0162126, 0.0774518, 0.122519, 0.197918, 0.324788, 0.536347, 0.889049", \ + "0.0162136, 0.0774528, 0.12252, 0.197947, 0.325159, 0.536456, 0.889486", \ + "0.0162146, 0.0774538, 0.122521, 0.197948, 0.32516, 0.536457, 0.889487", \ + "0.0162156, 0.0774598, 0.122522, 0.197949, 0.325161, 0.536458, 0.889488", \ + "0.0162304, 0.0774702, 0.122523, 0.19795, 0.325162, 0.536459, 0.889489", \ + "0.016422, 0.077517, 0.122541, 0.197951, 0.325163, 0.53646, 0.88949", \ + "0.016724, 0.077615, 0.122597, 0.197979, 0.325164, 0.536461, 0.889491" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.110866, 0.152562, 0.177668, 0.218859, 0.287572, 0.402095, 0.592745", \ + "0.132999, 0.174718, 0.199848, 0.241013, 0.309777, 0.424332, 0.615145", \ + "0.146499, 0.18823, 0.21336, 0.254522, 0.323286, 0.437838, 0.62865", \ + "0.165438, 0.207174, 0.232292, 0.273449, 0.342203, 0.456694, 0.647406", \ + "0.19273, 0.234506, 0.259627, 0.300786, 0.369568, 0.484058, 0.674763", \ + "0.220999, 0.262823, 0.287955, 0.329129, 0.397924, 0.512459, 0.703096", \ + "0.264871, 0.306812, 0.331983, 0.373148, 0.441954, 0.556446, 0.747323" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121835, 0.0575699, 0.090435, 0.145667, 0.238831, 0.39419, 0.653132", \ + "0.0121845, 0.0575709, 0.0904642, 0.145724, 0.238854, 0.39443, 0.653133", \ + "0.0122004, 0.0575719, 0.0904652, 0.145742, 0.238855, 0.394431, 0.654027", \ + "0.0122522, 0.0575729, 0.0904662, 0.145743, 0.238856, 0.394432, 0.654028", \ + "0.0123134, 0.0575739, 0.0904956, 0.145816, 0.238857, 0.394433, 0.654029", \ + "0.01246, 0.057628, 0.0904966, 0.145817, 0.238865, 0.394434, 0.65403", \ + "0.012728, 0.057686, 0.090514, 0.145831, 0.23888, 0.394435, 0.654031" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.140581, 0.19104, 0.222203, 0.273344, 0.358642, 0.500697, 0.737411", \ + "0.166631, 0.217109, 0.248281, 0.299364, 0.384708, 0.52692, 0.763447", \ + "0.183393, 0.23389, 0.265047, 0.316099, 0.401421, 0.543451, 0.780073", \ + "0.2079, 0.258396, 0.28958, 0.340666, 0.425946, 0.567947, 0.804598", \ + "0.244802, 0.29528, 0.326451, 0.377533, 0.462847, 0.604825, 0.84141", \ + "0.287606, 0.338107, 0.369281, 0.420363, 0.505707, 0.647753, 0.884366", \ + "0.363632, 0.41369, 0.445294, 0.49638, 0.581257, 0.723778, 0.959945" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.016109, 0.0774303, 0.122497, 0.197952, 0.324839, 0.536347, 0.889049", \ + "0.01611, 0.0774313, 0.122498, 0.197953, 0.324979, 0.536647, 0.88905", \ + "0.016111, 0.0774323, 0.122499, 0.197954, 0.32498, 0.537257, 0.889051", \ + "0.016112, 0.0774333, 0.1225, 0.197955, 0.324981, 0.537258, 0.889286", \ + "0.016113, 0.0774343, 0.122501, 0.197956, 0.324982, 0.537259, 0.889287", \ + "0.016114, 0.0774353, 0.122502, 0.197957, 0.324983, 0.53726, 0.889288", \ + "0.016115, 0.0774363, 0.122503, 0.197958, 0.324984, 0.537261, 0.889289" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.106511, 0.148362, 0.173483, 0.214609, 0.283352, 0.397899, 0.588728", \ + "0.133762, 0.175613, 0.200734, 0.241897, 0.310649, 0.425155, 0.616014", \ + "0.151773, 0.193647, 0.218777, 0.25993, 0.328682, 0.443133, 0.634024", \ + "0.178689, 0.22064, 0.245739, 0.286938, 0.355665, 0.470122, 0.660844", \ + "0.219283, 0.26128, 0.286413, 0.327591, 0.396365, 0.510821, 0.701535", \ + "0.26706, 0.3093, 0.334463, 0.375616, 0.444383, 0.55891, 0.749624", \ + "0.347869, 0.390611, 0.415774, 0.456966, 0.525774, 0.640328, 0.831035" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124599, 0.0576001, 0.0904314, 0.145744, 0.238857, 0.39419, 0.653126", \ + "0.012514, 0.0576011, 0.0904758, 0.145745, 0.238859, 0.394378, 0.653127", \ + "0.0125696, 0.0576021, 0.0904768, 0.145746, 0.23886, 0.394379, 0.653725", \ + "0.0126616, 0.0576031, 0.0905344, 0.145747, 0.238861, 0.39438, 0.653726", \ + "0.0128728, 0.0576769, 0.0905354, 0.14575, 0.238869, 0.394381, 0.653727", \ + "0.01331, 0.057788, 0.090545, 0.14578, 0.23887, 0.394382, 0.653728", \ + "0.014289, 0.058146, 0.090738, 0.145827, 0.238871, 0.394383, 0.653729" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0465831, 0.0885356, 0.113736, 0.154925, 0.223735, 0.338293, 0.529167", \ + "0.0730298, 0.116319, 0.141707, 0.183063, 0.251914, 0.366515, 0.557335", \ + "0.0894796, 0.134592, 0.159987, 0.201355, 0.270329, 0.384812, 0.575674", \ + "0.1127, 0.160591, 0.186328, 0.227632, 0.296661, 0.41135, 0.602145", \ + "0.144931, 0.198382, 0.22483, 0.266509, 0.335469, 0.449899, 0.640765", \ + "0.178625, 0.239975, 0.268165, 0.311466, 0.3818, 0.496622, 0.688071", \ + "0.234017, 0.306636, 0.33821, 0.384274, 0.456838, 0.575835, 0.770109" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121279, 0.0576834, 0.0906345, 0.145821, 0.238992, 0.394229, 0.653215", \ + "0.0147854, 0.0587096, 0.0912361, 0.146216, 0.239103, 0.394389, 0.653331", \ + "0.0172096, 0.060007, 0.0919973, 0.146748, 0.239362, 0.394472, 0.653971", \ + "0.0211792, 0.0622282, 0.0934624, 0.147526, 0.239921, 0.394984, 0.653972", \ + "0.0273015, 0.0676754, 0.0972193, 0.149788, 0.240937, 0.395695, 0.654176", \ + "0.035276, 0.077232, 0.105308, 0.156139, 0.245996, 0.398947, 0.656422", \ + "0.048498, 0.093999, 0.120234, 0.169358, 0.257829, 0.410392, 0.665997" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0572403, 0.107808, 0.139027, 0.19003, 0.275297, 0.417394, 0.654056", \ + "0.07469, 0.12618, 0.157381, 0.20852, 0.293838, 0.435865, 0.672515", \ + "0.0875266, 0.14027, 0.171543, 0.222736, 0.308098, 0.450143, 0.686888", \ + "0.102923, 0.158267, 0.189719, 0.240905, 0.326311, 0.468415, 0.705068", \ + "0.125159, 0.185642, 0.217202, 0.269578, 0.354655, 0.496717, 0.733594", \ + "0.154989, 0.2246, 0.25768, 0.310716, 0.397639, 0.540844, 0.777985", \ + "0.191098, 0.273182, 0.31098, 0.366855, 0.456631, 0.60417, 0.845165" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0156007, 0.077299, 0.122526, 0.197912, 0.324773, 0.536359, 0.889018", \ + "0.0171729, 0.0779013, 0.122761, 0.19808, 0.324826, 0.53636, 0.889019", \ + "0.0189507, 0.0786701, 0.123264, 0.198356, 0.325007, 0.53648, 0.889654", \ + "0.0222766, 0.0804554, 0.124282, 0.198829, 0.325335, 0.536707, 0.889655", \ + "0.0281508, 0.0850791, 0.127291, 0.200814, 0.32641, 0.537332, 0.889656", \ + "0.03698, 0.094798, 0.134819, 0.207032, 0.331295, 0.540723, 0.891648", \ + "0.049426, 0.112196, 0.151336, 0.220891, 0.343973, 0.553315, 0.902199" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0108728, 0.0112136, 0.0112665, 0.0112407, 0.0111076, 0.0107546, 0.0102518", \ + "0.0108722, 0.0112565, 0.011399, 0.0114088, 0.0111971, 0.010816, 0.0104127", \ + "0.0109157, 0.0112751, 0.0112667, 0.0113909, 0.0111196, 0.0116286, 0.010367", \ + "0.0109314, 0.0113616, 0.0114541, 0.0114029, 0.0112702, 0.0115314, 0.0105638", \ + "0.0108617, 0.0112487, 0.0113525, 0.0114261, 0.0114365, 0.0109796, 0.0110944", \ + "0.0111142, 0.0114413, 0.0115527, 0.0115815, 0.0115035, 0.0116784, 0.0113631", \ + "0.0117458, 0.0120131, 0.0119835, 0.0120297, 0.0120969, 0.0120502, 0.0119812" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0038268, 0.004457, 0.0044793, 0.0044357, 0.0042811, 0.0039899, 0.0034384", \ + "0.000147801, 0.000768801, 0.0008642, 0.000823801, 0.0007699, 0.0004196, -0.0002297", \ + "-5.96996e-05, 0.000638301, 0.0005804, 0.000567699, 0.0006133, 0.0001204, -0.0001189", \ + "-0.000100099, 0.000579201, 0.000671599, 0.000675101, 0.000339501, 0.0005446, -0.0003723", \ + "-0.000252999, 0.000353802, 0.0005005, 0.0005683, 0.0005778, 0.0003439, -0.000507198", \ + "-0.000407901, 0.000155199, 0.000193801, 0.000341199, 0.000291701, 0.0003879, 0.000351701", \ + "-0.000748403, -0.000179999, -0.000176802, -0.000250198, -0.000247397, -0.000193499, -7.5601e-05" \ + ); + } + } + internal_power () { + related_pin : "GATE_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0106432, 0.0110146, 0.0110464, 0.0110355, 0.0108751, 0.0105391, 0.0100768", \ + "0.0104584, 0.0108804, 0.0108747, 0.0110061, 0.010706, 0.0104152, 0.0098399", \ + "0.0104917, 0.0108083, 0.0108887, 0.0110264, 0.010956, 0.0105173, 0.0098776", \ + "0.0104336, 0.0108777, 0.010938, 0.0108194, 0.0108781, 0.0102655, 0.011158", \ + "0.0102064, 0.0106444, 0.0107434, 0.0107888, 0.0106297, 0.0101844, 0.0108626", \ + "0.0101353, 0.010523, 0.0106378, 0.0106745, 0.0107273, 0.0106658, 0.0100252", \ + "0.0096691, 0.0100042, 0.0100166, 0.0100805, 0.0100741, 0.0101161, 0.0100226" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0087302, 0.0093821, 0.0094015, 0.0093646, 0.0092133, 0.0089182, 0.0083364", \ + "0.0084624, 0.009078, 0.0091865, 0.0091467, 0.009019, 0.0086906, 0.0081194", \ + "0.0084979, 0.0091624, 0.0091769, 0.0091105, 0.0092871, 0.0088087, 0.0082367", \ + "0.0086855, 0.0093432, 0.0094582, 0.0094499, 0.009127, 0.0092739, 0.0085424", \ + "0.0088654, 0.0094815, 0.0096096, 0.009675, 0.0097194, 0.0093605, 0.0085106", \ + "0.0095785, 0.0101379, 0.010227, 0.0103142, 0.0102944, 0.010397, 0.0101742", \ + "0.0107626, 0.0112813, 0.0112762, 0.0111938, 0.0112348, 0.0111001, 0.011411" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0156614, 0.0161309, 0.0161728, 0.0161023, 0.015918, 0.0155631, 0.0156618", \ + "0.0155105, 0.0157643, 0.0158946, 0.0158708, 0.0157008, 0.0152538, 0.014691", \ + "0.0159573, 0.0161345, 0.016105, 0.0161675, 0.0160683, 0.0156089, 0.0158761", \ + "0.0178057, 0.0175998, 0.0176924, 0.0177422, 0.0174641, 0.0177101, 0.0166096", \ + "0.0227879, 0.0220919, 0.0220134, 0.0221364, 0.0220682, 0.0215462, 0.0218881", \ + "0.0342529, 0.0327177, 0.0324396, 0.032251, 0.0321077, 0.0321291, 0.03211", \ + "0.0580013, 0.0551228, 0.0546055, 0.0541189, 0.0537881, 0.0535725, 0.0533293" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0120763, 0.0126896, 0.0127288, 0.0126655, 0.0125514, 0.0122488, 0.0117453", \ + "0.0125724, 0.012861, 0.0129277, 0.0132131, 0.0128773, 0.0125707, 0.0120542", \ + "0.0137312, 0.0138479, 0.01389, 0.0137596, 0.0141064, 0.0136909, 0.0130394", \ + "0.0163639, 0.0160791, 0.0161722, 0.0162004, 0.0159645, 0.0161, 0.0155152", \ + "0.0222734, 0.0213459, 0.0213952, 0.0214289, 0.0214937, 0.0212426, 0.0204451", \ + "0.0340373, 0.0322055, 0.0319794, 0.0319904, 0.031972, 0.0319089, 0.0319615", \ + "0.0583827, 0.0552383, 0.0546486, 0.0542132, 0.0538942, 0.0537871, 0.0536501" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00217143; + rise_capacitance : 0.00240541; + rise_capacitance_range (0.00240541, 0.00240541); + fall_capacitance : 0.00193744; + fall_capacitance_range (0.00193744, 0.00193744); + timing () { + related_pin : "GATE_N"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0464586, 0.0147285, 0.0292886, 0.0288539", \ + "-0.114561, -0.0509292, -0.0331243, -0.0323903", \ + "-0.150162, -0.0822618, -0.0647607, -0.0608579", \ + "-0.188057, -0.118805, -0.100164, -0.0914977" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0562394, -0.0526588, -0.0710107, -0.103366", \ + "-0.124545, -0.117137, -0.135398, -0.167091", \ + "-0.165593, -0.153067, -0.172695, -0.202105", \ + "-0.206946, -0.193028, -0.210337, -0.242026" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0537942, -0.0097368, -0.024145, -0.0234572", \ + "0.119553, 0.0560221, 0.0383691, 0.0378883", \ + "0.155306, 0.0901291, 0.0701575, 0.0665078", \ + "0.193454, 0.127052, 0.105814, 0.100352" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0611298, 0.0701296, 0.114731, 0.19511", \ + "0.127041, 0.129869, 0.164245, 0.22482", \ + "0.170736, 0.166179, 0.194282, 0.25013", \ + "0.212343, 0.204024, 0.230112, 0.280396" \ + ); + } + } + internal_power () { + when : "(GATE_N * RESET_B * Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00413847, 0.00428977, 0.0050933, 0.007063, 0.011237, 0.0202775, 0.039014" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00523014, 0.00567876, 0.00657583, 0.00849691, 0.0131985, 0.0219815, 0.0410645" \ + ); + } + } + internal_power () { + when : "!RESET_B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0179447, 0.0180317, 0.0188177, 0.0207322, 0.0250077, 0.0340156, 0.0528676" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0243943, 0.0284315, 0.0293888, 0.0314161, 0.0359866, 0.0453844, 0.0651538" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0179447, 0.0180317, 0.0188177, 0.0207322, 0.0250077, 0.0340156, 0.0528676" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0243943, 0.0284315, 0.0293888, 0.0314161, 0.0359866, 0.0453844, 0.0651538" \ + ); + } + } + } + pin (GATE_N) { + clock : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00231893; + rise_capacitance : 0.00277766; + rise_capacitance_range (0.00277766, 0.00277766); + fall_capacitance : 0.00208956; + fall_capacitance_range (0.00208956, 0.00208956); + timing () { + related_pin : "GATE_N"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0697327, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0203803, 0.0204908, 0.0214464, 0.0237644, 0.0287855, 0.0399084, 0.0630633" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0193545, 0.0197831, 0.0209636, 0.0233348, 0.0291961, 0.0401517, 0.0639101" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !Q)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0238422, 0.0245111, 0.0256272, 0.0280968, 0.033927, 0.0452245, 0.0692584" \ + ); + } + } + internal_power () { + when : "(!D * !RESET_B * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.011842, 0.0120871, 0.0130488, 0.0154535, 0.0205964, 0.0318491, 0.0550551" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0239177, 0.0245772, 0.0256954, 0.0281973, 0.0340059, 0.0453501, 0.0694556" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.011842, 0.0120871, 0.0130488, 0.0154535, 0.0205964, 0.0318491, 0.0550551" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0238422, 0.0245111, 0.0256272, 0.0280968, 0.033927, 0.0452245, 0.0692584" \ + ); + } + } + } + pin (RESET_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00313169; + rise_capacitance : 0.00327519; + rise_capacitance_range (0.00327519, 0.00327519); + fall_capacitance : 0.00298819; + fall_capacitance_range (0.00298819, 0.00298819); + timing () { + related_pin : "GATE_N"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0244519, -0.0871074, -0.10387, -0.107106", \ + "0.00724098, -0.0534757, -0.0717722, -0.0775697", \ + "0.024145, -0.0357467, -0.0512689, -0.060615", \ + "0.0396474, -0.0186453, -0.0354333, -0.0413215" \ + ); + } + } + timing () { + related_pin : "GATE_N"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0342327, 0.0945949, 0.111585, 0.1179", \ + "0.000246497, 0.061115, 0.077017, 0.0858167", \ + "-0.0164297, 0.0409916, 0.059364, 0.0662649", \ + "-0.0342506, 0.0241433, 0.0410833, 0.0472246" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.126801, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.05859e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.05859e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + when : "(!D * GATE_N * !Q)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.39483e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.39483e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-3.39483e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "3.39483e-05, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + latch (IQ,IQN) { + clear : "RESET_B'"; + data_in : "D"; + enable : "GATE_N'"; + } + } + cell (sg13g2_dlygate4sd1_1) { + area : 14.5152; + cell_footprint : "DLY1"; + cell_leakage_power : 473.126; + leakage_power () { + value : 510.686; + when : "!A&!X"; + } + leakage_power () { + value : 435.566; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0796445, 0.127264, 0.158265, 0.209447, 0.294626, 0.436574, 0.673162", \ + "0.0999786, 0.147611, 0.178698, 0.229771, 0.315025, 0.457037, 0.693922", \ + "0.11262, 0.160156, 0.19119, 0.242128, 0.327365, 0.469282, 0.705993", \ + "0.125928, 0.173423, 0.204364, 0.255466, 0.34073, 0.482718, 0.71925", \ + "0.140889, 0.188397, 0.219383, 0.270494, 0.355765, 0.497885, 0.734367", \ + "0.15184, 0.199369, 0.230382, 0.281429, 0.366786, 0.508891, 0.74551", \ + "0.151841, 0.19937, 0.230383, 0.28143, 0.366787, 0.508892, 0.745511" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0126774, 0.0755715, 0.121229, 0.197125, 0.32393, 0.535492, 0.88818", \ + "0.0126784, 0.0755725, 0.12123, 0.197126, 0.324044, 0.535762, 0.88856", \ + "0.0127202, 0.0755735, 0.121231, 0.197127, 0.324045, 0.535763, 0.889826", \ + "0.0128466, 0.0755745, 0.121232, 0.197128, 0.324046, 0.535764, 0.889827", \ + "0.013064, 0.0755755, 0.121274, 0.197129, 0.324047, 0.535765, 0.889828", \ + "0.013398, 0.075588, 0.121275, 0.19713, 0.324048, 0.535766, 0.889829", \ + "0.01412, 0.075698, 0.121281, 0.197131, 0.324049, 0.535767, 0.88983" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0920717, 0.131943, 0.157118, 0.198461, 0.267632, 0.382798, 0.574671", \ + "0.121095, 0.161007, 0.186148, 0.227505, 0.296654, 0.411821, 0.6037", \ + "0.140585, 0.1805, 0.205676, 0.247076, 0.316189, 0.431329, 0.6233", \ + "0.169786, 0.209694, 0.234853, 0.276264, 0.345378, 0.460499, 0.652288", \ + "0.214704, 0.254682, 0.279875, 0.321212, 0.390425, 0.505519, 0.697338", \ + "0.271052, 0.311266, 0.33642, 0.37787, 0.447073, 0.56221, 0.753959", \ + "0.368532, 0.409085, 0.434281, 0.475764, 0.544886, 0.660079, 0.851927" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0100392, 0.0566041, 0.0901616, 0.146038, 0.239764, 0.396016, 0.656429", \ + "0.0100728, 0.0566051, 0.0901996, 0.146066, 0.239768, 0.396017, 0.656611", \ + "0.0101255, 0.0566326, 0.0902006, 0.146067, 0.239844, 0.396018, 0.657324", \ + "0.0102584, 0.0566336, 0.0902016, 0.146068, 0.239845, 0.396019, 0.657325", \ + "0.0105019, 0.056687, 0.0902026, 0.146118, 0.239846, 0.39602, 0.657326", \ + "0.01093, 0.056762, 0.090232, 0.146119, 0.239847, 0.396021, 0.657327", \ + "0.011715, 0.056984, 0.090311, 0.14612, 0.239866, 0.396022, 0.657328" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0197425, 0.0202841, 0.020291, 0.0202918, 0.0200872, 0.0197359, 0.0193242", \ + "0.0196891, 0.0202284, 0.020393, 0.0202614, 0.0200749, 0.0197676, 0.0193343", \ + "0.0201657, 0.0207045, 0.0207278, 0.0207329, 0.0205002, 0.0205489, 0.0200449", \ + "0.0213806, 0.0219157, 0.0220129, 0.0220147, 0.0218607, 0.0220762, 0.0217128", \ + "0.0240049, 0.0244706, 0.0245633, 0.0246508, 0.0246565, 0.0242605, 0.024384", \ + "0.0298955, 0.0302275, 0.0303437, 0.0302931, 0.0303708, 0.0304653, 0.0303265", \ + "0.0421595, 0.0424695, 0.0423791, 0.0423697, 0.0425586, 0.0425251, 0.0424654" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0188261, 0.0194185, 0.0194379, 0.0193978, 0.0192513, 0.0190419, 0.0184792", \ + "0.0189627, 0.0195547, 0.0196323, 0.0196573, 0.0195713, 0.0191577, 0.0186596", \ + "0.0194413, 0.0201251, 0.0200636, 0.0200904, 0.0204274, 0.0196611, 0.0194422", \ + "0.0206344, 0.0212956, 0.0213809, 0.0214015, 0.0211101, 0.0212185, 0.0205644", \ + "0.0234513, 0.024023, 0.0241485, 0.0242185, 0.0242807, 0.0240186, 0.0233279", \ + "0.0292266, 0.0297726, 0.0298333, 0.0299105, 0.0299455, 0.0300099, 0.0298778", \ + "0.0416276, 0.0420621, 0.0420854, 0.0420275, 0.0420907, 0.0417936, 0.0421592" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00156812; + rise_capacitance : 0.00158737; + rise_capacitance_range (0.00158737, 0.00158737); + fall_capacitance : 0.00154887; + fall_capacitance_range (0.00154887, 0.00154887); + } + } + cell (sg13g2_dlygate4sd2_1) { + area : 14.5152; + cell_footprint : "DLY2"; + cell_leakage_power : 553.328; + leakage_power () { + value : 590.888; + when : "!A&!X"; + } + leakage_power () { + value : 515.768; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.119387, 0.167911, 0.198929, 0.249998, 0.335395, 0.477337, 0.71393", \ + "0.142708, 0.191227, 0.222251, 0.273359, 0.35868, 0.500602, 0.737503", \ + "0.158123, 0.206642, 0.237677, 0.288763, 0.374046, 0.516046, 0.752615", \ + "0.175586, 0.22412, 0.255186, 0.306191, 0.391541, 0.533513, 0.770041", \ + "0.19757, 0.246162, 0.277183, 0.328299, 0.413562, 0.555589, 0.792165", \ + "0.220394, 0.269073, 0.300114, 0.35118, 0.436547, 0.578565, 0.81519", \ + "0.232156, 0.280974, 0.311999, 0.363016, 0.448467, 0.590609, 0.82725" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014061, 0.076162, 0.121663, 0.197381, 0.324237, 0.535821, 0.888409", \ + "0.014062, 0.0761677, 0.121664, 0.197382, 0.326047, 0.535822, 0.88869", \ + "0.0140866, 0.0761721, 0.121665, 0.197383, 0.326048, 0.535844, 0.888691", \ + "0.0141634, 0.0761878, 0.121666, 0.197384, 0.326049, 0.535845, 0.888692", \ + "0.0142779, 0.0762219, 0.121669, 0.197386, 0.32605, 0.535846, 0.888693", \ + "0.014686, 0.076295, 0.121708, 0.197387, 0.326051, 0.535847, 0.888694", \ + "0.015267, 0.076417, 0.12181, 0.197388, 0.326052, 0.53589, 0.888695" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.133647, 0.178699, 0.204397, 0.246019, 0.315179, 0.430589, 0.622562", \ + "0.165724, 0.210793, 0.236448, 0.278033, 0.347233, 0.462479, 0.654451", \ + "0.188623, 0.233681, 0.259411, 0.301036, 0.370346, 0.485721, 0.67766", \ + "0.222793, 0.26785, 0.293528, 0.335099, 0.404379, 0.519552, 0.711431", \ + "0.274211, 0.319236, 0.345023, 0.386612, 0.455877, 0.571082, 0.76301", \ + "0.339451, 0.384624, 0.410439, 0.45209, 0.521301, 0.636663, 0.828421", \ + "0.446234, 0.49176, 0.517585, 0.559238, 0.628484, 0.743769, 0.935677" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0142401, 0.0604584, 0.093043, 0.14813, 0.241517, 0.397642, 0.657998", \ + "0.0142449, 0.0604997, 0.093044, 0.148131, 0.242197, 0.397789, 0.658002", \ + "0.0142626, 0.0605007, 0.093045, 0.148132, 0.242198, 0.39779, 0.658003", \ + "0.0143426, 0.0605196, 0.093091, 0.148157, 0.242199, 0.397791, 0.658004", \ + "0.0144838, 0.0605602, 0.0931252, 0.148158, 0.2422, 0.397792, 0.65803", \ + "0.014783, 0.06077, 0.093215, 0.148209, 0.242201, 0.397793, 0.658072", \ + "0.015372, 0.061119, 0.093428, 0.148317, 0.242202, 0.397794, 0.658073" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0236625, 0.0241058, 0.0241352, 0.0241, 0.0239471, 0.0235934, 0.0231338", \ + "0.0235317, 0.0239751, 0.0241734, 0.0240465, 0.0240936, 0.0234678, 0.0230402", \ + "0.023944, 0.0244035, 0.024394, 0.0245057, 0.0241935, 0.0240178, 0.0234131", \ + "0.0250062, 0.0254984, 0.0255827, 0.0255388, 0.0253906, 0.0257731, 0.0251344", \ + "0.0273381, 0.027741, 0.027847, 0.0279452, 0.0279128, 0.0274877, 0.0276692", \ + "0.0327389, 0.0330725, 0.0331816, 0.0331536, 0.0331377, 0.0332607, 0.032926", \ + "0.0441674, 0.0444401, 0.0443908, 0.0443984, 0.0445415, 0.0444954, 0.0443715" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0229421, 0.0234766, 0.0235085, 0.0234772, 0.0233252, 0.0231314, 0.0226222", \ + "0.0228351, 0.0233793, 0.0235196, 0.0235036, 0.0234404, 0.0230679, 0.0225512", \ + "0.023256, 0.0237926, 0.0237927, 0.0238388, 0.0238131, 0.0242876, 0.0230146", \ + "0.024418, 0.0249164, 0.0250047, 0.025004, 0.0247565, 0.0250517, 0.024066", \ + "0.0269923, 0.0274878, 0.0275342, 0.0276127, 0.0276204, 0.0272814, 0.0269132", \ + "0.0325614, 0.0329539, 0.0330999, 0.0330168, 0.0331788, 0.0332427, 0.0331827", \ + "0.0444812, 0.0447736, 0.0447981, 0.0447588, 0.044853, 0.0446479, 0.0448528" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00156731; + rise_capacitance : 0.00158162; + rise_capacitance_range (0.00158162, 0.00158162); + fall_capacitance : 0.001553; + fall_capacitance_range (0.001553, 0.001553); + } + } + cell (sg13g2_dlygate4sd3_1) { + area : 16.3296; + cell_footprint : "DLY4"; + cell_leakage_power : 1252.42; + leakage_power () { + value : 1289.95; + when : "!A&!X"; + } + leakage_power () { + value : 1214.89; + when : "A&X"; + } + pin (X) { + direction : "output"; + function : "A"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.257053, 0.313122, 0.345159, 0.397056, 0.482745, 0.625113, 0.86177", \ + "0.285388, 0.341404, 0.373674, 0.425466, 0.511044, 0.653278, 0.889964", \ + "0.30523, 0.361362, 0.393594, 0.445268, 0.530998, 0.673393, 0.910116", \ + "0.330673, 0.386822, 0.418983, 0.470736, 0.556475, 0.698595, 0.935372", \ + "0.364337, 0.420594, 0.452833, 0.504596, 0.590303, 0.732774, 0.969385", \ + "0.405583, 0.461748, 0.493891, 0.545638, 0.631439, 0.77366, 1.01034", \ + "0.451307, 0.507611, 0.539723, 0.591623, 0.677211, 0.819717, 1.05628" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0206702, 0.0828116, 0.126953, 0.201303, 0.327404, 0.538374, 0.890867", \ + "0.0206712, 0.082827, 0.126954, 0.201304, 0.327405, 0.538375, 0.890868", \ + "0.0206722, 0.082828, 0.126955, 0.201324, 0.327406, 0.539608, 0.890869", \ + "0.0206768, 0.082829, 0.126978, 0.201325, 0.327407, 0.539609, 0.89087", \ + "0.0207061, 0.0828434, 0.126979, 0.201326, 0.327408, 0.53961, 0.890871", \ + "0.020872, 0.082995, 0.126997, 0.201339, 0.327409, 0.539611, 0.890872", \ + "0.021288, 0.083217, 0.127272, 0.201504, 0.32741, 0.539612, 0.890873" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.265482, 0.321292, 0.349872, 0.393896, 0.464256, 0.57998, 0.772108", \ + "0.300596, 0.356182, 0.384935, 0.42871, 0.499305, 0.614997, 0.80708", \ + "0.328437, 0.384367, 0.413166, 0.456986, 0.527401, 0.643138, 0.835302", \ + "0.372345, 0.42825, 0.456801, 0.500796, 0.571271, 0.686894, 0.878983", \ + "0.437661, 0.493485, 0.522041, 0.566073, 0.636402, 0.752196, 0.944082", \ + "0.525785, 0.58173, 0.610301, 0.654337, 0.724909, 0.840658, 1.03254", \ + "0.662045, 0.718266, 0.746945, 0.791078, 0.861734, 0.977391, 1.16943" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0226467, 0.0724351, 0.103974, 0.157173, 0.247849, 0.40175, 0.661322", \ + "0.0226639, 0.0724361, 0.103975, 0.157427, 0.24785, 0.401854, 0.661444", \ + "0.0226973, 0.0724371, 0.104184, 0.157428, 0.247851, 0.402181, 0.661802", \ + "0.0227556, 0.0724381, 0.104185, 0.157429, 0.247852, 0.402182, 0.661803", \ + "0.0228167, 0.0725577, 0.104186, 0.15743, 0.247853, 0.402183, 0.661804", \ + "0.023034, 0.072794, 0.104262, 0.157431, 0.248005, 0.402184, 0.661805", \ + "0.023509, 0.0733, 0.104794, 0.157602, 0.248174, 0.402185, 0.661806" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0346893, 0.0346892, 0.0347128, 0.0346713, 0.0345479, 0.034245, 0.033755", \ + "0.0344495, 0.0344714, 0.0345932, 0.0344524, 0.0342552, 0.0339886, 0.0335609", \ + "0.0344729, 0.0345084, 0.0346297, 0.0347963, 0.0345939, 0.0343216, 0.0336449", \ + "0.0353452, 0.0353447, 0.0353961, 0.0353478, 0.0353927, 0.0350896, 0.0349953", \ + "0.0372345, 0.0372644, 0.0374161, 0.037512, 0.0373141, 0.0371321, 0.0372913", \ + "0.042061, 0.0420358, 0.0420826, 0.0421324, 0.0421634, 0.0422149, 0.0416559", \ + "0.0527872, 0.0526786, 0.0526824, 0.0527829, 0.0526605, 0.0527485, 0.0527382" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0342788, 0.0341674, 0.0341719, 0.034209, 0.0340726, 0.0338132, 0.0332814", \ + "0.0340862, 0.0339542, 0.0339464, 0.0339965, 0.0337831, 0.0335441, 0.0330026", \ + "0.0341406, 0.0340714, 0.0341897, 0.034377, 0.0339906, 0.0338644, 0.033358", \ + "0.0350196, 0.034896, 0.0349121, 0.0348637, 0.0348125, 0.0351403, 0.0339048", \ + "0.0372693, 0.0371489, 0.0371314, 0.0372638, 0.0371217, 0.0368177, 0.0363096", \ + "0.04215, 0.0419178, 0.0419195, 0.0420803, 0.042085, 0.0421791, 0.0416896", \ + "0.0531984, 0.0528919, 0.0528448, 0.0529501, 0.0528078, 0.0529828, 0.0529538" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0015748; + rise_capacitance : 0.00157674; + rise_capacitance_range (0.00157674, 0.00157674); + fall_capacitance : 0.00157286; + fall_capacitance_range (0.00157286, 0.00157286); + } + } + cell (sg13g2_ebufn_2) { + area : 18.144; + cell_footprint : "BTL"; + cell_leakage_power : 683.066; + leakage_power () { + value : 483.454; + when : "A&TE_B"; + } + leakage_power () { + value : 331.864; + when : "!A&TE_B"; + } + leakage_power () { + value : 874.517; + when : "A&!TE_B"; + } + leakage_power () { + value : 1042.43; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 0.6; + capacitance : 0.00618355; + rise_capacitance : 0.00494766; + rise_capacitance_range (0.00494766, 0.00494766); + fall_capacitance : 0.00741944; + fall_capacitance_range (0.00741944, 0.00741944); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00594766, 0.0517477, 0.0829477, 0.134548, 0.220948, 0.364948, 0.604948"); + values ( \ + "0.0453267, 0.141166, 0.202868, 0.305004, 0.476574, 0.763154, 1.2412", \ + "0.0694493, 0.165767, 0.228007, 0.330498, 0.502266, 0.788371, 1.26595", \ + "0.0850147, 0.181665, 0.244298, 0.347015, 0.518546, 0.804963, 1.28291", \ + "0.103996, 0.201023, 0.263839, 0.366553, 0.538312, 0.824818, 1.30257", \ + "0.130566, 0.23039, 0.291804, 0.394555, 0.56705, 0.853586, 1.33081", \ + "0.166626, 0.272386, 0.333489, 0.437108, 0.609397, 0.895768, 1.37251", \ + "0.212534, 0.330695, 0.39355, 0.497258, 0.672353, 0.959051, 1.43654" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00594766, 0.0517477, 0.0829477, 0.134548, 0.220948, 0.364948, 0.604948"); + values ( \ + "0.0158309, 0.146949, 0.237109, 0.386205, 0.635842, 1.05188, 1.74533", \ + "0.0190228, 0.147096, 0.23711, 0.388478, 0.635897, 1.05189, 1.74534", \ + "0.0216873, 0.147385, 0.237323, 0.388479, 0.635898, 1.05231, 1.74565", \ + "0.025759, 0.148055, 0.237869, 0.38848, 0.635899, 1.05232, 1.75587", \ + "0.0327871, 0.150252, 0.238985, 0.388481, 0.636319, 1.05233, 1.75588", \ + "0.045256, 0.156678, 0.24336, 0.390701, 0.638673, 1.05292, 1.75589", \ + "0.066059, 0.173541, 0.255202, 0.399983, 0.647179, 1.0594, 1.7559" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841944, 0.0542194, 0.0854194, 0.137019, 0.223419, 0.367419, 0.607419"); + values ( \ + "0.0466851, 0.117727, 0.161599, 0.233634, 0.354134, 0.554824, 0.889052", \ + "0.0722913, 0.14375, 0.187644, 0.259811, 0.380275, 0.580971, 0.915514", \ + "0.088167, 0.160448, 0.204255, 0.276471, 0.397078, 0.597783, 0.932147", \ + "0.110141, 0.183281, 0.226884, 0.298642, 0.419122, 0.619728, 0.953994", \ + "0.140731, 0.218675, 0.261734, 0.332931, 0.452691, 0.653133, 0.987645", \ + "0.171002, 0.257901, 0.301583, 0.373379, 0.493478, 0.693391, 1.02726", \ + "0.219018, 0.316344, 0.363711, 0.43736, 0.56076, 0.763087, 1.09806" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841944, 0.0542194, 0.0854194, 0.137019, 0.223419, 0.367419, 0.607419"); + values ( \ + "0.0132498, 0.097753, 0.156682, 0.254278, 0.418199, 0.690565, 1.14492", \ + "0.0162941, 0.0982218, 0.156808, 0.254434, 0.418484, 0.690566, 1.14515", \ + "0.0193093, 0.0989863, 0.15733, 0.2546, 0.418485, 0.691936, 1.14516", \ + "0.0246244, 0.100712, 0.158295, 0.255275, 0.418486, 0.691937, 1.14895", \ + "0.0331418, 0.105069, 0.160529, 0.256334, 0.41906, 0.691938, 1.14896", \ + "0.045089, 0.115417, 0.168023, 0.261923, 0.422853, 0.693414, 1.14897", \ + "0.064959, 0.134283, 0.184461, 0.275528, 0.435076, 0.703826, 1.15227" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00594766, 0.0517477, 0.0829477, 0.134548, 0.220948, 0.364948, 0.604948"); + values ( \ + "0.0349407, 0.034946, 0.0349695, 0.0349732, 0.0349748, 0.0349758, 0.0349768", \ + "0.0527472, 0.0527482, 0.0527492, 0.0527502, 0.0527512, 0.0527522, 0.0527532", \ + "0.063198, 0.063199, 0.0632, 0.063201, 0.063202, 0.063203, 0.063204", \ + "0.0769751, 0.0769761, 0.0769771, 0.0769781, 0.0769791, 0.0769801, 0.0769811", \ + "0.093278, 0.093279, 0.09328, 0.093281, 0.093282, 0.093283, 0.093284", \ + "0.11668, 0.116681, 0.116682, 0.116683, 0.116684, 0.116685, 0.116686", \ + "0.145196, 0.145197, 0.145198, 0.145199, 0.1452, 0.145201, 0.145202" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00594766, 0.0517477, 0.0829477, 0.134548, 0.220948, 0.364948, 0.604948"); + values ( \ + "0.0349407, 0.034946, 0.0349695, 0.0349732, 0.0349748, 0.0349758, 0.0349768", \ + "0.0527472, 0.0527482, 0.0527492, 0.0527502, 0.0527512, 0.0527522, 0.0527532", \ + "0.063198, 0.063199, 0.0632, 0.063201, 0.063202, 0.063203, 0.063204", \ + "0.0769751, 0.0769761, 0.0769771, 0.0769781, 0.0769791, 0.0769801, 0.0769811", \ + "0.093278, 0.093279, 0.09328, 0.093281, 0.093282, 0.093283, 0.093284", \ + "0.11668, 0.116681, 0.116682, 0.116683, 0.116684, 0.116685, 0.116686", \ + "0.145196, 0.145197, 0.145198, 0.145199, 0.1452, 0.145201, 0.145202" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841944, 0.0542194, 0.0854194, 0.137019, 0.223419, 0.367419, 0.607419"); + values ( \ + "0.0209263, 0.0209274, 0.0209277, 0.0209287, 0.0209295, 0.0209305, 0.0209315", \ + "0.027635, 0.027636, 0.027637, 0.027638, 0.027639, 0.02764, 0.027641", \ + "0.0392679, 0.0392689, 0.0392699, 0.0392709, 0.0392719, 0.0392729, 0.0392739", \ + "0.0589323, 0.0589333, 0.0589343, 0.0589353, 0.0589363, 0.0589373, 0.0589383", \ + "0.096288, 0.096289, 0.09629, 0.096291, 0.096292, 0.096293, 0.096294", \ + "0.173266, 0.173267, 0.173268, 0.173269, 0.17327, 0.173271, 0.173272", \ + "0.325611, 0.325612, 0.325613, 0.325614, 0.325615, 0.325616, 0.325617" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841944, 0.0542194, 0.0854194, 0.137019, 0.223419, 0.367419, 0.607419"); + values ( \ + "0.0209263, 0.0209274, 0.0209277, 0.0209287, 0.0209295, 0.0209305, 0.0209315", \ + "0.027635, 0.027636, 0.027637, 0.027638, 0.027639, 0.02764, 0.027641", \ + "0.0392679, 0.0392689, 0.0392699, 0.0392709, 0.0392719, 0.0392729, 0.0392739", \ + "0.0589323, 0.0589333, 0.0589343, 0.0589353, 0.0589363, 0.0589373, 0.0589383", \ + "0.096288, 0.096289, 0.09629, 0.096291, 0.096292, 0.096293, 0.096294", \ + "0.173266, 0.173267, 0.173268, 0.173269, 0.17327, 0.173271, 0.173272", \ + "0.325611, 0.325612, 0.325613, 0.325614, 0.325615, 0.325616, 0.325617" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00594766, 0.0517477, 0.0829477, 0.134548, 0.220948, 0.364948, 0.604948"); + values ( \ + "0.0253735, 0.117346, 0.179706, 0.282761, 0.455488, 0.74295, 1.22224", \ + "0.0355061, 0.140802, 0.203631, 0.306769, 0.479324, 0.767294, 1.24599", \ + "0.0360075, 0.159597, 0.225182, 0.329457, 0.502198, 0.790007, 1.27002", \ + "0.0360085, 0.185971, 0.259658, 0.370505, 0.546586, 0.834788, 1.31472", \ + "0.0360095, 0.214693, 0.305259, 0.434199, 0.624959, 0.922624, 1.40404", \ + "0.0360105, 0.214694, 0.338768, 0.505651, 0.734036, 1.06524, 1.57056", \ + "0.0360115, 0.214695, 0.338769, 0.547282, 0.853931, 1.2622, 1.84141" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00594766, 0.0517477, 0.0829477, 0.134548, 0.220948, 0.364948, 0.604948"); + values ( \ + "0.0157609, 0.147, 0.237114, 0.386185, 0.635909, 1.05223, 1.74546", \ + "0.0238647, 0.150393, 0.238404, 0.389647, 0.63591, 1.05229, 1.74547", \ + "0.0327477, 0.160085, 0.244974, 0.389648, 0.64226, 1.0523, 1.74636", \ + "0.0483202, 0.183573, 0.266368, 0.405344, 0.644628, 1.05422, 1.74795", \ + "0.0771293, 0.232617, 0.316013, 0.451719, 0.681575, 1.07546, 1.75262", \ + "0.135041, 0.3234, 0.416068, 0.557911, 0.78361, 1.15974, 1.8084", \ + "0.233793, 0.484576, 0.592741, 0.751998, 0.994276, 1.36753, 1.99244" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841944, 0.0542194, 0.0854194, 0.137019, 0.223419, 0.367419, 0.607419"); + values ( \ + "0.0417085, 0.122443, 0.174469, 0.260197, 0.403609, 0.642659, 1.0409", \ + "0.062214, 0.144368, 0.196391, 0.282154, 0.425644, 0.66464, 1.06317", \ + "0.0744832, 0.157838, 0.210039, 0.29588, 0.439367, 0.678328, 1.07724", \ + "0.0904601, 0.176576, 0.228909, 0.314994, 0.458519, 0.697513, 1.09581", \ + "0.112029, 0.204115, 0.256902, 0.342951, 0.486838, 0.725987, 1.12425", \ + "0.13159, 0.233337, 0.286848, 0.374254, 0.519089, 0.758444, 1.15707", \ + "0.155798, 0.277553, 0.333568, 0.423191, 0.570717, 0.812777, 1.21233" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841944, 0.0542194, 0.0854194, 0.137019, 0.223419, 0.367419, 0.607419"); + values ( \ + "0.0111487, 0.0976259, 0.156632, 0.254374, 0.41794, 0.690387, 1.14493", \ + "0.0121039, 0.0977303, 0.156708, 0.254375, 0.418219, 0.690388, 1.14494", \ + "0.0129353, 0.0979031, 0.156802, 0.254388, 0.41822, 0.690543, 1.14528", \ + "0.0149024, 0.0981619, 0.156917, 0.254542, 0.418221, 0.690544, 1.14529", \ + "0.0184581, 0.0992542, 0.157359, 0.254673, 0.418222, 0.690545, 1.1453", \ + "0.023823, 0.102322, 0.159694, 0.256719, 0.419199, 0.690825, 1.14531", \ + "0.033976, 0.109795, 0.165479, 0.261497, 0.424153, 0.694739, 1.14652" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00594766, 0.0517477, 0.0829477, 0.134548, 0.220948, 0.364948, 0.604948"); + values ( \ + "0.0152912, 0.0165033, 0.0159946, 0.015308, 0.0144776, 0.0134118, 0.0130213", \ + "0.0155225, 0.016874, 0.0165396, 0.0163361, 0.0151242, 0.0139149, 0.0133325", \ + "0.0156096, 0.0163769, 0.0165609, 0.0164293, 0.0155533, 0.0141918, 0.013662", \ + "0.0159286, 0.0159, 0.0160786, 0.016063, 0.0154568, 0.015167, 0.0154963", \ + "0.0170012, 0.0156151, 0.0156601, 0.0157335, 0.0157377, 0.0157557, 0.0150633", \ + "0.0192579, 0.0155497, 0.0152032, 0.0152648, 0.0154152, 0.0148462, 0.0149195", \ + "0.0246721, 0.0166007, 0.016158, 0.0155956, 0.0149466, 0.0149158, 0.0145886" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841944, 0.0542194, 0.0854194, 0.137019, 0.223419, 0.367419, 0.607419"); + values ( \ + "0.0133512, 0.0153192, 0.0153717, 0.0152698, 0.0150486, 0.0144058, 0.0134367", \ + "0.0133059, 0.0146299, 0.0148408, 0.0149454, 0.0146925, 0.013913, 0.0131211", \ + "0.0135951, 0.0142645, 0.014514, 0.014778, 0.0142006, 0.0141519, 0.0127895", \ + "0.0142527, 0.0140097, 0.0142102, 0.013835, 0.0141763, 0.0129157, 0.0134017", \ + "0.0156316, 0.0137214, 0.0138414, 0.0137487, 0.0132275, 0.0127866, 0.0119634", \ + "0.0178403, 0.0138436, 0.0136378, 0.0136736, 0.013522, 0.0132273, 0.0112877", \ + "0.0226922, 0.0141683, 0.0135717, 0.0132, 0.0127371, 0.0127417, 0.0125594" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00594766, 0.0517477, 0.0829477, 0.134548, 0.220948, 0.364948, 0.604948"); + values ( \ + "0.002806, 0.0025923, 0.0024385, 0.0021971, 0.001811, 0.0011254, 0.001395", \ + "0.002733, 0.0025809, 0.0023911, 0.0027185, 0.0017345, 0.0011909, 0.0013541", \ + "0.0027809, 0.002644, 0.0027052, 0.0023603, 0.002844, 0.0011578, 0.0016524", \ + "0.0028018, 0.0027918, 0.0025474, 0.002733, 0.0018711, 0.0011524, 0.0019089", \ + "0.0027978, 0.0027791, 0.0027685, 0.0025717, 0.0022881, 0.002933, 0.0013703", \ + "0.0028297, 0.0026821, 0.0027116, 0.0029806, 0.0027362, 0.0013449, 0.0029331", \ + "0.00270151, 0.0023642, 0.0025177, 0.0026351, 0.0031503, 0.0024714, 0.0018691" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00841944, 0.0542194, 0.0854194, 0.137019, 0.223419, 0.367419, 0.607419"); + values ( \ + "0.0025506, 0.0075311, 0.010929, 0.0165109, 0.0258656, 0.0413879, 0.0674091", \ + "0.0024742, 0.0075483, 0.0110643, 0.0172229, 0.0258567, 0.0413542, 0.0674925", \ + "0.0025408, 0.0076072, 0.0110735, 0.0167365, 0.0265419, 0.041513, 0.0675898", \ + "0.0024773, 0.0076853, 0.0112565, 0.0166554, 0.0267637, 0.0422407, 0.0676443", \ + "0.0024658, 0.0078316, 0.0114056, 0.0171945, 0.0264516, 0.0421346, 0.0686105", \ + "0.0024293, 0.0075927, 0.0111403, 0.0170705, 0.0269374, 0.0428153, 0.0678917", \ + "0.0023768, 0.0075947, 0.0108546, 0.0167509, 0.0264997, 0.0431345, 0.0701125" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00277141; + rise_capacitance : 0.00282711; + rise_capacitance_range (0.00282711, 0.00282711); + fall_capacitance : 0.00271572; + fall_capacitance_range (0.00271572, 0.00271572); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00512793, 0.00544903, 0.00644003, 0.00890629, 0.014018, 0.0249835, 0.0474586" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00460012, 0.00525548, 0.00634378, 0.00876703, 0.014457, 0.0251651, 0.0480619" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0067022; + rise_capacitance : 0.00680899; + rise_capacitance_range (0.00680899, 0.00680899); + fall_capacitance : 0.00659541; + fall_capacitance_range (0.00659541, 0.00659541); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000331526, 0.000264604, 0.00110732, 0.00343227, 0.0083435, 0.0191336, 0.0414709" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0218541, 0.0226158, 0.0235942, 0.0260205, 0.0312574, 0.0421903, 0.0647245" \ + ); + } + } + } + } + cell (sg13g2_ebufn_4) { + area : 25.4016; + cell_footprint : "BTL"; + cell_leakage_power : 1118.47; + leakage_power () { + value : 611.518; + when : "A&TE_B"; + } + leakage_power () { + value : 416.011; + when : "!A&TE_B"; + } + leakage_power () { + value : 1501.42; + when : "A&!TE_B"; + } + leakage_power () { + value : 1944.93; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 1.2; + capacitance : 0.0121061; + rise_capacitance : 0.00971293; + rise_capacitance_range (0.00971293, 0.00971293); + fall_capacitance : 0.0144993; + fall_capacitance_range (0.0144993, 0.0144993); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107129, 0.103313, 0.165713, 0.268913, 0.441713, 0.729713, 1.20971"); + values ( \ + "0.0535127, 0.153361, 0.215815, 0.319208, 0.492489, 0.781829, 1.26438", \ + "0.0833618, 0.183503, 0.246334, 0.349848, 0.523295, 0.812782, 1.2946", \ + "0.102823, 0.203179, 0.26642, 0.370085, 0.543262, 0.832525, 1.3153", \ + "0.127018, 0.228872, 0.291912, 0.395701, 0.569379, 0.858348, 1.34089", \ + "0.160863, 0.264521, 0.327023, 0.43058, 0.604288, 0.893718, 1.37572", \ + "0.20567, 0.316823, 0.376686, 0.481009, 0.652717, 0.942075, 1.42464", \ + "0.265172, 0.386812, 0.449232, 0.551928, 0.726725, 1.01594, 1.49589" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107129, 0.103313, 0.165713, 0.268913, 0.441713, 0.729713, 1.20971"); + values ( \ + "0.0173579, 0.149725, 0.240814, 0.391378, 0.643773, 1.06434, 1.76519", \ + "0.0207571, 0.149895, 0.240861, 0.391717, 0.643807, 1.06468, 1.7652", \ + "0.0241652, 0.150319, 0.241037, 0.391718, 0.643808, 1.06523, 1.76555", \ + "0.030047, 0.151412, 0.241635, 0.391895, 0.64389, 1.06524, 1.76971", \ + "0.0390827, 0.15461, 0.243126, 0.392694, 0.644322, 1.06525, 1.76972", \ + "0.054613, 0.162783, 0.248268, 0.395883, 0.646508, 1.06552, 1.76973", \ + "0.080276, 0.182902, 0.262073, 0.4053, 0.654152, 1.07108, 1.76974" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154993, 0.108099, 0.170499, 0.273699, 0.446499, 0.734499, 1.2145"); + values ( \ + "0.0602147, 0.136548, 0.180799, 0.253399, 0.374541, 0.576256, 0.911834", \ + "0.090852, 0.167282, 0.211527, 0.284157, 0.405117, 0.606754, 0.94272", \ + "0.111732, 0.189098, 0.233274, 0.305763, 0.426964, 0.628496, 0.964194", \ + "0.140844, 0.219879, 0.263777, 0.335587, 0.456247, 0.657417, 0.993367", \ + "0.182775, 0.265844, 0.309454, 0.380483, 0.500469, 0.702047, 1.03773", \ + "0.227913, 0.320196, 0.365142, 0.436656, 0.557246, 0.757089, 1.09175", \ + "0.296541, 0.399957, 0.448527, 0.521729, 0.642732, 0.844163, 1.17786" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154993, 0.108099, 0.170499, 0.273699, 0.446499, 0.734499, 1.2145"); + values ( \ + "0.0172963, 0.101403, 0.160022, 0.258018, 0.422188, 0.696283, 1.15277", \ + "0.0202914, 0.101944, 0.16037, 0.258124, 0.422447, 0.696343, 1.15316", \ + "0.0242371, 0.10322, 0.160901, 0.258383, 0.422458, 0.69693, 1.15317", \ + "0.0305515, 0.1061, 0.16268, 0.259226, 0.422882, 0.696931, 1.15318", \ + "0.0415059, 0.111736, 0.16583, 0.261151, 0.423911, 0.697025, 1.15319", \ + "0.058066, 0.125591, 0.176315, 0.26726, 0.427362, 0.699097, 1.15343", \ + "0.082132, 0.149969, 0.198092, 0.283822, 0.440494, 0.709078, 1.15963" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107129, 0.103313, 0.165713, 0.268913, 0.441713, 0.729713, 1.20971"); + values ( \ + "0.0404577, 0.0404587, 0.0404597, 0.0404607, 0.0404617, 0.0404627, 0.0404637", \ + "0.0617065, 0.0617075, 0.0617085, 0.0617095, 0.0617105, 0.0617115, 0.0617125", \ + "0.0754129, 0.0754139, 0.0754149, 0.0754159, 0.0754169, 0.0754179, 0.0754189", \ + "0.0906909, 0.0906919, 0.0906929, 0.0906939, 0.0906949, 0.0906959, 0.0906969", \ + "0.112754, 0.112755, 0.112756, 0.112757, 0.112758, 0.112759, 0.11276", \ + "0.14115, 0.141151, 0.141152, 0.141153, 0.141154, 0.141155, 0.141156", \ + "0.173948, 0.173949, 0.17395, 0.173951, 0.173952, 0.173953, 0.173954" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107129, 0.103313, 0.165713, 0.268913, 0.441713, 0.729713, 1.20971"); + values ( \ + "0.0404577, 0.0404587, 0.0404597, 0.0404607, 0.0404617, 0.0404627, 0.0404637", \ + "0.0617065, 0.0617075, 0.0617085, 0.0617095, 0.0617105, 0.0617115, 0.0617125", \ + "0.0754129, 0.0754139, 0.0754149, 0.0754159, 0.0754169, 0.0754179, 0.0754189", \ + "0.0906909, 0.0906919, 0.0906929, 0.0906939, 0.0906949, 0.0906959, 0.0906969", \ + "0.112754, 0.112755, 0.112756, 0.112757, 0.112758, 0.112759, 0.11276", \ + "0.14115, 0.141151, 0.141152, 0.141153, 0.141154, 0.141155, 0.141156", \ + "0.173948, 0.173949, 0.17395, 0.173951, 0.173952, 0.173953, 0.173954" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154993, 0.108099, 0.170499, 0.273699, 0.446499, 0.734499, 1.2145"); + values ( \ + "0.0219353, 0.0219363, 0.0219373, 0.0219383, 0.0219393, 0.0219403, 0.0219413", \ + "0.0282004, 0.0282014, 0.0282024, 0.0282034, 0.0282044, 0.0282054, 0.0282064", \ + "0.0403641, 0.0403651, 0.0403661, 0.0403671, 0.0403681, 0.0403691, 0.0403701", \ + "0.0591073, 0.0591083, 0.0591093, 0.0591103, 0.0591113, 0.0591123, 0.0591133", \ + "0.0974028, 0.0974038, 0.0974048, 0.0974058, 0.0974068, 0.0974078, 0.0974088", \ + "0.1745, 0.174501, 0.174502, 0.174503, 0.174504, 0.174505, 0.174506", \ + "0.328169, 0.32817, 0.328171, 0.328172, 0.328173, 0.328174, 0.328175" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154993, 0.108099, 0.170499, 0.273699, 0.446499, 0.734499, 1.2145"); + values ( \ + "0.0219353, 0.0219363, 0.0219373, 0.0219383, 0.0219393, 0.0219403, 0.0219413", \ + "0.0282004, 0.0282014, 0.0282024, 0.0282034, 0.0282044, 0.0282054, 0.0282064", \ + "0.0403641, 0.0403651, 0.0403661, 0.0403671, 0.0403681, 0.0403691, 0.0403701", \ + "0.0591073, 0.0591083, 0.0591093, 0.0591103, 0.0591113, 0.0591123, 0.0591133", \ + "0.0974028, 0.0974038, 0.0974048, 0.0974058, 0.0974068, 0.0974078, 0.0974088", \ + "0.1745, 0.174501, 0.174502, 0.174503, 0.174504, 0.174505, 0.174506", \ + "0.328169, 0.32817, 0.328171, 0.328172, 0.328173, 0.328174, 0.328175" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107129, 0.103313, 0.165713, 0.268913, 0.441713, 0.729713, 1.20971"); + values ( \ + "0.0251538, 0.120285, 0.183387, 0.287604, 0.461831, 0.752577, 1.23679", \ + "0.0338183, 0.142582, 0.206184, 0.310523, 0.485009, 0.775381, 1.25958", \ + "0.0338193, 0.161279, 0.22763, 0.333121, 0.507726, 0.79851, 1.28304", \ + "0.0338203, 0.187553, 0.262011, 0.37407, 0.552004, 0.843224, 1.32786", \ + "0.0338213, 0.216227, 0.307604, 0.437468, 0.630276, 0.93073, 1.41732", \ + "0.0338223, 0.216228, 0.341139, 0.509014, 0.738747, 1.07307, 1.5833", \ + "0.0338233, 0.216229, 0.34114, 0.550985, 0.859713, 1.27091, 1.85313" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107129, 0.103313, 0.165713, 0.268913, 0.441713, 0.729713, 1.20971"); + values ( \ + "0.0167429, 0.149512, 0.240833, 0.391519, 0.643743, 1.06434, 1.76515", \ + "0.0225736, 0.152835, 0.242111, 0.393894, 0.643744, 1.06435, 1.76516", \ + "0.0303708, 0.162319, 0.248583, 0.394762, 0.645162, 1.06436, 1.76517", \ + "0.0452479, 0.185376, 0.269724, 0.410319, 0.652465, 1.06678, 1.7703", \ + "0.0737735, 0.234262, 0.318898, 0.456198, 0.688924, 1.08722, 1.77315", \ + "0.130445, 0.325107, 0.418632, 0.562535, 0.789385, 1.17074, 1.8266", \ + "0.227526, 0.486577, 0.594654, 0.754736, 1.00133, 1.38003, 2.00899" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154993, 0.108099, 0.170499, 0.273699, 0.446499, 0.734499, 1.2145"); + values ( \ + "0.0484482, 0.1354, 0.187829, 0.274081, 0.418187, 0.65825, 1.05813", \ + "0.0730093, 0.161643, 0.214146, 0.300472, 0.444575, 0.6846, 1.08454", \ + "0.0880143, 0.17852, 0.231208, 0.317599, 0.461726, 0.701778, 1.10188", \ + "0.108361, 0.202427, 0.255288, 0.341766, 0.486016, 0.726053, 1.1263", \ + "0.136095, 0.237429, 0.290779, 0.377797, 0.522304, 0.76259, 1.16251", \ + "0.163173, 0.276382, 0.330994, 0.418957, 0.564079, 0.804887, 1.205", \ + "0.200353, 0.335602, 0.393845, 0.483143, 0.630815, 0.87389, 1.27499" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154993, 0.108099, 0.170499, 0.273699, 0.446499, 0.734499, 1.2145"); + values ( \ + "0.0121437, 0.100869, 0.159977, 0.258213, 0.422386, 0.696333, 1.15277", \ + "0.0132277, 0.101004, 0.160156, 0.258214, 0.422387, 0.696334, 1.15278", \ + "0.0142613, 0.101228, 0.160157, 0.258215, 0.422413, 0.696938, 1.15279", \ + "0.0165765, 0.101737, 0.16033, 0.258216, 0.422414, 0.696939, 1.15392", \ + "0.0206219, 0.102879, 0.160818, 0.258284, 0.422415, 0.69694, 1.15393", \ + "0.026699, 0.107029, 0.163253, 0.259931, 0.423194, 0.696941, 1.15394", \ + "0.038239, 0.115129, 0.170494, 0.264969, 0.42777, 0.699343, 1.15405" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107129, 0.103313, 0.165713, 0.268913, 0.441713, 0.729713, 1.20971"); + values ( \ + "0.029737, 0.0319588, 0.0311552, 0.0299581, 0.0284352, 0.0265723, 0.0260725", \ + "0.0313502, 0.0329008, 0.0328984, 0.0319632, 0.030329, 0.0282927, 0.0273953", \ + "0.0320867, 0.0322932, 0.0330349, 0.0322574, 0.0308608, 0.0293029, 0.0281039", \ + "0.0339026, 0.0320352, 0.0323989, 0.0325806, 0.0314405, 0.0303194, 0.0307523", \ + "0.0376754, 0.031825, 0.0321188, 0.0322214, 0.0319783, 0.0315064, 0.0322641", \ + "0.0436812, 0.0326233, 0.0315076, 0.0319477, 0.0317542, 0.0297015, 0.032492", \ + "0.056294, 0.0352965, 0.0338784, 0.0324243, 0.0315023, 0.0316934, 0.0309641" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154993, 0.108099, 0.170499, 0.273699, 0.446499, 0.734499, 1.2145"); + values ( \ + "0.0275343, 0.0302297, 0.0304517, 0.0303462, 0.0298477, 0.0289209, 0.0270253", \ + "0.0282864, 0.0293213, 0.029524, 0.0295092, 0.0292196, 0.028224, 0.0265992", \ + "0.0296415, 0.0285967, 0.0290003, 0.0292841, 0.0294178, 0.0281419, 0.0259696", \ + "0.0320404, 0.0283225, 0.0284389, 0.0277985, 0.0287001, 0.0265256, 0.0245478", \ + "0.035583, 0.0277478, 0.0277087, 0.0276492, 0.0263904, 0.0259133, 0.0264433", \ + "0.0426779, 0.0291745, 0.0289639, 0.0286078, 0.0288843, 0.0277127, 0.0239528", \ + "0.0541776, 0.0316173, 0.0302224, 0.0287849, 0.0273634, 0.0271349, 0.0261323" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0107129, 0.103313, 0.165713, 0.268913, 0.441713, 0.729713, 1.20971"); + values ( \ + "0.0051877, 0.004789, 0.0044999, 0.0040981, 0.0032278, 0.0018305, 0.0023933", \ + "0.0052002, 0.0051795, 0.0045267, 0.0048906, 0.0033775, 0.0018571, 0.0024778", \ + "0.0052867, 0.0049775, 0.0052038, 0.0045769, 0.0035645, 0.0020366, 0.001949", \ + "0.005345, 0.0053524, 0.0048173, 0.0049821, 0.0036163, 0.0020103, 0.005077", \ + "0.0054619, 0.0054145, 0.0053578, 0.0047868, 0.0043087, 0.0044263, 0.0028832", \ + "0.00544401, 0.0053137, 0.0054493, 0.0058834, 0.0051821, 0.00268351, 0.0039916", \ + "0.0053813, 0.0049052, 0.00505, 0.0051109, 0.0064921, 0.0054532, 0.00293531" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0154993, 0.108099, 0.170499, 0.273699, 0.446499, 0.734499, 1.2145"); + values ( \ + "0.0047888, 0.0147225, 0.0215041, 0.032785, 0.0514187, 0.0826002, 0.13449", \ + "0.0047073, 0.0149645, 0.0216136, 0.032795, 0.0514973, 0.0826512, 0.134603", \ + "0.004637, 0.014908, 0.0218019, 0.0331534, 0.0527042, 0.082884, 0.134968", \ + "0.00456, 0.0152381, 0.0221277, 0.0329139, 0.0533428, 0.0844539, 0.135319", \ + "0.00464, 0.0153334, 0.0224791, 0.0341746, 0.0525928, 0.0841308, 0.136722", \ + "0.0045747, 0.0152348, 0.022259, 0.0342548, 0.0537449, 0.0853229, 0.136223", \ + "0.0045662, 0.0150521, 0.0222971, 0.0337351, 0.0529821, 0.0857501, 0.140346" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00312398; + rise_capacitance : 0.00318797; + rise_capacitance_range (0.00318797, 0.00318797); + fall_capacitance : 0.00305999; + fall_capacitance_range (0.00305999, 0.00305999); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00865731, 0.00885857, 0.0098706, 0.0124618, 0.0180947, 0.0305224, 0.056017" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00727257, 0.00782923, 0.0089985, 0.0116141, 0.0179907, 0.0299682, 0.0561774" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0109272; + rise_capacitance : 0.011103; + rise_capacitance_range (0.011103, 0.011103); + fall_capacitance : 0.0107514; + fall_capacitance_range (0.0107514, 0.0107514); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000991643, -0.00141694, -0.000691818, 0.00163189, 0.00700411, 0.0189164, 0.0442487" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0417808, 0.0423928, 0.043501, 0.0460031, 0.0518602, 0.0640571, 0.0896199" \ + ); + } + } + } + } + cell (sg13g2_ebufn_8) { + area : 45.36; + cell_footprint : "BTL"; + cell_leakage_power : 2069.25; + leakage_power () { + value : 981.572; + when : "A&TE_B"; + } + leakage_power () { + value : 590.45; + when : "!A&TE_B"; + } + leakage_power () { + value : 2909.03; + when : "A&!TE_B"; + } + leakage_power () { + value : 3795.96; + when : "!A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "A"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 2.4; + capacitance : 0.0238495; + rise_capacitance : 0.0191474; + rise_capacitance_range (0.0191474, 0.0191474); + fall_capacitance : 0.0285515; + fall_capacitance_range (0.0285515, 0.0285515); + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0201474, 0.206347, 0.331147, 0.537547, 0.883147, 1.45915, 2.41915"); + values ( \ + "0.0521745, 0.153175, 0.215852, 0.319515, 0.493228, 0.783329, 1.26682", \ + "0.0816944, 0.18285, 0.246185, 0.350105, 0.523976, 0.813788, 1.29712", \ + "0.100846, 0.202462, 0.26598, 0.370214, 0.544102, 0.834305, 1.31789", \ + "0.124725, 0.227763, 0.291036, 0.395373, 0.569404, 0.859457, 1.34303", \ + "0.157584, 0.262876, 0.325323, 0.429532, 0.603842, 0.894247, 1.378", \ + "0.204055, 0.313268, 0.374761, 0.47839, 0.651779, 0.942169, 1.42785", \ + "0.262538, 0.384674, 0.446843, 0.549854, 0.72461, 1.01635, 1.50049" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0201474, 0.206347, 0.331147, 0.537547, 0.883147, 1.45915, 2.41915"); + values ( \ + "0.0166192, 0.1505, 0.242044, 0.393364, 0.646791, 1.06923, 1.77324", \ + "0.0201142, 0.150695, 0.242084, 0.393839, 0.646984, 1.06953, 1.77325", \ + "0.0236093, 0.151066, 0.242262, 0.39384, 0.646985, 1.06996, 1.7742", \ + "0.0296392, 0.152167, 0.242874, 0.393896, 0.647062, 1.06997, 1.77421", \ + "0.0390405, 0.155272, 0.244281, 0.394654, 0.647534, 1.06998, 1.77422", \ + "0.054355, 0.163434, 0.249482, 0.397963, 0.649774, 1.07105, 1.77423", \ + "0.080428, 0.182912, 0.26304, 0.407465, 0.657547, 1.07635, 1.77671" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0295515, 0.215751, 0.340552, 0.546952, 0.892552, 1.46855, 2.42855"); + values ( \ + "0.0587247, 0.135593, 0.179885, 0.252699, 0.374244, 0.576442, 0.913284", \ + "0.0890834, 0.165995, 0.210289, 0.28308, 0.404534, 0.606694, 0.943842", \ + "0.109595, 0.187431, 0.231634, 0.304536, 0.425976, 0.628316, 0.964897", \ + "0.138704, 0.218108, 0.261857, 0.33421, 0.455593, 0.657272, 0.993962", \ + "0.179737, 0.263343, 0.306836, 0.377886, 0.498346, 0.70043, 1.03713", \ + "0.223134, 0.316515, 0.361646, 0.433193, 0.553806, 0.75493, 1.08995", \ + "0.291271, 0.39583, 0.442988, 0.51672, 0.638337, 0.84075, 1.17587" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0295515, 0.215751, 0.340552, 0.546952, 0.892552, 1.46855, 2.42855"); + values ( \ + "0.0167648, 0.101647, 0.160831, 0.259322, 0.424406, 0.699518, 1.15801", \ + "0.019846, 0.102188, 0.161022, 0.259323, 0.424407, 0.699519, 1.1584", \ + "0.0238629, 0.103457, 0.161577, 0.259509, 0.424478, 0.70022, 1.15841", \ + "0.0301866, 0.106305, 0.163231, 0.260306, 0.424891, 0.700221, 1.15842", \ + "0.0413144, 0.111793, 0.166477, 0.262143, 0.425807, 0.700222, 1.15843", \ + "0.058201, 0.125226, 0.176484, 0.268096, 0.429164, 0.70232, 1.15865", \ + "0.082372, 0.149429, 0.197511, 0.285144, 0.442286, 0.712432, 1.16506" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0201474, 0.206347, 0.331147, 0.537547, 0.883147, 1.45915, 2.41915"); + values ( \ + "0.0526021, 0.0526026, 0.0526036, 0.0526046, 0.0526056, 0.0526066, 0.0526076", \ + "0.080755, 0.080756, 0.080757, 0.080758, 0.080759, 0.08076, 0.080761", \ + "0.100212, 0.100213, 0.100214, 0.100215, 0.100216, 0.100217, 0.100218", \ + "0.124608, 0.124609, 0.12461, 0.124611, 0.124612, 0.124613, 0.124614", \ + "0.156077, 0.156078, 0.156079, 0.15608, 0.156081, 0.156082, 0.156083", \ + "0.200431, 0.200432, 0.200433, 0.200434, 0.200435, 0.200436, 0.200437", \ + "0.249872, 0.249873, 0.249874, 0.249875, 0.249876, 0.249877, 0.249878" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0201474, 0.206347, 0.331147, 0.537547, 0.883147, 1.45915, 2.41915"); + values ( \ + "0.0526021, 0.0526026, 0.0526036, 0.0526046, 0.0526056, 0.0526066, 0.0526076", \ + "0.080755, 0.080756, 0.080757, 0.080758, 0.080759, 0.08076, 0.080761", \ + "0.100212, 0.100213, 0.100214, 0.100215, 0.100216, 0.100217, 0.100218", \ + "0.124608, 0.124609, 0.12461, 0.124611, 0.124612, 0.124613, 0.124614", \ + "0.156077, 0.156078, 0.156079, 0.15608, 0.156081, 0.156082, 0.156083", \ + "0.200431, 0.200432, 0.200433, 0.200434, 0.200435, 0.200436, 0.200437", \ + "0.249872, 0.249873, 0.249874, 0.249875, 0.249876, 0.249877, 0.249878" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0295515, 0.215751, 0.340552, 0.546952, 0.892552, 1.46855, 2.42855"); + values ( \ + "0.0231509, 0.0231513, 0.0231523, 0.0231533, 0.0231543, 0.0231553, 0.0231563", \ + "0.0292578, 0.0292588, 0.0292598, 0.0292608, 0.0292618, 0.0292628, 0.0292638", \ + "0.0415769, 0.0415779, 0.0415789, 0.0415799, 0.0415809, 0.0415819, 0.0415829", \ + "0.0610994, 0.0611004, 0.0611014, 0.0611024, 0.0611034, 0.0611044, 0.0611054", \ + "0.0989376, 0.0989386, 0.0989396, 0.0989406, 0.0989416, 0.0989426, 0.0989436", \ + "0.176264, 0.176265, 0.176266, 0.176267, 0.176268, 0.176269, 0.17627", \ + "0.331229, 0.33123, 0.331231, 0.331232, 0.331233, 0.331234, 0.331235" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0295515, 0.215751, 0.340552, 0.546952, 0.892552, 1.46855, 2.42855"); + values ( \ + "0.0231509, 0.0231513, 0.0231523, 0.0231533, 0.0231543, 0.0231553, 0.0231563", \ + "0.0292578, 0.0292588, 0.0292598, 0.0292608, 0.0292618, 0.0292628, 0.0292638", \ + "0.0415769, 0.0415779, 0.0415789, 0.0415799, 0.0415809, 0.0415819, 0.0415829", \ + "0.0610994, 0.0611004, 0.0611014, 0.0611024, 0.0611034, 0.0611044, 0.0611054", \ + "0.0989376, 0.0989386, 0.0989396, 0.0989406, 0.0989416, 0.0989426, 0.0989436", \ + "0.176264, 0.176265, 0.176266, 0.176267, 0.176268, 0.176269, 0.17627", \ + "0.331229, 0.33123, 0.331231, 0.331232, 0.331233, 0.331234, 0.331235" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0201474, 0.206347, 0.331147, 0.537547, 0.883147, 1.45915, 2.41915"); + values ( \ + "0.0254951, 0.122098, 0.185392, 0.290026, 0.465174, 0.756931, 1.24374", \ + "0.0341124, 0.144032, 0.207896, 0.31264, 0.48778, 0.779975, 1.26604", \ + "0.0341134, 0.162441, 0.229108, 0.33503, 0.510476, 0.802272, 1.28916", \ + "0.0341144, 0.188606, 0.263336, 0.375799, 0.55448, 0.847075, 1.33355", \ + "0.0341154, 0.217179, 0.308807, 0.438863, 0.632508, 0.933994, 1.4228", \ + "0.0341164, 0.21718, 0.342093, 0.50975, 0.740133, 1.07534, 1.588", \ + "0.0341174, 0.217181, 0.342094, 0.551598, 0.860494, 1.27164, 1.85534" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0201474, 0.206347, 0.331147, 0.537547, 0.883147, 1.45915, 2.41915"); + values ( \ + "0.0158385, 0.149527, 0.241938, 0.393422, 0.646859, 1.06952, 1.7739", \ + "0.0211552, 0.152471, 0.243194, 0.393726, 0.64686, 1.06953, 1.77391", \ + "0.0290329, 0.161956, 0.249556, 0.396596, 0.647844, 1.06954, 1.77392", \ + "0.0440856, 0.185383, 0.270477, 0.412062, 0.655436, 1.07341, 1.77844", \ + "0.0722768, 0.234636, 0.319534, 0.457723, 0.691756, 1.09228, 1.78055", \ + "0.129072, 0.325723, 0.418655, 0.562157, 0.792178, 1.17453, 1.8349", \ + "0.225243, 0.487041, 0.595362, 0.755128, 1.00159, 1.38203, 2.01437" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0295515, 0.215751, 0.340552, 0.546952, 0.892552, 1.46855, 2.42855"); + values ( \ + "0.0634476, 0.156422, 0.209403, 0.296093, 0.440719, 0.681549, 1.08284", \ + "0.093028, 0.187836, 0.240864, 0.327553, 0.472208, 0.713108, 1.11461", \ + "0.112588, 0.210146, 0.263277, 0.350171, 0.494899, 0.735794, 1.13727", \ + "0.139716, 0.24289, 0.296284, 0.38343, 0.528508, 0.769373, 1.17074", \ + "0.176641, 0.289392, 0.343533, 0.431032, 0.576117, 0.817349, 1.21854", \ + "0.213968, 0.344162, 0.400152, 0.488724, 0.634863, 0.876893, 1.27843", \ + "0.264758, 0.421542, 0.482757, 0.572855, 0.721829, 0.965625, 1.36837" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0295515, 0.215751, 0.340552, 0.546952, 0.892552, 1.46855, 2.42855"); + values ( \ + "0.0137388, 0.102184, 0.161099, 0.259385, 0.424473, 0.69951, 1.15809", \ + "0.0149115, 0.102517, 0.1612, 0.259552, 0.424474, 0.699557, 1.1581", \ + "0.0166266, 0.102838, 0.161361, 0.259553, 0.424475, 0.700032, 1.15811", \ + "0.0196777, 0.104184, 0.161836, 0.259565, 0.424476, 0.700033, 1.15854", \ + "0.025529, 0.106229, 0.163046, 0.260083, 0.424566, 0.700034, 1.15855", \ + "0.034476, 0.112606, 0.167289, 0.261884, 0.425199, 0.700035, 1.15856", \ + "0.049079, 0.123939, 0.176489, 0.267821, 0.429184, 0.70161, 1.15864" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0201474, 0.206347, 0.331147, 0.537547, 0.883147, 1.45915, 2.41915"); + values ( \ + "0.0589899, 0.0639295, 0.0624775, 0.0602428, 0.0574295, 0.0536378, 0.0527416", \ + "0.0624171, 0.0662252, 0.0659932, 0.0647257, 0.0617579, 0.0576298, 0.0555754", \ + "0.0640623, 0.0647736, 0.0666704, 0.0652978, 0.0629252, 0.0595504, 0.0577891", \ + "0.067898, 0.0644212, 0.0652543, 0.0662586, 0.0642922, 0.0630628, 0.0605275", \ + "0.0751355, 0.0634126, 0.0642545, 0.0656865, 0.0652305, 0.0649595, 0.0668455", \ + "0.0869252, 0.0644042, 0.0635292, 0.0639312, 0.0647392, 0.0640722, 0.0698842", \ + "0.109898, 0.069185, 0.069144, 0.064807, 0.06488, 0.066323, 0.068518" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0295515, 0.215751, 0.340552, 0.546952, 0.892552, 1.46855, 2.42855"); + values ( \ + "0.0551915, 0.0605816, 0.0610254, 0.0608007, 0.0599618, 0.0578362, 0.0541715", \ + "0.0566716, 0.0585439, 0.0588715, 0.0601306, 0.0580435, 0.055755, 0.0530351", \ + "0.059183, 0.0568506, 0.0580223, 0.0582509, 0.0585797, 0.0561265, 0.0517914", \ + "0.0636625, 0.0564211, 0.0566239, 0.0557042, 0.0568346, 0.0539453, 0.0492524", \ + "0.0713436, 0.0555004, 0.0552594, 0.0549342, 0.0522365, 0.0513562, 0.0473912", \ + "0.0865988, 0.0583098, 0.0569018, 0.0565268, 0.0560478, 0.0559078, 0.0488868", \ + "0.10713, 0.061175, 0.059232, 0.058168, 0.05493, 0.053639, 0.051413" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0201474, 0.206347, 0.331147, 0.537547, 0.883147, 1.45915, 2.41915"); + values ( \ + "0.0099974, 0.0092712, 0.0084679, 0.0077134, 0.0060285, 0.0058505, 0.0047876", \ + "0.0104022, 0.0103333, 0.0101269, 0.008294, 0.0064537, 0.0036987, 0.0049516", \ + "0.0104618, 0.0097977, 0.0095297, 0.0084084, 0.0068502, 0.0036777, 0.0048765", \ + "0.010742, 0.010568, 0.0095753, 0.00953531, 0.0067335, 0.0051496, 0.00978091", \ + "0.0104813, 0.0104393, 0.0104503, 0.00916211, 0.0078796, 0.0101713, 0.0054218", \ + "0.010627, 0.010359, 0.010548, 0.010651, 0.010165, 0.004507, 0.007731", \ + "0.010384, 0.009654, 0.010125, 0.009601, 0.012095, 0.010197, 0.005633" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0295515, 0.215751, 0.340552, 0.546952, 0.892552, 1.46855, 2.42855"); + values ( \ + "0.0083481, 0.0289861, 0.0426441, 0.0651491, 0.102524, 0.164711, 0.268906", \ + "0.0089338, 0.0300116, 0.0431276, 0.0656716, 0.102728, 0.165301, 0.26992", \ + "0.0089456, 0.0292392, 0.0434432, 0.0655652, 0.105246, 0.165322, 0.268889", \ + "0.0090887, 0.0307851, 0.0442131, 0.0665781, 0.107263, 0.16724, 0.271171", \ + "0.00885911, 0.0298813, 0.0440743, 0.0674883, 0.104187, 0.168347, 0.27078", \ + "0.008842, 0.030743, 0.043909, 0.068116, 0.107606, 0.171521, 0.273221", \ + "0.00850299, 0.02956, 0.04402, 0.066451, 0.106131, 0.172902, 0.281439" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00610757; + rise_capacitance : 0.00623881; + rise_capacitance_range (0.00623881, 0.00623881); + fall_capacitance : 0.00597634; + fall_capacitance_range (0.00597634, 0.00597634); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161726, 0.0166065, 0.0186719, 0.0239597, 0.0354055, 0.0601988, 0.111636" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0134824, 0.014725, 0.0170643, 0.0224225, 0.0351724, 0.0592682, 0.111765" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0181951; + rise_capacitance : 0.0185153; + rise_capacitance_range (0.0185153, 0.0185153); + fall_capacitance : 0.017875; + fall_capacitance_range (0.017875, 0.017875); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0048924, -0.00564505, -0.00526264, -0.00352035, 0.000894736, 0.0121039, 0.0365765" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0815069, 0.0813334, 0.0823548, 0.0844759, 0.0900597, 0.101439, 0.126356" \ + ); + } + } + } + } + cell (sg13g2_einvn_2) { + area : 16.3296; + cell_footprint : "einvin"; + cell_leakage_power : 781.676; + leakage_power () { + value : 633.835; + when : "!A&!TE_B&Z"; + } + leakage_power () { + value : 929.516; + when : "A&!TE_B&!Z"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 0.6; + capacitance : 0.00621428; + rise_capacitance : 0.00497824; + rise_capacitance_range (0.00497824, 0.00497824); + fall_capacitance : 0.00745032; + fall_capacitance_range (0.00745032, 0.00745032); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00597824, 0.0517782, 0.0829782, 0.134578, 0.220978, 0.364978, 0.604978"); + values ( \ + "0.0199509, 0.114158, 0.175837, 0.278278, 0.450601, 0.738106, 1.21792", \ + "0.0316951, 0.146298, 0.208688, 0.31123, 0.483255, 0.770647, 1.25034", \ + "0.03912, 0.173719, 0.240407, 0.344304, 0.51619, 0.803303, 1.28273", \ + "0.0490387, 0.21527, 0.292257, 0.405775, 0.58092, 0.867599, 1.34615", \ + "0.0645406, 0.27424, 0.369806, 0.504303, 0.700209, 0.998974, 1.47829", \ + "0.0813335, 0.350786, 0.472422, 0.640442, 0.87894, 1.22147, 1.73168", \ + "0.109949, 0.459372, 0.616171, 0.831968, 1.1318, 1.55122, 2.15133" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00597824, 0.0517782, 0.0829782, 0.134578, 0.220978, 0.364978, 0.604978"); + values ( \ + "0.0147344, 0.145778, 0.236272, 0.385823, 0.636278, 1.0537, 1.74941", \ + "0.0265312, 0.15194, 0.238467, 0.386366, 0.636279, 1.05371, 1.74942", \ + "0.0359443, 0.167263, 0.249485, 0.391333, 0.637246, 1.05372, 1.74942", \ + "0.0515784, 0.199306, 0.281671, 0.416236, 0.650678, 1.05901, 1.74943", \ + "0.0788439, 0.253235, 0.343181, 0.481303, 0.706136, 1.09113, 1.76088", \ + "0.117596, 0.344851, 0.449821, 0.604594, 0.838197, 1.21338, 1.84607", \ + "0.195038, 0.485846, 0.619728, 0.803756, 1.06954, 1.47021, 2.10052" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00845032, 0.0542503, 0.0854503, 0.13705, 0.22345, 0.36745, 0.60745"); + values ( \ + "0.0172309, 0.0853292, 0.128953, 0.201121, 0.321487, 0.522499, 0.857238", \ + "0.0265288, 0.118596, 0.164798, 0.237693, 0.358254, 0.559092, 0.893945", \ + "0.0324218, 0.144385, 0.196416, 0.27383, 0.396306, 0.597256, 0.931869", \ + "0.0370886, 0.17845, 0.241451, 0.330691, 0.463412, 0.669327, 1.00438", \ + "0.0418851, 0.224285, 0.304301, 0.413938, 0.570373, 0.79885, 1.14724", \ + "0.0437517, 0.282841, 0.38597, 0.527583, 0.722716, 0.998353, 1.39598", \ + "0.0437527, 0.356375, 0.489339, 0.672945, 0.927632, 1.27525, 1.7625" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00845032, 0.0542503, 0.0854503, 0.13705, 0.22345, 0.36745, 0.60745"); + values ( \ + "0.00975589, 0.0947506, 0.153925, 0.251813, 0.415397, 0.688573, 1.14339", \ + "0.0211004, 0.106091, 0.160789, 0.254559, 0.415919, 0.688574, 1.1434", \ + "0.0310108, 0.123405, 0.176904, 0.266631, 0.422317, 0.693753, 1.14341", \ + "0.0465111, 0.15549, 0.211684, 0.300585, 0.449152, 0.705475, 1.1483", \ + "0.0724047, 0.207126, 0.272831, 0.367878, 0.518627, 0.764578, 1.18571", \ + "0.117352, 0.293265, 0.37324, 0.483773, 0.650838, 0.905849, 1.31591", \ + "0.197783, 0.434769, 0.53483, 0.674775, 0.869687, 1.15891, 1.59175" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00597824, 0.0517782, 0.0829782, 0.134578, 0.220978, 0.364978, 0.604978"); + values ( \ + "0.0380971, 0.0380981, 0.0380991, 0.0381001, 0.0381011, 0.0381021, 0.0381031", \ + "0.0582872, 0.0582882, 0.0582892, 0.0582902, 0.0582912, 0.0582922, 0.0582932", \ + "0.071364, 0.071365, 0.071366, 0.071367, 0.071368, 0.071369, 0.07137", \ + "0.0865557, 0.0865567, 0.0865577, 0.0865587, 0.0865597, 0.0865607, 0.0865617", \ + "0.108384, 0.108385, 0.108386, 0.108387, 0.108388, 0.108389, 0.10839", \ + "0.135701, 0.135702, 0.135703, 0.135704, 0.135705, 0.135706, 0.135707", \ + "0.170776, 0.170777, 0.170778, 0.170779, 0.17078, 0.170781, 0.170782" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00597824, 0.0517782, 0.0829782, 0.134578, 0.220978, 0.364978, 0.604978"); + values ( \ + "0.0380971, 0.0380981, 0.0380991, 0.0381001, 0.0381011, 0.0381021, 0.0381031", \ + "0.0582872, 0.0582882, 0.0582892, 0.0582902, 0.0582912, 0.0582922, 0.0582932", \ + "0.071364, 0.071365, 0.071366, 0.071367, 0.071368, 0.071369, 0.07137", \ + "0.0865557, 0.0865567, 0.0865577, 0.0865587, 0.0865597, 0.0865607, 0.0865617", \ + "0.108384, 0.108385, 0.108386, 0.108387, 0.108388, 0.108389, 0.10839", \ + "0.135701, 0.135702, 0.135703, 0.135704, 0.135705, 0.135706, 0.135707", \ + "0.170776, 0.170777, 0.170778, 0.170779, 0.17078, 0.170781, 0.170782" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00597824, 0.0517782, 0.0829782, 0.134578, 0.220978, 0.364978, 0.604978"); + values ( \ + "0.0244269, 0.11654, 0.17906, 0.28243, 0.455509, 0.743956, 1.22514", \ + "0.0356544, 0.140599, 0.203428, 0.306839, 0.479914, 0.769084, 1.24922", \ + "0.0364257, 0.159524, 0.225081, 0.329505, 0.502731, 0.791289, 1.2729", \ + "0.0364267, 0.186142, 0.259732, 0.370735, 0.547192, 0.836173, 1.31777", \ + "0.0364277, 0.215308, 0.30589, 0.434889, 0.626195, 0.924216, 1.40729", \ + "0.0364287, 0.215309, 0.339863, 0.506568, 0.735277, 1.06748, 1.57454", \ + "0.0364297, 0.21531, 0.339864, 0.549286, 0.856128, 1.26611, 1.84448" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00597824, 0.0517782, 0.0829782, 0.134578, 0.220978, 0.364978, 0.604978"); + values ( \ + "0.0139051, 0.145833, 0.236233, 0.385821, 0.636251, 1.05366, 1.74953", \ + "0.0229675, 0.149265, 0.237535, 0.389284, 0.636252, 1.05412, 1.74954", \ + "0.0323456, 0.159047, 0.244085, 0.389285, 0.637707, 1.05413, 1.7501", \ + "0.0487328, 0.182843, 0.265663, 0.404853, 0.644986, 1.05617, 1.75058", \ + "0.0782785, 0.232621, 0.315782, 0.451493, 0.682629, 1.07722, 1.75681", \ + "0.136283, 0.324062, 0.416665, 0.556701, 0.783946, 1.16125, 1.81225", \ + "0.235, 0.485893, 0.593153, 0.751381, 0.990576, 1.37173, 1.99461" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00597824, 0.0517782, 0.0829782, 0.134578, 0.220978, 0.364978, 0.604978"); + values ( \ + "0.00790816, 0.00871693, 0.00810983, 0.00740355, 0.00662757, 0.00556557, 0.00540299", \ + "0.00948393, 0.00916222, 0.00875648, 0.00806256, 0.00711102, 0.00595771, 0.0053413", \ + "0.0117433, 0.0097914, 0.00958718, 0.00888342, 0.00772708, 0.00644147, 0.00575951", \ + "0.0166682, 0.012379, 0.0114699, 0.0108446, 0.00910122, 0.00775524, 0.00642222", \ + "0.0274159, 0.0190986, 0.0171409, 0.0151011, 0.0131265, 0.0109708, 0.00916708", \ + "0.0481216, 0.0351478, 0.0314245, 0.027598, 0.0232444, 0.0189999, 0.0175424", \ + "0.0913868, 0.0734378, 0.0655299, 0.0576721, 0.0498476, 0.0421721, 0.0334748" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00845032, 0.0542503, 0.0854503, 0.13705, 0.22345, 0.36745, 0.60745"); + values ( \ + "0.00769241, 0.00968089, 0.00970006, 0.00959076, 0.0092873, 0.00887191, 0.00775184", \ + "0.00880223, 0.00924488, 0.00967077, 0.00936693, 0.00917914, 0.0087345, 0.00762171", \ + "0.0108944, 0.00971243, 0.00951556, 0.00983387, 0.0094382, 0.00971039, 0.00762667", \ + "0.0157362, 0.0115737, 0.0110866, 0.0104923, 0.0101755, 0.00912968, 0.00862806", \ + "0.0258834, 0.0173732, 0.0158128, 0.0142534, 0.0127532, 0.0113008, 0.0103323", \ + "0.0472301, 0.0333819, 0.0293543, 0.0251519, 0.0218552, 0.0183788, 0.0139363", \ + "0.0885917, 0.0686602, 0.0614536, 0.0530697, 0.0446617, 0.0368756, 0.0301239" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.00597824, 0.0517782, 0.0829782, 0.134578, 0.220978, 0.364978, 0.604978"); + values ( \ + "0.0124152, 0.0122095, 0.0120458, 0.0118389, 0.0114448, 0.0107523, 0.01104", \ + "0.012331, 0.012224, 0.0122018, 0.0123201, 0.0113671, 0.010734, 0.0109706", \ + "0.0123203, 0.0121901, 0.0121067, 0.0120365, 0.0114877, 0.0105863, 0.0111909", \ + "0.0121792, 0.012195, 0.0119442, 0.0120556, 0.011268, 0.0105881, 0.011046", \ + "0.0120271, 0.0119916, 0.0119574, 0.0117566, 0.0114561, 0.0115076, 0.0106705", \ + "0.0119695, 0.0119197, 0.011963, 0.0119234, 0.0118214, 0.0105541, 0.0112547", \ + "0.0116035, 0.0113454, 0.0113869, 0.0113824, 0.0113917, 0.011678, 0.0103824" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00403984; + rise_capacitance : 0.00509978; + rise_capacitance_range (0.00509978, 0.00509978); + fall_capacitance : 0.00297989; + fall_capacitance_range (0.00297989, 0.00297989); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00512931; + rise_capacitance : 0.00571991; + rise_capacitance_range (0.00571991, 0.00571991); + fall_capacitance : 0.00453872; + fall_capacitance_range (0.00453872, 0.00453872); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00665116, -0.00681962, -0.00640984, -0.00510749, -0.00223038, 0.0042999, 0.0181245" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0111507, 0.011564, 0.0121697, 0.0137352, 0.0170586, 0.0237007, 0.037834" \ + ); + } + } + } + } + cell (sg13g2_einvn_4) { + area : 23.5872; + cell_footprint : "einvin"; + cell_leakage_power : 1555.35; + leakage_power () { + value : 1259.66; + when : "!A&!TE_B"; + } + leakage_power () { + value : 1851.03; + when : "A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 1.2; + capacitance : 0.0122061; + rise_capacitance : 0.00982293; + rise_capacitance_range (0.00982293, 0.00982293); + fall_capacitance : 0.0145893; + fall_capacitance_range (0.0145893, 0.0145893); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0108229, 0.103423, 0.165823, 0.269023, 0.441823, 0.729823, 1.20982"); + values ( \ + "0.0185798, 0.114276, 0.176081, 0.278571, 0.450997, 0.738677, 1.21873", \ + "0.0293822, 0.146512, 0.209006, 0.311519, 0.483669, 0.771192, 1.2511", \ + "0.0362259, 0.173862, 0.240717, 0.34464, 0.516723, 0.804145, 1.28371", \ + "0.0452681, 0.215346, 0.292909, 0.40655, 0.582593, 0.868856, 1.34804", \ + "0.0596498, 0.274529, 0.369857, 0.503655, 0.699959, 0.998494, 1.47827", \ + "0.0753151, 0.351001, 0.473041, 0.641259, 0.880242, 1.22213, 1.7329", \ + "0.102057, 0.459811, 0.616786, 0.832214, 1.13288, 1.55326, 2.15393" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0108229, 0.103423, 0.165823, 0.269023, 0.441823, 0.729823, 1.20982"); + values ( \ + "0.0133866, 0.145983, 0.236681, 0.386401, 0.637465, 1.05585, 1.75301", \ + "0.024569, 0.152111, 0.238843, 0.386731, 0.637466, 1.05586, 1.75302", \ + "0.0336311, 0.167458, 0.249816, 0.392022, 0.638347, 1.05587, 1.75303", \ + "0.0486223, 0.199489, 0.281861, 0.416833, 0.651644, 1.06131, 1.75304", \ + "0.0752578, 0.253446, 0.343763, 0.482632, 0.707828, 1.09343, 1.76447", \ + "0.112618, 0.345467, 0.450007, 0.605032, 0.839112, 1.21577, 1.84985", \ + "0.189198, 0.486089, 0.620593, 0.803808, 1.07093, 1.47235, 2.10399" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155893, 0.108189, 0.170589, 0.273789, 0.446589, 0.734589, 1.21459"); + values ( \ + "0.016081, 0.0852231, 0.12885, 0.200986, 0.321333, 0.522168, 0.856506", \ + "0.0245182, 0.118557, 0.164779, 0.237645, 0.358182, 0.558886, 0.893549", \ + "0.0297516, 0.144406, 0.19643, 0.273815, 0.39625, 0.597084, 0.931542", \ + "0.0337283, 0.178297, 0.241378, 0.330694, 0.463382, 0.66925, 1.00435", \ + "0.0374659, 0.224315, 0.303941, 0.414102, 0.570546, 0.798854, 1.14728", \ + "0.0378212, 0.282887, 0.386341, 0.528591, 0.723755, 0.999407, 1.39757", \ + "0.0378222, 0.356999, 0.489824, 0.67355, 0.927874, 1.2758, 1.76286" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155893, 0.108189, 0.170589, 0.273789, 0.446589, 0.734589, 1.21459"); + values ( \ + "0.0088422, 0.094712, 0.153901, 0.251818, 0.415822, 0.688612, 1.14352", \ + "0.0195831, 0.106028, 0.160782, 0.254547, 0.416148, 0.688613, 1.14353", \ + "0.0291766, 0.123362, 0.176925, 0.266671, 0.422148, 0.693815, 1.14354", \ + "0.0441824, 0.155187, 0.211603, 0.300509, 0.449312, 0.705525, 1.14808", \ + "0.0689981, 0.208447, 0.273147, 0.368156, 0.518606, 0.764901, 1.18602", \ + "0.112681, 0.293937, 0.373785, 0.484318, 0.650864, 0.906812, 1.31665", \ + "0.19256, 0.434106, 0.534885, 0.675108, 0.871049, 1.16002, 1.59281" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0108229, 0.103423, 0.165823, 0.269023, 0.441823, 0.729823, 1.20982"); + values ( \ + "0.0392198, 0.0392299, 0.0392304, 0.0392314, 0.0392582, 0.0392951, 0.0392961", \ + "0.0601225, 0.0601235, 0.0601245, 0.0601255, 0.0601265, 0.0601275, 0.0601285", \ + "0.0739393, 0.0739403, 0.0739413, 0.0739423, 0.0739433, 0.0739443, 0.0739453", \ + "0.0900863, 0.0900873, 0.0900883, 0.0900893, 0.0900903, 0.0900913, 0.0900923", \ + "0.112225, 0.112226, 0.112227, 0.112228, 0.112229, 0.11223, 0.112231", \ + "0.139841, 0.139842, 0.139843, 0.139844, 0.139845, 0.139846, 0.139847", \ + "0.173705, 0.173706, 0.173707, 0.173708, 0.173709, 0.17371, 0.173711" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0108229, 0.103423, 0.165823, 0.269023, 0.441823, 0.729823, 1.20982"); + values ( \ + "0.0392198, 0.0392299, 0.0392304, 0.0392314, 0.0392582, 0.0392951, 0.0392961", \ + "0.0601225, 0.0601235, 0.0601245, 0.0601255, 0.0601265, 0.0601275, 0.0601285", \ + "0.0739393, 0.0739403, 0.0739413, 0.0739423, 0.0739433, 0.0739443, 0.0739453", \ + "0.0900863, 0.0900873, 0.0900883, 0.0900893, 0.0900903, 0.0900913, 0.0900923", \ + "0.112225, 0.112226, 0.112227, 0.112228, 0.112229, 0.11223, 0.112231", \ + "0.139841, 0.139842, 0.139843, 0.139844, 0.139845, 0.139846, 0.139847", \ + "0.173705, 0.173706, 0.173707, 0.173708, 0.173709, 0.17371, 0.173711" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0108229, 0.103423, 0.165823, 0.269023, 0.441823, 0.729823, 1.20982"); + values ( \ + "0.0234227, 0.116785, 0.179467, 0.282914, 0.45635, 0.745201, 1.22704", \ + "0.0337204, 0.140672, 0.20362, 0.307224, 0.480531, 0.770043, 1.2511", \ + "0.0337214, 0.159505, 0.225183, 0.32978, 0.503298, 0.792145, 1.27384", \ + "0.0337224, 0.185995, 0.259657, 0.370786, 0.5475, 0.837067, 1.31926", \ + "0.0337234, 0.214931, 0.305573, 0.43473, 0.625834, 0.924678, 1.4085", \ + "0.0337244, 0.214932, 0.339289, 0.506295, 0.734634, 1.06748, 1.57516", \ + "0.0337254, 0.214933, 0.33929, 0.548296, 0.855812, 1.26534, 1.84462" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0108229, 0.103423, 0.165823, 0.269023, 0.441823, 0.729823, 1.20982"); + values ( \ + "0.0125139, 0.146043, 0.236624, 0.386403, 0.637439, 1.05577, 1.75341", \ + "0.0214942, 0.14946, 0.237936, 0.388958, 0.63744, 1.05637, 1.75342", \ + "0.0306505, 0.159215, 0.24448, 0.389742, 0.639993, 1.05638, 1.75343", \ + "0.0465201, 0.183103, 0.265957, 0.40546, 0.646134, 1.05838, 1.75389", \ + "0.0753785, 0.232677, 0.316042, 0.452167, 0.683287, 1.07906, 1.76003", \ + "0.132119, 0.323923, 0.416766, 0.558757, 0.784599, 1.16313, 1.81556", \ + "0.229017, 0.485581, 0.592908, 0.751794, 0.996489, 1.37092, 1.99782" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0108229, 0.103423, 0.165823, 0.269023, 0.441823, 0.729823, 1.20982"); + values ( \ + "0.0156433, 0.0175776, 0.0164483, 0.0150166, 0.0135179, 0.011564, 0.0107885", \ + "0.0191044, 0.0183413, 0.0176618, 0.0163539, 0.0146613, 0.012382, 0.0115354", \ + "0.0236923, 0.0196799, 0.0193051, 0.0180345, 0.0159199, 0.0135296, 0.0118323", \ + "0.0335571, 0.0247739, 0.022964, 0.0218009, 0.0187767, 0.0164109, 0.0140572", \ + "0.0548223, 0.0379112, 0.0353567, 0.0311699, 0.0273434, 0.0226963, 0.0199024", \ + "0.0982216, 0.0701239, 0.0624481, 0.055454, 0.0477705, 0.039556, 0.0358301", \ + "0.182766, 0.143621, 0.130498, 0.115944, 0.101432, 0.0863339, 0.0676415" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0155893, 0.108189, 0.170589, 0.273789, 0.446589, 0.734589, 1.21459"); + values ( \ + "0.0149599, 0.019225, 0.0192627, 0.0190876, 0.0184875, 0.0173552, 0.0151277", \ + "0.0174879, 0.0183271, 0.0191143, 0.0186682, 0.018241, 0.0172308, 0.0153419", \ + "0.0217955, 0.0191977, 0.0188701, 0.0191961, 0.018546, 0.0192006, 0.0151372", \ + "0.031782, 0.0229112, 0.0218769, 0.0207164, 0.0201522, 0.0180282, 0.0156213", \ + "0.0526227, 0.0349166, 0.0313236, 0.0284865, 0.0254908, 0.0223012, 0.0207253", \ + "0.0936917, 0.0654124, 0.0575068, 0.0490547, 0.0428484, 0.0361629, 0.0275344", \ + "0.178824, 0.138383, 0.123855, 0.10714, 0.089977, 0.0745923, 0.0603819" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0108229, 0.103423, 0.165823, 0.269023, 0.441823, 0.729823, 1.20982"); + values ( \ + "0.0252045, 0.0248483, 0.0245716, 0.024079, 0.0232485, 0.0218852, 0.0225397", \ + "0.025047, 0.0247757, 0.0246416, 0.0247215, 0.0230729, 0.0220865, 0.0223466", \ + "0.0249814, 0.024724, 0.0247572, 0.0245971, 0.0237273, 0.0215485, 0.0222333", \ + "0.0247048, 0.0247002, 0.0241749, 0.0245373, 0.0231028, 0.0215633, 0.0224693", \ + "0.0244798, 0.0244649, 0.0244633, 0.0239483, 0.0232778, 0.023871, 0.0218768", \ + "0.0242901, 0.0241742, 0.0243123, 0.0247618, 0.0239, 0.0215781, 0.0256772", \ + "0.0235657, 0.0230713, 0.0232208, 0.0233084, 0.0246961, 0.0234166, 0.0217928" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00793687; + rise_capacitance : 0.0100335; + rise_capacitance_range (0.0100335, 0.0100335); + fall_capacitance : 0.00584027; + fall_capacitance_range (0.00584027, 0.00584027); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00962202; + rise_capacitance : 0.0107298; + rise_capacitance_range (0.0107298, 0.0107298); + fall_capacitance : 0.00851423; + fall_capacitance_range (0.00851423, 0.00851423); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0142082, -0.0145302, -0.0138233, -0.0114914, -0.0062086, 0.00586832, 0.0312973" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0220134, 0.0228242, 0.0240551, 0.0269197, 0.0330827, 0.0455199, 0.0718371" \ + ); + } + } + } + } + cell (sg13g2_einvn_8) { + area : 39.9168; + cell_footprint : "ITL"; + cell_leakage_power : 3016.8; + leakage_power () { + value : 2425.43; + when : "!A&!TE_B"; + } + leakage_power () { + value : 3608.16; + when : "A&!TE_B"; + } + pin (Z) { + direction : "output"; + function : "!(A)"; + three_state : "TE_B"; + min_capacitance : 0.001; + max_capacitance : 2.4; + capacitance : 0.0242296; + rise_capacitance : 0.0194982; + rise_capacitance_range (0.0194982, 0.0194982); + fall_capacitance : 0.0289611; + fall_capacitance_range (0.0289611, 0.0289611); + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0204982, 0.206698, 0.331498, 0.537898, 0.883498, 1.4595, 2.4195"); + values ( \ + "0.0179752, 0.114714, 0.17674, 0.279629, 0.452568, 0.741082, 1.22243", \ + "0.0283055, 0.147025, 0.20965, 0.312591, 0.485397, 0.774059, 1.25502", \ + "0.0348662, 0.174419, 0.241456, 0.345782, 0.518427, 0.806364, 1.28782", \ + "0.0435087, 0.216205, 0.293899, 0.407837, 0.584493, 0.872516, 1.35309", \ + "0.0573221, 0.275266, 0.371282, 0.50638, 0.70358, 1.00342, 1.48509", \ + "0.0723449, 0.351994, 0.474244, 0.642863, 0.882534, 1.22621, 1.73848", \ + "0.0982137, 0.461078, 0.618664, 0.834993, 1.13613, 1.5578, 2.15998" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0204982, 0.206698, 0.331498, 0.537898, 0.883498, 1.4595, 2.4195"); + values ( \ + "0.0127538, 0.146784, 0.237959, 0.388525, 0.640794, 1.06119, 1.76189", \ + "0.0236488, 0.152896, 0.240113, 0.388807, 0.640795, 1.06169, 1.76194", \ + "0.0326313, 0.168197, 0.251085, 0.394081, 0.642259, 1.0617, 1.76227", \ + "0.0472885, 0.199965, 0.282986, 0.418773, 0.654941, 1.06731, 1.76228", \ + "0.0732139, 0.254286, 0.344713, 0.484092, 0.710463, 1.09873, 1.7737", \ + "0.110798, 0.346197, 0.451649, 0.606769, 0.842671, 1.22078, 1.85895", \ + "0.186868, 0.488394, 0.621904, 0.807465, 1.0739, 1.47773, 2.11193" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0299611, 0.216161, 0.340961, 0.547361, 0.892961, 1.46896, 2.42896"); + values ( \ + "0.015757, 0.0858921, 0.129832, 0.202459, 0.323676, 0.525951, 0.862661", \ + "0.0236888, 0.119081, 0.165564, 0.238839, 0.360185, 0.562391, 0.899445", \ + "0.0285816, 0.145058, 0.197329, 0.275117, 0.39839, 0.600621, 0.937499", \ + "0.0322579, 0.179332, 0.242706, 0.332457, 0.465933, 0.673077, 1.01047", \ + "0.0354979, 0.225459, 0.305589, 0.416205, 0.573452, 0.803312, 1.15381", \ + "0.0354989, 0.284232, 0.388241, 0.530852, 0.726863, 1.00391, 1.40474", \ + "0.0354999, 0.35825, 0.491892, 0.675629, 0.932973, 1.28211, 1.77107" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0299611, 0.216161, 0.340961, 0.547361, 0.892961, 1.46896, 2.42896"); + values ( \ + "0.00844768, 0.095742, 0.155134, 0.253953, 0.419296, 0.694284, 1.1529", \ + "0.0188911, 0.106715, 0.161977, 0.256644, 0.419297, 0.694285, 1.15291", \ + "0.0282984, 0.123998, 0.178055, 0.268504, 0.425483, 0.697326, 1.15292", \ + "0.0429855, 0.155844, 0.212784, 0.30235, 0.45235, 0.710669, 1.15731", \ + "0.0676379, 0.209213, 0.274079, 0.369986, 0.522045, 0.770176, 1.19524", \ + "0.110443, 0.295402, 0.375218, 0.4865, 0.653249, 0.911937, 1.32511", \ + "0.189334, 0.43633, 0.53701, 0.678894, 0.874942, 1.16513, 1.6009" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : positive_unate; + timing_type : three_state_disable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0204982, 0.206698, 0.331498, 0.537898, 0.883498, 1.4595, 2.4195"); + values ( \ + "0.0510569, 0.0510579, 0.0510589, 0.0510969, 0.0510979, 0.0510989, 0.0510999", \ + "0.079755, 0.079756, 0.079757, 0.079758, 0.079759, 0.07976, 0.079761", \ + "0.10081, 0.100811, 0.100812, 0.100813, 0.100814, 0.100815, 0.100816", \ + "0.123113, 0.123114, 0.123115, 0.123116, 0.123117, 0.123118, 0.123119", \ + "0.154834, 0.154835, 0.154836, 0.154837, 0.154838, 0.154839, 0.15484", \ + "0.198936, 0.198937, 0.198938, 0.198939, 0.19894, 0.198941, 0.198942", \ + "0.260621, 0.260622, 0.260623, 0.260624, 0.260625, 0.260626, 0.260627" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0204982, 0.206698, 0.331498, 0.537898, 0.883498, 1.4595, 2.4195"); + values ( \ + "0.0510569, 0.0510579, 0.0510589, 0.0510969, 0.0510979, 0.0510989, 0.0510999", \ + "0.079755, 0.079756, 0.079757, 0.079758, 0.079759, 0.07976, 0.079761", \ + "0.10081, 0.100811, 0.100812, 0.100813, 0.100814, 0.100815, 0.100816", \ + "0.123113, 0.123114, 0.123115, 0.123116, 0.123117, 0.123118, 0.123119", \ + "0.154834, 0.154835, 0.154836, 0.154837, 0.154838, 0.154839, 0.15484", \ + "0.198936, 0.198937, 0.198938, 0.198939, 0.19894, 0.198941, 0.198942", \ + "0.260621, 0.260622, 0.260623, 0.260624, 0.260625, 0.260626, 0.260627" \ + ); + } + } + timing () { + related_pin : "TE_B"; + timing_sense : negative_unate; + timing_type : three_state_enable_rise; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0204982, 0.206698, 0.331498, 0.537898, 0.883498, 1.4595, 2.4195"); + values ( \ + "0.0244312, 0.118925, 0.181849, 0.285902, 0.46018, 0.750507, 1.23505", \ + "0.0345109, 0.142709, 0.205968, 0.310022, 0.484396, 0.774594, 1.25874", \ + "0.0345119, 0.161423, 0.227363, 0.332477, 0.506846, 0.797443, 1.28177", \ + "0.0345129, 0.187775, 0.261706, 0.37322, 0.550782, 0.84177, 1.32648", \ + "0.0345139, 0.216589, 0.307401, 0.436508, 0.628715, 0.928803, 1.41505", \ + "0.0345149, 0.21659, 0.34113, 0.507887, 0.73704, 1.07106, 1.58072", \ + "0.0345159, 0.216591, 0.341131, 0.550231, 0.857086, 1.26666, 1.84858" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0204982, 0.206698, 0.331498, 0.537898, 0.883498, 1.4595, 2.4195"); + values ( \ + "0.0120371, 0.146782, 0.237907, 0.388576, 0.640914, 1.06157, 1.7626", \ + "0.0207832, 0.150143, 0.239173, 0.389215, 0.640915, 1.06158, 1.76261", \ + "0.0298408, 0.159861, 0.245654, 0.391751, 0.645343, 1.06159, 1.76262", \ + "0.0456498, 0.183564, 0.2671, 0.407399, 0.649587, 1.06439, 1.76336", \ + "0.0740731, 0.233389, 0.316904, 0.453317, 0.685933, 1.08458, 1.76925", \ + "0.13034, 0.324691, 0.417139, 0.558524, 0.787067, 1.16794, 1.8239", \ + "0.226759, 0.486162, 0.593659, 0.752194, 0.994303, 1.37559, 2.00494" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0204982, 0.206698, 0.331498, 0.537898, 0.883498, 1.4595, 2.4195"); + values ( \ + "0.0310395, 0.0353533, 0.0332564, 0.0307117, 0.0279256, 0.023622, 0.0218237", \ + "0.038261, 0.0369093, 0.0356175, 0.0334405, 0.0303689, 0.026317, 0.0239753", \ + "0.0474716, 0.0397485, 0.0387651, 0.0365893, 0.0334646, 0.0283814, 0.026199", \ + "0.0671022, 0.0494007, 0.0461061, 0.0446739, 0.0388432, 0.0352833, 0.0309575", \ + "0.109419, 0.0766173, 0.0694311, 0.0619933, 0.0557008, 0.0474511, 0.0450558", \ + "0.196072, 0.142665, 0.125903, 0.11203, 0.0990064, 0.0835849, 0.0800225", \ + "0.365727, 0.286946, 0.260265, 0.234253, 0.204391, 0.174673, 0.142187" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0299611, 0.216161, 0.340961, 0.547361, 0.892961, 1.46896, 2.42896"); + values ( \ + "0.0291714, 0.0383288, 0.0380136, 0.0376956, 0.0364409, 0.0344072, 0.029999", \ + "0.0345988, 0.0362748, 0.0380633, 0.0379893, 0.035838, 0.0339332, 0.0302353", \ + "0.04357, 0.0378397, 0.0372948, 0.0385056, 0.0363073, 0.0357439, 0.029718", \ + "0.0629893, 0.0451403, 0.0432655, 0.0408225, 0.0400096, 0.0356842, 0.0327563", \ + "0.103656, 0.068627, 0.0615782, 0.0559908, 0.0499221, 0.0445522, 0.0375163", \ + "0.186788, 0.129778, 0.113974, 0.0972152, 0.0840207, 0.071577, 0.0546494", \ + "0.35515, 0.273373, 0.244484, 0.210666, 0.177564, 0.147285, 0.117026" \ + ); + } + } + internal_power () { + related_pin : "TE_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.0204982, 0.206698, 0.331498, 0.537898, 0.883498, 1.4595, 2.4195"); + values ( \ + "0.0529979, 0.0520814, 0.0515158, 0.0505877, 0.0492075, 0.0464377, 0.047182", \ + "0.0515477, 0.0510512, 0.0508255, 0.0496157, 0.0477368, 0.0447151, 0.046136", \ + "0.0515271, 0.0509543, 0.0514883, 0.0500037, 0.0501776, 0.0449983, 0.0459358", \ + "0.051495, 0.0513737, 0.0506415, 0.0507757, 0.0478927, 0.0453471, 0.0465312", \ + "0.0507007, 0.0508007, 0.0507007, 0.0492153, 0.0479571, 0.0453198, 0.0447765", \ + "0.0504501, 0.0503021, 0.0505101, 0.0503771, 0.0501401, 0.0455711, 0.0520221", \ + "0.0495507, 0.0487697, 0.0492907, 0.0487457, 0.0494377, 0.0493737, 0.0454497" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0156009; + rise_capacitance : 0.019749; + rise_capacitance_range (0.019749, 0.019749); + fall_capacitance : 0.0114528; + fall_capacitance_range (0.0114528, 0.0114528); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (TE_B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0162983; + rise_capacitance : 0.0179405; + rise_capacitance_range (0.0179405, 0.0179405); + fall_capacitance : 0.0146561; + fall_capacitance_range (0.0146561, 0.0146561); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0323351, -0.0329791, -0.0326775, -0.0309948, -0.02651, -0.0152634, 0.0093192" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0386108, 0.0402302, 0.0412606, 0.0436834, 0.0498533, 0.0617349, 0.0874283" \ + ); + } + } + } + } + cell (sg13g2_fill_1) { + area : 1.8144; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_2) { + area : 3.6288; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_4) { + area : 7.2576; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_fill_8) { + area : 14.5152; + cell_footprint : "fill"; + dont_touch : true; + dont_use : true; + is_filler_cell : true; + cell_leakage_power : 0; + } + cell (sg13g2_inv_1) { + area : 5.4432; + cell_footprint : "IN"; + cell_leakage_power : 167.818; + leakage_power () { + value : 241.738; + when : "A&!Y"; + } + leakage_power () { + value : 93.8973; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151631, 0.0618538, 0.092707, 0.143576, 0.228679, 0.370428, 0.606508", \ + "0.0269842, 0.0958453, 0.129768, 0.181797, 0.266754, 0.408472, 0.64476", \ + "0.0335894, 0.120241, 0.159962, 0.217256, 0.304929, 0.446883, 0.682747", \ + "0.0415532, 0.154381, 0.205005, 0.274166, 0.373433, 0.522191, 0.75928", \ + "0.0530238, 0.202565, 0.267801, 0.356605, 0.479528, 0.652328, 0.907051", \ + "0.0634774, 0.255958, 0.345184, 0.46563, 0.628316, 0.848111, 1.15287", \ + "0.0782497, 0.33073, 0.447645, 0.607891, 0.826881, 1.11929, 1.51114" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107615, 0.0736908, 0.119434, 0.195125, 0.321856, 0.53306, 0.885205", \ + "0.0238199, 0.0874141, 0.12815, 0.198967, 0.322549, 0.533061, 0.885206", \ + "0.0335858, 0.105822, 0.146189, 0.212983, 0.330416, 0.535246, 0.886194", \ + "0.0489088, 0.137976, 0.182094, 0.250118, 0.361125, 0.553927, 0.891639", \ + "0.0759042, 0.188744, 0.24282, 0.319519, 0.436897, 0.621566, 0.937442", \ + "0.114735, 0.269838, 0.342516, 0.438459, 0.573381, 0.770855, 1.08037", \ + "0.192272, 0.393868, 0.487937, 0.61969, 0.794811, 1.02796, 1.37325" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014379, 0.0536027, 0.078434, 0.119478, 0.188179, 0.302568, 0.493201", \ + "0.0245616, 0.086986, 0.116681, 0.160693, 0.230348, 0.344779, 0.535286", \ + "0.031105, 0.110029, 0.145924, 0.196399, 0.271347, 0.388192, 0.578983", \ + "0.0371358, 0.1396, 0.185294, 0.247937, 0.336009, 0.464494, 0.661401", \ + "0.044543, 0.178913, 0.239618, 0.320745, 0.43195, 0.586282, 0.808103", \ + "0.0517515, 0.230656, 0.309861, 0.418333, 0.565526, 0.762788, 1.036", \ + "0.0565216, 0.296462, 0.401277, 0.541719, 0.738745, 1.00403, 1.35671" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00868377, 0.0544164, 0.0877285, 0.143373, 0.236471, 0.391824, 0.650622", \ + "0.0206875, 0.0712306, 0.101319, 0.151889, 0.240397, 0.393099, 0.650623", \ + "0.0305557, 0.0893562, 0.120653, 0.170208, 0.254026, 0.39997, 0.653227", \ + "0.0457829, 0.118841, 0.155364, 0.208346, 0.292143, 0.430564, 0.670493", \ + "0.0708282, 0.166948, 0.211285, 0.274329, 0.365404, 0.507079, 0.736886", \ + "0.114941, 0.242006, 0.301117, 0.380914, 0.491523, 0.649289, 0.89255", \ + "0.195453, 0.368232, 0.442105, 0.548069, 0.691927, 0.886752, 1.16753" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00230339, 0.00292049, 0.00294378, 0.00289964, 0.00276899, 0.002477, 0.00191094", \ + "0.00333053, 0.00282372, 0.0029138, 0.00283629, 0.00271287, 0.00231783, 0.00183265", \ + "0.00475488, 0.00346292, 0.00316551, 0.00312658, 0.00278591, 0.00243474, 0.00212269", \ + "0.0077865, 0.00529761, 0.00470699, 0.00406663, 0.00361454, 0.00280334, 0.00396661", \ + "0.014225, 0.00981066, 0.00897222, 0.00772897, 0.00632849, 0.00477034, 0.00381903", \ + "0.0271954, 0.0204058, 0.0181839, 0.0156806, 0.0130366, 0.0106502, 0.00721313", \ + "0.0536955, 0.0439819, 0.0401931, 0.0356376, 0.031057, 0.0255862, 0.0208088" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00240283, 0.00306584, 0.00324691, 0.00303492, 0.00288086, 0.002628, 0.00220478", \ + "0.00313543, 0.00293881, 0.00293022, 0.00303335, 0.00290171, 0.00274309, 0.00204319", \ + "0.0044707, 0.00339345, 0.00330437, 0.0031171, 0.00305423, 0.00271097, 0.0022705", \ + "0.00758521, 0.00488392, 0.00440774, 0.00404629, 0.00347631, 0.00316731, 0.00271379", \ + "0.0138467, 0.00902528, 0.00789095, 0.00670482, 0.00576785, 0.0045534, 0.00345016", \ + "0.0266252, 0.0189444, 0.0165573, 0.0139926, 0.0113834, 0.00934715, 0.00725477", \ + "0.0528443, 0.0420577, 0.0377638, 0.0328434, 0.0275565, 0.0222424, 0.0179956" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00299538; + rise_capacitance : 0.00304591; + rise_capacitance_range (0.00304591, 0.00304591); + fall_capacitance : 0.00294484; + fall_capacitance_range (0.00294484, 0.00294484); + } + } + cell (sg13g2_inv_16) { + area : 34.4736; + cell_footprint : "IN"; + cell_leakage_power : 2685.07; + leakage_power () { + value : 3867.8; + when : "A&!Y"; + } + leakage_power () { + value : 1502.34; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 4.8; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0118456, 0.0621003, 0.0932115, 0.144532, 0.230453, 0.37335, 0.61167", \ + "0.0202512, 0.0961103, 0.130295, 0.182703, 0.268531, 0.411486, 0.650319", \ + "0.024847, 0.120364, 0.160365, 0.218183, 0.306608, 0.44975, 0.687826", \ + "0.0300596, 0.154368, 0.205338, 0.274977, 0.374907, 0.52483, 0.764147", \ + "0.0378828, 0.202521, 0.267907, 0.357529, 0.481097, 0.654992, 0.911643", \ + "0.0447997, 0.2557, 0.34522, 0.466763, 0.630342, 0.85106, 1.15699", \ + "0.0546405, 0.330216, 0.447755, 0.608842, 0.828555, 1.12151, 1.51598" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00787196, 0.0740523, 0.120366, 0.197049, 0.325615, 0.539313, 0.895987", \ + "0.0188606, 0.0876349, 0.12899, 0.200818, 0.326034, 0.539314, 0.896378", \ + "0.0269126, 0.10576, 0.147039, 0.214737, 0.333726, 0.541361, 0.897266", \ + "0.0397852, 0.138091, 0.182737, 0.251792, 0.364415, 0.559894, 0.902325", \ + "0.064352, 0.188613, 0.243221, 0.320734, 0.438943, 0.626892, 0.947503", \ + "0.100588, 0.269648, 0.342745, 0.439626, 0.575356, 0.774823, 1.08996", \ + "0.175265, 0.393596, 0.488221, 0.620656, 0.797203, 1.03174, 1.37891" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0113155, 0.0539079, 0.0790217, 0.120503, 0.189872, 0.305432, 0.498059", \ + "0.0186149, 0.0872607, 0.117227, 0.161685, 0.232084, 0.347677, 0.540155", \ + "0.0229904, 0.110296, 0.146546, 0.197406, 0.273028, 0.391023, 0.583803", \ + "0.0266727, 0.139873, 0.185921, 0.249075, 0.337786, 0.467324, 0.666008", \ + "0.0308154, 0.179048, 0.240164, 0.321859, 0.433907, 0.58948, 0.813022", \ + "0.0339082, 0.23081, 0.310359, 0.419671, 0.567783, 0.766432, 1.04147", \ + "0.0339092, 0.296688, 0.401982, 0.543462, 0.740876, 1.00765, 1.36253" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.00632816, 0.0547973, 0.0886661, 0.145093, 0.239757, 0.397321, 0.66021", \ + "0.0163392, 0.0716462, 0.102162, 0.153553, 0.243306, 0.398097, 0.660211", \ + "0.0249634, 0.0894936, 0.121368, 0.171704, 0.257094, 0.405712, 0.662063", \ + "0.0382603, 0.119123, 0.156062, 0.209779, 0.295179, 0.435726, 0.680063", \ + "0.0609811, 0.167167, 0.211754, 0.276141, 0.367976, 0.511982, 0.745628", \ + "0.101345, 0.241997, 0.301799, 0.382345, 0.49305, 0.654919, 0.901235", \ + "0.179083, 0.368389, 0.44268, 0.548509, 0.695216, 0.890607, 1.17363" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.034063, 0.0451796, 0.0454271, 0.0446036, 0.042986, 0.036984, 0.0276448", \ + "0.0545986, 0.044018, 0.0453375, 0.0430787, 0.0403633, 0.0367626, 0.0296848", \ + "0.0791554, 0.0536129, 0.0491334, 0.049224, 0.0429735, 0.0377307, 0.0324143", \ + "0.129728, 0.0836693, 0.0742362, 0.0642511, 0.0573452, 0.0449477, 0.0563913", \ + "0.235208, 0.155897, 0.141953, 0.122537, 0.0995193, 0.0763077, 0.0744878", \ + "0.445231, 0.325227, 0.287634, 0.249919, 0.208498, 0.167821, 0.118968", \ + "0.869372, 0.701873, 0.641213, 0.568261, 0.495377, 0.407024, 0.329659" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.3744, 0.624, 1.0368, 1.728, 2.88, 4.8"); + values ( \ + "0.0296812, 0.04262, 0.0427928, 0.0419242, 0.0400231, 0.0353253, 0.0285911", \ + "0.0466548, 0.041613, 0.0411241, 0.0425565, 0.0386241, 0.0350704, 0.0278617", \ + "0.0703551, 0.048119, 0.0466559, 0.043496, 0.0438521, 0.0378581, 0.0286762", \ + "0.121128, 0.0724425, 0.0648896, 0.0586631, 0.051777, 0.0450262, 0.0347018", \ + "0.225907, 0.138723, 0.120196, 0.102293, 0.0873314, 0.0725281, 0.0514299", \ + "0.431943, 0.297699, 0.258691, 0.21807, 0.176197, 0.147394, 0.115299", \ + "0.854677, 0.666912, 0.597039, 0.517527, 0.432794, 0.347903, 0.280173" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0478841; + rise_capacitance : 0.0487076; + rise_capacitance_range (0.0487076, 0.0487076); + fall_capacitance : 0.0470607; + fall_capacitance_range (0.0470607, 0.0470607); + } + } + cell (sg13g2_inv_2) { + area : 7.2576; + cell_footprint : "IN"; + cell_leakage_power : 335.624; + leakage_power () { + value : 483.452; + when : "A&!Y"; + } + leakage_power () { + value : 187.796; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0129683, 0.0614381, 0.0923393, 0.143256, 0.228408, 0.370262, 0.606506", \ + "0.022479, 0.0954143, 0.129415, 0.18146, 0.266591, 0.408433, 0.645225", \ + "0.0277649, 0.119624, 0.159504, 0.216862, 0.304678, 0.44673, 0.68279", \ + "0.0338463, 0.153425, 0.204255, 0.27378, 0.373016, 0.522011, 0.759267", \ + "0.0429488, 0.201482, 0.26665, 0.356004, 0.479143, 0.652019, 0.906956", \ + "0.0510205, 0.254336, 0.343633, 0.464853, 0.626947, 0.847416, 1.15269", \ + "0.0624268, 0.328722, 0.44624, 0.606449, 0.825064, 1.11682, 1.51064" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0087879, 0.0729959, 0.118802, 0.194623, 0.32153, 0.533054, 0.885746", \ + "0.0205445, 0.086724, 0.127548, 0.198487, 0.322235, 0.533231, 0.885862", \ + "0.0291359, 0.105021, 0.145628, 0.212495, 0.330109, 0.535236, 0.885863", \ + "0.0427869, 0.137086, 0.181465, 0.249826, 0.361064, 0.553927, 0.892164", \ + "0.0682131, 0.187677, 0.24201, 0.319226, 0.435834, 0.621511, 0.93789", \ + "0.105096, 0.268512, 0.341081, 0.437889, 0.572299, 0.769631, 1.08086", \ + "0.181214, 0.391821, 0.488027, 0.617446, 0.793073, 1.02781, 1.37369" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0122881, 0.0532943, 0.0781765, 0.11923, 0.187975, 0.302496, 0.493255", \ + "0.0205799, 0.086496, 0.116319, 0.160432, 0.230186, 0.344701, 0.535413", \ + "0.0256575, 0.109456, 0.145503, 0.196074, 0.271144, 0.388097, 0.579035", \ + "0.030094, 0.138864, 0.184691, 0.247509, 0.335741, 0.464321, 0.661418", \ + "0.0352885, 0.177783, 0.238717, 0.320155, 0.431514, 0.586112, 0.808089", \ + "0.0397024, 0.229438, 0.308669, 0.417661, 0.564961, 0.762486, 1.03599", \ + "0.0409209, 0.294449, 0.399837, 0.540536, 0.737178, 1.00298, 1.35643" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00708669, 0.053936, 0.0873336, 0.143065, 0.236363, 0.39193, 0.651276", \ + "0.0177572, 0.0708751, 0.101026, 0.151601, 0.240151, 0.393008, 0.651277", \ + "0.0268505, 0.088859, 0.120165, 0.170037, 0.253956, 0.400259, 0.653333", \ + "0.0407551, 0.118321, 0.155153, 0.207955, 0.292124, 0.43059, 0.671206", \ + "0.0640649, 0.165888, 0.210601, 0.273929, 0.365216, 0.50726, 0.737562", \ + "0.106183, 0.241199, 0.300394, 0.380299, 0.49069, 0.650542, 0.893987", \ + "0.184346, 0.366865, 0.440892, 0.546244, 0.689266, 0.886392, 1.16627" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00407163, 0.00548468, 0.00545375, 0.00536871, 0.00508759, 0.00451235, 0.0033246", \ + "0.00646387, 0.00520471, 0.00529826, 0.0050749, 0.00483425, 0.00440281, 0.00340986", \ + "0.00946418, 0.00650981, 0.00592288, 0.00576001, 0.00539467, 0.00435962, 0.00325945", \ + "0.0156927, 0.010182, 0.00898046, 0.00776707, 0.00682375, 0.00520437, 0.00731349", \ + "0.0288034, 0.0192684, 0.0174494, 0.0150846, 0.0121133, 0.00921283, 0.00783929", \ + "0.0549887, 0.0404842, 0.0357221, 0.0310182, 0.0255661, 0.0203367, 0.013995", \ + "0.108175, 0.0876592, 0.0801168, 0.070721, 0.0614119, 0.0503864, 0.0410004" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00368167, 0.00524955, 0.00542142, 0.00515128, 0.00487566, 0.00439315, 0.00353973", \ + "0.00563902, 0.00509771, 0.00497422, 0.00515946, 0.00479914, 0.00442836, 0.00332933", \ + "0.00844787, 0.00589003, 0.00567503, 0.00536302, 0.00518891, 0.00457, 0.00344833", \ + "0.0147587, 0.00890918, 0.00798265, 0.00716786, 0.00605639, 0.00535168, 0.00424145", \ + "0.027703, 0.0171182, 0.014882, 0.0125618, 0.0105642, 0.00866087, 0.00607279", \ + "0.0533948, 0.0371815, 0.0323138, 0.0271663, 0.0219115, 0.0181418, 0.0138122", \ + "0.106495, 0.0834483, 0.0747123, 0.0646042, 0.0534028, 0.0436605, 0.0346485" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00586547; + rise_capacitance : 0.00596727; + rise_capacitance_range (0.00596727, 0.00596727); + fall_capacitance : 0.00576367; + fall_capacitance_range (0.00576367, 0.00576367); + } + } + cell (sg13g2_inv_4) { + area : 10.8864; + cell_footprint : "IN"; + cell_leakage_power : 671.273; + leakage_power () { + value : 966.953; + when : "A&!Y"; + } + leakage_power () { + value : 375.592; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 1.2; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0120419, 0.0615861, 0.0925887, 0.143723, 0.22938, 0.371707, 0.609038", \ + "0.0206905, 0.0954523, 0.12962, 0.181911, 0.267465, 0.409853, 0.647363", \ + "0.0254253, 0.119686, 0.159736, 0.217284, 0.305434, 0.448041, 0.68518", \ + "0.0308112, 0.153673, 0.204554, 0.274152, 0.3738, 0.523339, 0.761688", \ + "0.0389439, 0.201317, 0.266982, 0.356555, 0.479893, 0.653444, 0.909298", \ + "0.0459971, 0.254498, 0.344001, 0.46554, 0.628555, 0.849246, 1.1558", \ + "0.0558923, 0.32856, 0.446577, 0.607168, 0.826833, 1.1197, 1.51356" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00808809, 0.073296, 0.119312, 0.195459, 0.323113, 0.535458, 0.889656", \ + "0.0192984, 0.087032, 0.128029, 0.199258, 0.323612, 0.535459, 0.889758", \ + "0.0274527, 0.105375, 0.146078, 0.213269, 0.33147, 0.537536, 0.890722", \ + "0.0405217, 0.137592, 0.181912, 0.250438, 0.362256, 0.5562, 0.896087", \ + "0.0653828, 0.187726, 0.242352, 0.32007, 0.437322, 0.623602, 0.941625", \ + "0.101688, 0.268941, 0.341692, 0.438791, 0.574158, 0.772454, 1.085", \ + "0.176629, 0.392547, 0.488867, 0.618577, 0.795834, 1.03008, 1.37471" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.0114686, 0.0536498, 0.0787803, 0.12028, 0.189763, 0.305452, 0.498523", \ + "0.0190248, 0.0869131, 0.11692, 0.161411, 0.231901, 0.347615, 0.540355", \ + "0.0235873, 0.109915, 0.146216, 0.197186, 0.272898, 0.391032, 0.583956", \ + "0.0274629, 0.139422, 0.185589, 0.248859, 0.337686, 0.467403, 0.666275", \ + "0.0319648, 0.178536, 0.239793, 0.321688, 0.433706, 0.589566, 0.813309", \ + "0.0354306, 0.230321, 0.310081, 0.419387, 0.567699, 0.766479, 1.04166", \ + "0.0357998, 0.29596, 0.40189, 0.543342, 0.741278, 1.00846, 1.36347" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00652903, 0.0544995, 0.0882364, 0.144658, 0.23898, 0.396275, 0.658509", \ + "0.0167146, 0.0713418, 0.101806, 0.15311, 0.242649, 0.3971, 0.65851", \ + "0.0254658, 0.0892782, 0.121096, 0.17126, 0.256399, 0.404479, 0.660408", \ + "0.038932, 0.118753, 0.15584, 0.209502, 0.294488, 0.434803, 0.678444", \ + "0.0615618, 0.16681, 0.211654, 0.275815, 0.368039, 0.511199, 0.744019", \ + "0.102481, 0.242166, 0.301606, 0.382194, 0.492823, 0.654375, 0.900339", \ + "0.180461, 0.367697, 0.442705, 0.548244, 0.694643, 0.891008, 1.17301" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00815427, 0.0110244, 0.0110378, 0.0108287, 0.0103076, 0.00898974, 0.00675782", \ + "0.0131194, 0.0105478, 0.0108874, 0.01058, 0.00999037, 0.00873984, 0.00641823", \ + "0.0192263, 0.0131152, 0.0118977, 0.0117896, 0.0106254, 0.00887041, 0.00767352", \ + "0.0317757, 0.0204507, 0.0180248, 0.015438, 0.0137622, 0.0102652, 0.00900971", \ + "0.0580767, 0.0382643, 0.0348899, 0.030239, 0.0244733, 0.0181945, 0.0176812", \ + "0.110511, 0.0808127, 0.071307, 0.0619274, 0.0512906, 0.0413234, 0.0285648", \ + "0.216639, 0.174951, 0.159759, 0.14116, 0.123054, 0.100633, 0.0813371" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0936, 0.156, 0.2592, 0.432, 0.72, 1.2"); + values ( \ + "0.00715246, 0.0103983, 0.0105282, 0.0103007, 0.00975423, 0.00876923, 0.00733788", \ + "0.0112601, 0.00999476, 0.0098702, 0.0104135, 0.00949619, 0.0086009, 0.00670432", \ + "0.0171096, 0.0116578, 0.0113191, 0.0106576, 0.0104752, 0.00928117, 0.00677805", \ + "0.0297163, 0.0175856, 0.0157979, 0.0143468, 0.0120044, 0.0108428, 0.00989002", \ + "0.0557693, 0.0341446, 0.0296055, 0.025059, 0.0212611, 0.0171329, 0.0123666", \ + "0.107429, 0.0740625, 0.064311, 0.0540232, 0.0433186, 0.0359676, 0.0275448", \ + "0.212624, 0.166356, 0.149023, 0.128793, 0.107706, 0.0866048, 0.0690131" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.011697; + rise_capacitance : 0.0119001; + rise_capacitance_range (0.0119001, 0.0119001); + fall_capacitance : 0.0114939; + fall_capacitance_range (0.0114939, 0.0114939); + } + } + cell (sg13g2_inv_8) { + area : 18.144; + cell_footprint : "IN"; + cell_leakage_power : 1342.57; + leakage_power () { + value : 1933.98; + when : "A&!Y"; + } + leakage_power () { + value : 751.164; + when : "!A&Y"; + } + pin (Y) { + direction : "output"; + function : "!(A)"; + min_capacitance : 0.001; + max_capacitance : 2.4; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0117596, 0.0617811, 0.092802, 0.14399, 0.229897, 0.372376, 0.609852", \ + "0.0200826, 0.0956357, 0.129796, 0.182112, 0.267706, 0.410381, 0.648145", \ + "0.0246001, 0.119968, 0.16, 0.217667, 0.305863, 0.448623, 0.685988", \ + "0.0297184, 0.153839, 0.204771, 0.274352, 0.374106, 0.52379, 0.762318", \ + "0.0375044, 0.201926, 0.267337, 0.357037, 0.480316, 0.653859, 0.910081", \ + "0.0441387, 0.254847, 0.344367, 0.465877, 0.628679, 0.84978, 1.15619", \ + "0.0537136, 0.328995, 0.447006, 0.607637, 0.827325, 1.12042, 1.51403" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00780709, 0.0734261, 0.119474, 0.195717, 0.323769, 0.536085, 0.890819", \ + "0.0187387, 0.0871532, 0.128198, 0.19951, 0.324035, 0.5365, 0.89082", \ + "0.0266989, 0.1054, 0.146092, 0.213521, 0.33181, 0.538263, 0.89191", \ + "0.0396146, 0.137456, 0.182094, 0.250818, 0.362592, 0.556753, 0.896865", \ + "0.0642269, 0.188128, 0.242618, 0.320549, 0.437427, 0.624058, 0.942589", \ + "0.100296, 0.26913, 0.341942, 0.438969, 0.574097, 0.772956, 1.08585", \ + "0.175177, 0.39279, 0.489119, 0.61889, 0.796194, 1.03073, 1.37381" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0112341, 0.053801, 0.0789692, 0.120495, 0.190018, 0.305769, 0.498706", \ + "0.0184984, 0.087127, 0.117146, 0.161653, 0.232111, 0.347918, 0.540867", \ + "0.0228172, 0.110086, 0.146399, 0.19735, 0.273085, 0.391271, 0.584306", \ + "0.0264722, 0.139643, 0.185749, 0.249085, 0.337927, 0.467669, 0.666678", \ + "0.0305934, 0.178804, 0.239991, 0.322035, 0.434198, 0.590086, 0.814032", \ + "0.0336659, 0.230619, 0.310388, 0.419882, 0.567724, 0.766852, 1.04214", \ + "0.0336669, 0.296493, 0.402098, 0.543539, 0.741547, 1.00883, 1.36411" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.00628229, 0.0545839, 0.0883406, 0.144818, 0.239205, 0.396701, 0.659014", \ + "0.0162727, 0.0714009, 0.101925, 0.1532, 0.242838, 0.398094, 0.659015", \ + "0.0247925, 0.0893878, 0.121157, 0.171424, 0.25657, 0.404701, 0.660914", \ + "0.0380851, 0.118938, 0.155876, 0.209594, 0.294498, 0.434881, 0.678779", \ + "0.0607827, 0.167038, 0.211663, 0.27549, 0.36812, 0.51139, 0.744332", \ + "0.101232, 0.242352, 0.301762, 0.382235, 0.493709, 0.653535, 0.900764", \ + "0.178335, 0.367913, 0.443215, 0.54868, 0.695045, 0.89128, 1.17404" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0163378, 0.0222004, 0.0221012, 0.0217194, 0.0214319, 0.0184211, 0.0139633", \ + "0.0265405, 0.0210768, 0.0217428, 0.0204693, 0.0197561, 0.0185287, 0.013153", \ + "0.0384691, 0.0259837, 0.023787, 0.0238535, 0.0208812, 0.0178937, 0.0156167", \ + "0.0640745, 0.0408854, 0.0361979, 0.0306178, 0.0274188, 0.0210783, 0.0297345", \ + "0.116888, 0.0770917, 0.0698747, 0.0606822, 0.0480754, 0.0360449, 0.0299239", \ + "0.221756, 0.161697, 0.14268, 0.123757, 0.102261, 0.0821038, 0.0567723", \ + "0.433771, 0.349925, 0.319541, 0.282262, 0.24606, 0.201633, 0.160798" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.1872, 0.312, 0.5184, 0.864, 1.44, 2.4"); + values ( \ + "0.0141853, 0.0208026, 0.0209844, 0.0206298, 0.0195241, 0.0175701, 0.0139019", \ + "0.0229017, 0.0201065, 0.0197025, 0.0205999, 0.0195593, 0.0183525, 0.0135742", \ + "0.0345262, 0.023397, 0.022586, 0.0208517, 0.0208607, 0.0179467, 0.0134588", \ + "0.06001, 0.0353407, 0.0315092, 0.0286298, 0.0240725, 0.0215628, 0.0196182", \ + "0.112433, 0.0677427, 0.0576842, 0.0488077, 0.0417235, 0.0338369, 0.023344", \ + "0.215079, 0.148145, 0.12865, 0.108221, 0.086635, 0.0706747, 0.0553214", \ + "0.426683, 0.332734, 0.297436, 0.257218, 0.215916, 0.173234, 0.138677" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0233862; + rise_capacitance : 0.0237881; + rise_capacitance_range (0.0237881, 0.0237881); + fall_capacitance : 0.0229842; + fall_capacitance_range (0.0229842, 0.0229842); + } + } + cell (sg13g2_lgcp_1) { + area : 27.216; + cell_footprint : "gclk"; + clock_gating_integrated_cell : "latch_posedge"; + dont_use : true; + cell_leakage_power : 1124.37; + leakage_power () { + value : 1095.52; + when : "!CLK&GATE&!GCLK"; + } + leakage_power () { + value : 1180.03; + when : "CLK&GATE&GCLK"; + } + leakage_power () { + value : 1097.56; + when : "!GATE&!GCLK"; + } + statetable ("CLK GATE", "int_GATE") { + table : "L L : - : L ,\ + L H : - : H ,\ + H - : - : N "; + } + pin (int_GATE) { + direction : "internal"; + internal_node : "int_GATE"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : "output"; + state_function : "CLK * int_GATE"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0505488, 0.0996898, 0.130778, 0.181924, 0.266867, 0.409, 0.645074", \ + "0.0678022, 0.117967, 0.149063, 0.20011, 0.285293, 0.427125, 0.663373", \ + "0.0798641, 0.131158, 0.162297, 0.213448, 0.298622, 0.440494, 0.676803", \ + "0.0935, 0.147368, 0.178535, 0.229625, 0.31486, 0.45674, 0.692948", \ + "0.11305, 0.1719, 0.203806, 0.255205, 0.340567, 0.482547, 0.718931", \ + "0.137842, 0.205683, 0.239177, 0.291827, 0.37902, 0.522046, 0.758766", \ + "0.16638, 0.247515, 0.284611, 0.340843, 0.430885, 0.578391, 0.819615" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135171, 0.0765528, 0.121605, 0.197354, 0.323927, 0.535198, 0.887283", \ + "0.01534, 0.0768622, 0.121991, 0.197355, 0.324063, 0.535199, 0.887331", \ + "0.0173789, 0.0776008, 0.122441, 0.197694, 0.324114, 0.535339, 0.888776", \ + "0.0208997, 0.0794471, 0.123435, 0.198183, 0.32451, 0.535542, 0.888777", \ + "0.0267926, 0.0838034, 0.126641, 0.200317, 0.325712, 0.536278, 0.888778", \ + "0.0362304, 0.093649, 0.134614, 0.206695, 0.331235, 0.540314, 0.889958", \ + "0.050687, 0.113029, 0.151461, 0.221474, 0.344894, 0.553888, 0.901198" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0433482, 0.0844338, 0.109595, 0.150871, 0.21964, 0.334243, 0.525093", \ + "0.0686611, 0.111018, 0.136259, 0.177585, 0.24642, 0.361, 0.55186", \ + "0.084331, 0.127956, 0.153341, 0.194667, 0.263652, 0.378217, 0.569028", \ + "0.106369, 0.152505, 0.177903, 0.219188, 0.288073, 0.402717, 0.59353", \ + "0.137231, 0.188283, 0.214139, 0.255599, 0.324507, 0.439142, 0.63002", \ + "0.169694, 0.227878, 0.255272, 0.297813, 0.367809, 0.483399, 0.674352", \ + "0.224468, 0.293346, 0.323676, 0.368722, 0.441082, 0.559877, 0.754876" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0111555, 0.057232, 0.0902995, 0.145723, 0.238865, 0.394214, 0.653059", \ + "0.0139354, 0.0581125, 0.0909574, 0.14603, 0.238991, 0.394592, 0.65306", \ + "0.0164041, 0.059246, 0.0916281, 0.146612, 0.239399, 0.394593, 0.653377", \ + "0.020628, 0.0613505, 0.0929999, 0.147311, 0.239904, 0.3948, 0.653378", \ + "0.0271136, 0.0663379, 0.0963935, 0.149484, 0.241148, 0.395859, 0.654089", \ + "0.03572, 0.075758, 0.104181, 0.155902, 0.246363, 0.399501, 0.656549", \ + "0.050373, 0.092258, 0.119242, 0.168951, 0.25868, 0.411982, 0.667812" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0148259, 0.015476, 0.015427, 0.0154256, 0.0152071, 0.0149292, 0.0149879", \ + "0.0147034, 0.0150312, 0.0152061, 0.015078, 0.0150498, 0.0145781, 0.0146971", \ + "0.0151213, 0.0153327, 0.0153656, 0.0154113, 0.0154458, 0.014986, 0.0152369", \ + "0.016742, 0.0165962, 0.0166493, 0.0166919, 0.0165341, 0.0170038, 0.0162003", \ + "0.0210407, 0.0203716, 0.0203892, 0.0204509, 0.020458, 0.0202241, 0.0205444", \ + "0.030957, 0.0292071, 0.0291326, 0.0289063, 0.028971, 0.0290655, 0.0288215", \ + "0.0513081, 0.0483361, 0.0477131, 0.0473621, 0.0472731, 0.0470981, 0.0469861" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0092133, 0.0099518, 0.0099385, 0.0099141, 0.0098123, 0.0095426, 0.0090542", \ + "0.0097454, 0.010083, 0.0101171, 0.0103921, 0.0102473, 0.0099225, 0.0093735", \ + "0.0108043, 0.0109618, 0.0109898, 0.010914, 0.0110736, 0.0110927, 0.0102375", \ + "0.0133331, 0.0130402, 0.0131189, 0.0131215, 0.0129277, 0.0129302, 0.0126508", \ + "0.0180739, 0.0171191, 0.0171334, 0.017126, 0.0171781, 0.0169981, 0.0161525", \ + "0.0284604, 0.0265442, 0.0263644, 0.0263231, 0.0261424, 0.026224, 0.0261804", \ + "0.0491108, 0.0456398, 0.0451178, 0.0446488, 0.0443448, 0.0441388, 0.0442628" \ + ); + } + } + } + pin (CLK) { + clock_gate_clock_pin : true; + direction : "input"; + clock : true; + max_transition : 2.5074; + capacitance : 0.00523114; + rise_capacitance : 0.00535226; + rise_capacitance_range (0.00535226, 0.00535226); + fall_capacitance : 0.00511002; + fall_capacitance_range (0.00511002, 0.00511002); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.160522, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0671387, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0100118, 0.0102534, 0.0112282, 0.0135776, 0.0188739, 0.0301149, 0.0531749" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0125997, 0.013005, 0.0141417, 0.016506, 0.0223976, 0.0334755, 0.0575592" \ + ); + } + } + } + pin (GATE) { + clock_gate_enable_pin : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00244718; + rise_capacitance : 0.00275395; + rise_capacitance_range (0.00275395, 0.00275395); + fall_capacitance : 0.0021404; + fall_capacitance_range (0.0021404, 0.0021404); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0231563, -0.0172175, -0.0350059, -0.0665647", \ + "-0.0870263, -0.0690949, -0.0841717, -0.114445", \ + "-0.124444, -0.107799, -0.129521, -0.159731", \ + "-0.16189, -0.147956, -0.173613, -0.213294" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.00978459, 0.045968, 0.0550064, 0.0561943", \ + "-0.0689081, -0.00516169, 0.0145845, 0.0250534", \ + "-0.106442, -0.0439814, -0.0188885, -0.00435888", \ + "-0.143388, -0.0756439, -0.0464902, -0.0254413" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.049394, 0.0450754, 0.0658672, 0.101797", \ + "0.124963, 0.108652, 0.12416, 0.157378", \ + "0.170736, 0.149142, 0.169997, 0.199281", \ + "0.22785, 0.203544, 0.224462, 0.260265" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.029246, -0.0326205, -0.0447192, -0.0430891", \ + "0.0986081, 0.0327441, 0.0113644, 0.00228801", \ + "0.142447, 0.0752969, 0.0512689, 0.0382583", \ + "0.190686, 0.116827, 0.0888645, 0.0703806" \ + ); + } + } + internal_power () { + when : "!CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0304718, 0.0313466, 0.0317852, 0.0334637, 0.0375283, 0.0468919, 0.0663513" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0259927, 0.0445379, 0.04571, 0.0475386, 0.052209, 0.0616367, 0.0814188" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0304718, 0.0313466, 0.0317852, 0.0334637, 0.0375283, 0.0468919, 0.0663513" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0259927, 0.0445379, 0.04571, 0.0475386, 0.052209, 0.0616367, 0.0814188" \ + ); + } + } + } + } + cell (sg13g2_mux2_1) { + area : 18.144; + cell_footprint : "mux2"; + cell_leakage_power : 726.312; + leakage_power () { + value : 651.367; + when : "!A0&!A1&!S"; + } + leakage_power () { + value : 661.668; + when : "A0&!A1&!S"; + } + leakage_power () { + value : 622.295; + when : "!A0&A1&!S"; + } + leakage_power () { + value : 752.995; + when : "A0&A1&!S"; + } + leakage_power () { + value : 759.829; + when : "!A0&!A1&S"; + } + leakage_power () { + value : 730.754; + when : "A0&!A1&S"; + } + leakage_power () { + value : 770.133; + when : "!A0&A1&S"; + } + leakage_power () { + value : 861.452; + when : "A0&A1&S"; + } + pin (X) { + direction : "output"; + function : "(!S*A0)+(S*A1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0504206, 0.101496, 0.132561, 0.183687, 0.268623, 0.41061, 0.646791", \ + "0.0725695, 0.125106, 0.156239, 0.207291, 0.292559, 0.434363, 0.670504", \ + "0.0872525, 0.141378, 0.172558, 0.223753, 0.309022, 0.450815, 0.687038", \ + "0.104303, 0.161564, 0.192893, 0.243849, 0.329343, 0.471246, 0.707414", \ + "0.12679, 0.189311, 0.220974, 0.272227, 0.357376, 0.499406, 0.735988", \ + "0.151778, 0.224089, 0.258265, 0.310256, 0.396713, 0.539261, 0.776015", \ + "0.173133, 0.260887, 0.299038, 0.354254, 0.443, 0.589006, 0.828972" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167301, 0.0778078, 0.122701, 0.198174, 0.324724, 0.536219, 0.88809", \ + "0.0201543, 0.078878, 0.12333, 0.198341, 0.324869, 0.53622, 0.888091", \ + "0.0231162, 0.0799482, 0.124067, 0.198835, 0.325186, 0.536221, 0.88862", \ + "0.0279374, 0.0823598, 0.125275, 0.199404, 0.325691, 0.536651, 0.888621", \ + "0.0348911, 0.0882974, 0.129069, 0.201492, 0.326677, 0.53746, 0.889073", \ + "0.04502, 0.09968, 0.138604, 0.208095, 0.331414, 0.540618, 0.89086", \ + "0.059809, 0.122489, 0.158752, 0.223768, 0.344151, 0.552216, 0.90119" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0632567, 0.114097, 0.140675, 0.182443, 0.251398, 0.366222, 0.557761", \ + "0.0892216, 0.141919, 0.168983, 0.211098, 0.279997, 0.394596, 0.585998", \ + "0.106994, 0.161751, 0.189122, 0.231777, 0.300978, 0.415716, 0.607057", \ + "0.133865, 0.19131, 0.219883, 0.262724, 0.332439, 0.447363, 0.638826", \ + "0.174834, 0.237855, 0.267059, 0.310538, 0.380878, 0.49622, 0.687979", \ + "0.223608, 0.295696, 0.328266, 0.374429, 0.446077, 0.563186, 0.755121", \ + "0.306422, 0.391224, 0.428274, 0.480793, 0.555625, 0.677381, 0.872945" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0187922, 0.0661141, 0.0977859, 0.151414, 0.243448, 0.398753, 0.659016", \ + "0.0214768, 0.067495, 0.0987136, 0.151999, 0.243644, 0.398876, 0.659071", \ + "0.0245419, 0.0694725, 0.100277, 0.15309, 0.244343, 0.399242, 0.65914", \ + "0.0291843, 0.0729587, 0.103309, 0.154961, 0.245633, 0.400206, 0.660037", \ + "0.0371351, 0.0794585, 0.107822, 0.158027, 0.24744, 0.401437, 0.66094", \ + "0.048722, 0.093239, 0.121125, 0.168532, 0.254439, 0.405178, 0.662817", \ + "0.06461, 0.115509, 0.142518, 0.189681, 0.272963, 0.421658, 0.675344" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0483304, 0.099769, 0.130398, 0.181026, 0.265402, 0.406882, 0.642683", \ + "0.0729914, 0.12628, 0.157481, 0.208344, 0.29294, 0.434201, 0.669905", \ + "0.0882755, 0.143239, 0.174456, 0.225615, 0.310551, 0.451687, 0.687352", \ + "0.105698, 0.164313, 0.195463, 0.246629, 0.332126, 0.473707, 0.709324", \ + "0.129233, 0.193044, 0.224549, 0.276093, 0.361409, 0.503362, 0.739357", \ + "0.156388, 0.229345, 0.263231, 0.315696, 0.401683, 0.544401, 0.780934", \ + "0.180765, 0.267463, 0.305925, 0.361033, 0.450091, 0.595442, 0.835964" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.017542, 0.078064, 0.122795, 0.198227, 0.324664, 0.536038, 0.888233", \ + "0.0211397, 0.0791006, 0.123449, 0.198367, 0.324844, 0.536039, 0.88824", \ + "0.0244622, 0.0803252, 0.124195, 0.198842, 0.325127, 0.536201, 0.890418", \ + "0.0296295, 0.0831516, 0.125538, 0.199491, 0.325612, 0.536601, 0.890419", \ + "0.0371962, 0.0890105, 0.129289, 0.201551, 0.326595, 0.537228, 0.89042", \ + "0.047808, 0.100573, 0.13847, 0.207888, 0.331141, 0.540339, 0.890704", \ + "0.061448, 0.123233, 0.158539, 0.223714, 0.343391, 0.551449, 0.900506" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.065421, 0.118246, 0.145287, 0.187742, 0.257187, 0.372437, 0.5643", \ + "0.0907876, 0.144743, 0.172071, 0.214667, 0.284219, 0.399402, 0.59132", \ + "0.108876, 0.164631, 0.192422, 0.235246, 0.304888, 0.420307, 0.612078", \ + "0.136448, 0.195113, 0.223528, 0.266376, 0.336284, 0.451895, 0.643954", \ + "0.178681, 0.24265, 0.271387, 0.315374, 0.385215, 0.500811, 0.693312", \ + "0.229259, 0.302156, 0.334101, 0.380732, 0.452353, 0.569045, 0.761356", \ + "0.315819, 0.400317, 0.43745, 0.488217, 0.565309, 0.687087, 0.88414" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0202503, 0.0664395, 0.0978466, 0.151311, 0.243286, 0.398735, 0.659002", \ + "0.0229642, 0.0679182, 0.0988421, 0.151981, 0.243513, 0.40038, 0.65904", \ + "0.0263157, 0.0699177, 0.100539, 0.153096, 0.244404, 0.400381, 0.661469", \ + "0.031384, 0.0735671, 0.103309, 0.155067, 0.245508, 0.400382, 0.66147", \ + "0.03996, 0.0801531, 0.107882, 0.158288, 0.247547, 0.401341, 0.661471", \ + "0.052317, 0.094389, 0.120843, 0.168911, 0.254966, 0.405537, 0.663129", \ + "0.067269, 0.115848, 0.142779, 0.189396, 0.274109, 0.422981, 0.675653" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0553228, 0.104752, 0.13587, 0.186979, 0.272199, 0.414033, 0.650554", \ + "0.0753763, 0.125585, 0.15678, 0.20797, 0.29327, 0.435158, 0.671517", \ + "0.0893052, 0.140677, 0.171877, 0.223027, 0.308377, 0.450344, 0.686678", \ + "0.105605, 0.159243, 0.190639, 0.241765, 0.327212, 0.469152, 0.705569", \ + "0.127466, 0.185503, 0.217171, 0.268756, 0.354201, 0.496425, 0.732768", \ + "0.153864, 0.220417, 0.253071, 0.305403, 0.392106, 0.535335, 0.771935", \ + "0.182257, 0.261257, 0.297053, 0.351631, 0.44113, 0.587062, 0.827463" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149626, 0.0773535, 0.122677, 0.198227, 0.324913, 0.536297, 0.888247", \ + "0.0166207, 0.0779573, 0.123001, 0.198382, 0.324975, 0.536298, 0.888317", \ + "0.0184646, 0.078566, 0.123422, 0.198643, 0.325138, 0.53634, 0.891331", \ + "0.0217077, 0.0801976, 0.124289, 0.199055, 0.325532, 0.536554, 0.891332", \ + "0.026953, 0.0838635, 0.126773, 0.20072, 0.326561, 0.537237, 0.891333", \ + "0.035523, 0.092501, 0.13311, 0.205579, 0.330489, 0.540223, 0.891334", \ + "0.04834, 0.10914, 0.147098, 0.21687, 0.341021, 0.550388, 0.899547" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0739466, 0.121439, 0.147688, 0.189599, 0.259012, 0.374388, 0.566422", \ + "0.0938019, 0.142149, 0.16855, 0.210574, 0.280112, 0.395389, 0.587339", \ + "0.107577, 0.157159, 0.183936, 0.226155, 0.29578, 0.41118, 0.603315", \ + "0.129223, 0.181173, 0.208322, 0.250835, 0.320599, 0.436296, 0.628274", \ + "0.16362, 0.220396, 0.248441, 0.29163, 0.361572, 0.477165, 0.6695", \ + "0.204644, 0.268781, 0.299074, 0.34471, 0.416345, 0.533176, 0.725814", \ + "0.273118, 0.34708, 0.381085, 0.430255, 0.506523, 0.62871, 0.825939" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0169899, 0.0632224, 0.0954119, 0.149844, 0.242857, 0.398829, 0.659148", \ + "0.0181856, 0.063969, 0.0959835, 0.150367, 0.243047, 0.398904, 0.65923", \ + "0.0199032, 0.0652277, 0.0969776, 0.150983, 0.243441, 0.399099, 0.65943", \ + "0.0229619, 0.0676177, 0.0987349, 0.152224, 0.244225, 0.399656, 0.659666", \ + "0.0283818, 0.0728782, 0.102699, 0.154933, 0.245749, 0.400525, 0.660438", \ + "0.036032, 0.08251, 0.112197, 0.163687, 0.252576, 0.405151, 0.663149", \ + "0.047926, 0.098121, 0.127651, 0.178669, 0.268592, 0.421057, 0.676443" \ + ); + } + } + timing () { + related_pin : "S"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0798799, 0.129285, 0.160368, 0.211449, 0.296764, 0.438535, 0.674877", \ + "0.102557, 0.151918, 0.182973, 0.234053, 0.319354, 0.461975, 0.697838", \ + "0.116371, 0.165736, 0.196855, 0.247955, 0.333169, 0.475035, 0.711528", \ + "0.135699, 0.185162, 0.216316, 0.267339, 0.352635, 0.49442, 0.730686", \ + "0.163172, 0.212967, 0.244139, 0.295221, 0.380567, 0.522429, 0.758692", \ + "0.19204, 0.242423, 0.273582, 0.324653, 0.409911, 0.551958, 0.788268", \ + "0.234631, 0.286361, 0.317622, 0.368589, 0.453787, 0.595926, 0.83252" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015145, 0.0774433, 0.12272, 0.198249, 0.324933, 0.53612, 0.888264", \ + "0.0152619, 0.0774896, 0.122737, 0.19825, 0.325299, 0.537008, 0.888651", \ + "0.0153932, 0.0775389, 0.122738, 0.198251, 0.3253, 0.537009, 0.888652", \ + "0.0156768, 0.0776282, 0.122785, 0.198272, 0.325301, 0.53701, 0.888653", \ + "0.016268, 0.077821, 0.122846, 0.198273, 0.325302, 0.537011, 0.888654", \ + "0.017365, 0.078224, 0.123105, 0.198427, 0.325303, 0.537012, 0.888655", \ + "0.019668, 0.079172, 0.123653, 0.198641, 0.325304, 0.537013, 0.888656" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0739466, 0.121439, 0.147688, 0.189599, 0.259012, 0.374388, 0.566422", \ + "0.0938019, 0.142149, 0.16855, 0.210574, 0.280112, 0.395389, 0.587339", \ + "0.107577, 0.157159, 0.183936, 0.226155, 0.29578, 0.41118, 0.603315", \ + "0.129223, 0.181173, 0.208322, 0.250835, 0.320599, 0.436296, 0.628274", \ + "0.16362, 0.220396, 0.248441, 0.29163, 0.361572, 0.477165, 0.6695", \ + "0.204644, 0.268781, 0.299074, 0.34471, 0.416345, 0.533176, 0.725814", \ + "0.273118, 0.34708, 0.381085, 0.430255, 0.506523, 0.62871, 0.825939" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0169899, 0.0632224, 0.0954119, 0.149844, 0.242857, 0.398829, 0.659148", \ + "0.0181856, 0.063969, 0.0959835, 0.150367, 0.243047, 0.398904, 0.65923", \ + "0.0199032, 0.0652277, 0.0969776, 0.150983, 0.243441, 0.399099, 0.65943", \ + "0.0229619, 0.0676177, 0.0987349, 0.152224, 0.244225, 0.399656, 0.659666", \ + "0.0283818, 0.0728782, 0.102699, 0.154933, 0.245749, 0.400525, 0.660438", \ + "0.036032, 0.08251, 0.112197, 0.163687, 0.252576, 0.405151, 0.663149", \ + "0.047926, 0.098121, 0.127651, 0.178669, 0.268592, 0.421057, 0.676443" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0798799, 0.129285, 0.160368, 0.211449, 0.296764, 0.438535, 0.674877", \ + "0.102557, 0.151918, 0.182973, 0.234053, 0.319354, 0.461975, 0.697838", \ + "0.116371, 0.165736, 0.196855, 0.247955, 0.333169, 0.475035, 0.711528", \ + "0.135699, 0.185162, 0.216316, 0.267339, 0.352635, 0.49442, 0.730686", \ + "0.163172, 0.212967, 0.244139, 0.295221, 0.380567, 0.522429, 0.758692", \ + "0.19204, 0.242423, 0.273582, 0.324653, 0.409911, 0.551958, 0.788268", \ + "0.234631, 0.286361, 0.317622, 0.368589, 0.453787, 0.595926, 0.83252" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015145, 0.0774433, 0.12272, 0.198249, 0.324933, 0.53612, 0.888264", \ + "0.0152619, 0.0774896, 0.122737, 0.19825, 0.325299, 0.537008, 0.888651", \ + "0.0153932, 0.0775389, 0.122738, 0.198251, 0.3253, 0.537009, 0.888652", \ + "0.0156768, 0.0776282, 0.122785, 0.198272, 0.325301, 0.53701, 0.888653", \ + "0.016268, 0.077821, 0.122846, 0.198273, 0.325302, 0.537011, 0.888654", \ + "0.017365, 0.078224, 0.123105, 0.198427, 0.325303, 0.537012, 0.888655", \ + "0.019668, 0.079172, 0.123653, 0.198641, 0.325304, 0.537013, 0.888656" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0961428, 0.143738, 0.169976, 0.211893, 0.281415, 0.39675, 0.588887", \ + "0.116639, 0.164309, 0.190569, 0.232549, 0.301971, 0.417369, 0.610559", \ + "0.130283, 0.177897, 0.204213, 0.246192, 0.315605, 0.431053, 0.623015", \ + "0.146719, 0.194336, 0.220624, 0.262601, 0.332067, 0.447413, 0.639462", \ + "0.170049, 0.218077, 0.244288, 0.286281, 0.35575, 0.471349, 0.663223", \ + "0.200751, 0.249161, 0.275586, 0.317612, 0.387239, 0.50264, 0.694651", \ + "0.240201, 0.290055, 0.316727, 0.35902, 0.428515, 0.543987, 0.736238" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0172714, 0.0635024, 0.0955375, 0.150206, 0.242981, 0.398919, 0.659217", \ + "0.0172724, 0.0635034, 0.0955411, 0.150207, 0.243039, 0.400382, 0.660348", \ + "0.0172798, 0.0635044, 0.0956, 0.150208, 0.24304, 0.400383, 0.660548", \ + "0.0173812, 0.0635843, 0.095621, 0.150209, 0.243041, 0.400384, 0.660549", \ + "0.0176737, 0.0638113, 0.0957227, 0.150232, 0.243091, 0.400385, 0.66055", \ + "0.018624, 0.064357, 0.096241, 0.1505, 0.243185, 0.400386, 0.660551", \ + "0.020529, 0.06581, 0.097323, 0.151099, 0.243565, 0.400387, 0.660552" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0157725, 0.0162955, 0.0162993, 0.0162651, 0.016036, 0.0157611, 0.0151454", \ + "0.0159827, 0.0160953, 0.0162136, 0.0161551, 0.0161632, 0.0156027, 0.0150802", \ + "0.016952, 0.0167744, 0.0166822, 0.0167858, 0.0167088, 0.0163244, 0.0159282", \ + "0.0192111, 0.0186594, 0.0186963, 0.0186515, 0.0184723, 0.0184906, 0.0182478", \ + "0.0243205, 0.0231435, 0.0230192, 0.0229396, 0.0228466, 0.0223494, 0.0227774", \ + "0.0352066, 0.033056, 0.0328883, 0.0324926, 0.032287, 0.0322038, 0.0319027", \ + "0.0574548, 0.0536001, 0.0529293, 0.052176, 0.0518851, 0.0515011, 0.0511889" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0205081, 0.0205586, 0.0202244, 0.0197622, 0.0191949, 0.0186736, 0.0179185", \ + "0.0212169, 0.0212409, 0.0211772, 0.0209644, 0.0204927, 0.0195541, 0.0186735", \ + "0.0223488, 0.0222385, 0.0221042, 0.0219281, 0.021862, 0.0207961, 0.0197971", \ + "0.0249095, 0.0243265, 0.0244156, 0.0243703, 0.0239047, 0.0235548, 0.0227854", \ + "0.0303104, 0.0288148, 0.0287546, 0.0287417, 0.0287611, 0.0283651, 0.02722", \ + "0.0412688, 0.0384768, 0.0383344, 0.038025, 0.0378432, 0.0380557, 0.0377342", \ + "0.0639577, 0.0593572, 0.0585325, 0.0580312, 0.0573757, 0.0570032, 0.0569224" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0192868, 0.0195835, 0.019313, 0.0189669, 0.0183731, 0.0178268, 0.0171218", \ + "0.0205385, 0.0206248, 0.0207066, 0.0205629, 0.0201318, 0.0192421, 0.0184614", \ + "0.0215567, 0.0214199, 0.0213508, 0.0213648, 0.0211244, 0.0203762, 0.0198651", \ + "0.0239039, 0.0232838, 0.0233273, 0.0232895, 0.0231019, 0.0233189, 0.0223505", \ + "0.0289944, 0.0279164, 0.0275883, 0.0275952, 0.027496, 0.0270246, 0.0274892", \ + "0.0400443, 0.0375505, 0.0371739, 0.0367919, 0.0365775, 0.0365765, 0.0362209", \ + "0.0622303, 0.058244, 0.0582928, 0.0567209, 0.0562694, 0.0565769, 0.0563413" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015771, 0.0160946, 0.0161223, 0.0161165, 0.0159851, 0.0157302, 0.0151651", \ + "0.016134, 0.0160612, 0.0161498, 0.0161937, 0.0163455, 0.0162341, 0.0153035", \ + "0.0172847, 0.0169474, 0.0168927, 0.0168709, 0.0172256, 0.0166202, 0.0166806", \ + "0.0198268, 0.0190645, 0.0190922, 0.0190569, 0.0186877, 0.0188388, 0.018272", \ + "0.0255103, 0.0238525, 0.02369, 0.0237276, 0.0236585, 0.0232254, 0.0225455", \ + "0.0362638, 0.0334481, 0.0330746, 0.0329004, 0.0327919, 0.0327951, 0.0326495", \ + "0.05879, 0.0543476, 0.0536498, 0.0530803, 0.0528204, 0.052368, 0.0525612" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(A0 * !A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0152299, 0.0156026, 0.0156169, 0.0155587, 0.0153966, 0.0151017, 0.014575", \ + "0.0151848, 0.0155494, 0.0157262, 0.0154903, 0.0154051, 0.0151981, 0.0146174", \ + "0.0151273, 0.0154619, 0.0154204, 0.0156307, 0.0153087, 0.0149319, 0.0144718", \ + "0.0150775, 0.0154129, 0.0154944, 0.0154217, 0.0153923, 0.0148466, 0.0154936", \ + "0.0149979, 0.0152508, 0.0153307, 0.0153707, 0.0154109, 0.014867, 0.0155703", \ + "0.0154004, 0.0154705, 0.0155549, 0.0155023, 0.0156577, 0.0156731, 0.0152706", \ + "0.015974, 0.0158447, 0.015793, 0.0157417, 0.015823, 0.0158373, 0.015851" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0163125, 0.0166156, 0.0166261, 0.0165745, 0.0164712, 0.0162142, 0.0156891", \ + "0.0163119, 0.0166295, 0.0166774, 0.0169337, 0.0165372, 0.0166357, 0.0161594", \ + "0.0163169, 0.0167012, 0.0167033, 0.0166342, 0.0167424, 0.016348, 0.0161165", \ + "0.0162063, 0.0165231, 0.0166055, 0.0166644, 0.0164555, 0.016201, 0.0159733", \ + "0.0162709, 0.0166285, 0.0166412, 0.0167565, 0.0168133, 0.0168497, 0.0158153", \ + "0.0164968, 0.016573, 0.0166288, 0.0167425, 0.0167369, 0.0165862, 0.0167913", \ + "0.0172089, 0.0170624, 0.0170281, 0.0169939, 0.0169582, 0.0170015, 0.0170813" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(!A0 * A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160699, 0.0165983, 0.0166493, 0.016631, 0.016481, 0.0161852, 0.0157017", \ + "0.0162079, 0.0165152, 0.0166369, 0.0166912, 0.0169751, 0.0161565, 0.0156528", \ + "0.0166765, 0.0168797, 0.0169175, 0.0169792, 0.0168404, 0.0167338, 0.0166123", \ + "0.018388, 0.0182427, 0.0183399, 0.0183834, 0.0181785, 0.0182865, 0.0181545", \ + "0.0229518, 0.0223035, 0.0223185, 0.0223791, 0.0224144, 0.0219206, 0.0223966", \ + "0.0330543, 0.0316189, 0.0314397, 0.0312183, 0.0312459, 0.0313913, 0.0311823", \ + "0.0539515, 0.0513616, 0.050726, 0.0502775, 0.0502415, 0.0499961, 0.0499215" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151883, 0.0155563, 0.0156123, 0.0156031, 0.0154844, 0.0152298, 0.0146822", \ + "0.0151538, 0.0153438, 0.0154534, 0.0157194, 0.0155792, 0.0150451, 0.0145656", \ + "0.0156351, 0.0157368, 0.0157801, 0.0157184, 0.0158195, 0.015676, 0.0149086", \ + "0.0174592, 0.0172334, 0.0173048, 0.0174456, 0.017123, 0.017367, 0.0165149", \ + "0.0222079, 0.0213589, 0.0214285, 0.021541, 0.021624, 0.021438, 0.0205607", \ + "0.032543, 0.0309189, 0.0308523, 0.031017, 0.0309241, 0.03104, 0.0309164", \ + "0.0534762, 0.0506431, 0.050233, 0.049884, 0.0498441, 0.0496441, 0.0498156" \ + ); + } + } + internal_power () { + related_pin : "S"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160699, 0.0165983, 0.0166493, 0.016631, 0.016481, 0.0161852, 0.0157017", \ + "0.0162079, 0.0165152, 0.0166369, 0.0166912, 0.0169751, 0.0161565, 0.0156528", \ + "0.0166765, 0.0168797, 0.0169175, 0.0169792, 0.0168404, 0.0167338, 0.0166123", \ + "0.018388, 0.0182427, 0.0183399, 0.0183834, 0.0181785, 0.0182865, 0.0181545", \ + "0.0229518, 0.0223035, 0.0223185, 0.0223791, 0.0224144, 0.0219206, 0.0223966", \ + "0.0330543, 0.0316189, 0.0314397, 0.0312183, 0.0312459, 0.0313913, 0.0311823", \ + "0.0539515, 0.0513616, 0.050726, 0.0502775, 0.0502415, 0.0499961, 0.0499215" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151883, 0.0155563, 0.0156123, 0.0156031, 0.0154844, 0.0152298, 0.0146822", \ + "0.0151538, 0.0153438, 0.0154534, 0.0157194, 0.0155792, 0.0150451, 0.0145656", \ + "0.0156351, 0.0157368, 0.0157801, 0.0157184, 0.0158195, 0.015676, 0.0149086", \ + "0.0174592, 0.0172334, 0.0173048, 0.0174456, 0.017123, 0.017367, 0.0165149", \ + "0.0222079, 0.0213589, 0.0214285, 0.021541, 0.021624, 0.021438, 0.0205607", \ + "0.032543, 0.0309189, 0.0308523, 0.031017, 0.0309241, 0.03104, 0.0309164", \ + "0.0534762, 0.0506431, 0.050233, 0.049884, 0.0498441, 0.0496441, 0.0498156" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00210487; + rise_capacitance : 0.00381488; + rise_capacitance_range (0.00381488, 0.00381488); + fall_capacitance : 0.000394862; + fall_capacitance_range (0.000394862, 0.000394862); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0022354; + rise_capacitance : 0.000697257; + rise_capacitance_range (0.000697257, 0.000697257); + fall_capacitance : 0.00377355; + fall_capacitance_range (0.00377355, 0.00377355); + } + pin (S) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00540534; + rise_capacitance : 0.00546732; + rise_capacitance_range (0.00546732, 0.00546732); + fall_capacitance : 0.00534336; + fall_capacitance_range (0.00534336, 0.00534336); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00542814, 0.00544966, 0.00619319, 0.00812669, 0.0122498, 0.0211999, 0.0398421" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00621617, 0.00657131, 0.00740652, 0.00934729, 0.0138218, 0.0226977, 0.0416678" \ + ); + } + } + } + } + cell (sg13g2_mux2_2) { + area : 19.9584; + cell_footprint : "mux2"; + cell_leakage_power : 894.129; + leakage_power () { + value : 893.106; + when : "!A0&!A1&!S"; + } + leakage_power () { + value : 755.564; + when : "A0&!A1&!S"; + } + leakage_power () { + value : 864.034; + when : "!A0&A1&!S"; + } + leakage_power () { + value : 846.892; + when : "A0&A1&!S"; + } + leakage_power () { + value : 1001.57; + when : "!A0&!A1&S"; + } + leakage_power () { + value : 972.492; + when : "A0&!A1&S"; + } + leakage_power () { + value : 864.028; + when : "!A0&A1&S"; + } + leakage_power () { + value : 955.348; + when : "A0&A1&S"; + } + pin (X) { + direction : "output"; + function : "(!S*A0)+(S*A1)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0616764, 0.116873, 0.148246, 0.199594, 0.284977, 0.427224, 0.663763", \ + "0.088919, 0.144818, 0.176163, 0.227493, 0.312947, 0.455016, 0.691544", \ + "0.107372, 0.164496, 0.195955, 0.247043, 0.332651, 0.474619, 0.711294", \ + "0.129415, 0.18985, 0.221235, 0.272128, 0.357554, 0.499668, 0.736278", \ + "0.158509, 0.224152, 0.255847, 0.306681, 0.39165, 0.533753, 0.770031", \ + "0.193569, 0.269786, 0.301652, 0.353871, 0.438982, 0.581379, 0.8162", \ + "0.230062, 0.319841, 0.357808, 0.41114, 0.497448, 0.642318, 0.880995" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0157167, 0.0790134, 0.123802, 0.198977, 0.325741, 0.537381, 0.89022", \ + "0.0181317, 0.0798256, 0.124296, 0.199222, 0.325897, 0.537985, 0.890221", \ + "0.0211044, 0.0810537, 0.125022, 0.199736, 0.326134, 0.537986, 0.895711", \ + "0.0262107, 0.0835541, 0.126491, 0.200506, 0.326726, 0.537987, 0.895712", \ + "0.0338892, 0.089949, 0.13043, 0.202486, 0.327664, 0.538665, 0.895713", \ + "0.046857, 0.101756, 0.13937, 0.208862, 0.332106, 0.54151, 0.895714", \ + "0.068004, 0.126556, 0.16137, 0.224778, 0.344043, 0.552024, 0.901996" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0741787, 0.133096, 0.161157, 0.203853, 0.273396, 0.388182, 0.579407", \ + "0.1061, 0.16601, 0.194484, 0.237464, 0.306956, 0.421488, 0.612594", \ + "0.127547, 0.189144, 0.218026, 0.261541, 0.331157, 0.445739, 0.636796", \ + "0.159514, 0.224142, 0.253592, 0.297645, 0.36779, 0.482543, 0.673383", \ + "0.207957, 0.277358, 0.307732, 0.352715, 0.423258, 0.538363, 0.729472", \ + "0.268291, 0.345884, 0.380023, 0.42712, 0.49919, 0.615887, 0.806395", \ + "0.365018, 0.454629, 0.49427, 0.547814, 0.62293, 0.744061, 0.937673" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0219297, 0.0722569, 0.103625, 0.156608, 0.247038, 0.401323, 0.661029", \ + "0.0242937, 0.0730212, 0.104029, 0.156609, 0.247323, 0.40158, 0.66103", \ + "0.0277602, 0.0752456, 0.105784, 0.157794, 0.247966, 0.401698, 0.661225", \ + "0.0334566, 0.0797083, 0.109222, 0.160345, 0.249652, 0.402848, 0.661992", \ + "0.0428222, 0.0870985, 0.115342, 0.164643, 0.252207, 0.404554, 0.662577", \ + "0.058195, 0.104387, 0.130462, 0.176258, 0.260045, 0.408665, 0.664979", \ + "0.079357, 0.130218, 0.156793, 0.200834, 0.280349, 0.424935, 0.676" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.050536, 0.108081, 0.13911, 0.189677, 0.274445, 0.416113, 0.652258", \ + "0.0824725, 0.141761, 0.173341, 0.224301, 0.309052, 0.450331, 0.686341", \ + "0.101691, 0.162873, 0.194532, 0.24577, 0.330712, 0.472121, 0.707917", \ + "0.123914, 0.188486, 0.220639, 0.271699, 0.356864, 0.498584, 0.734381", \ + "0.153164, 0.224114, 0.256658, 0.307812, 0.39293, 0.534963, 0.771179", \ + "0.189505, 0.268778, 0.30385, 0.356062, 0.44125, 0.583935, 0.820104", \ + "0.227356, 0.319732, 0.35974, 0.415175, 0.502862, 0.648345, 0.88615" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0178064, 0.0799265, 0.124192, 0.19893, 0.325621, 0.537157, 0.890015", \ + "0.021542, 0.0810717, 0.124858, 0.199287, 0.325694, 0.537234, 0.890022", \ + "0.0251981, 0.0826519, 0.125781, 0.199845, 0.326017, 0.537259, 0.895239", \ + "0.0312524, 0.0860736, 0.127768, 0.200928, 0.326634, 0.537721, 0.89524", \ + "0.0398471, 0.0933225, 0.132683, 0.20345, 0.327778, 0.538489, 0.895241", \ + "0.052818, 0.107372, 0.143654, 0.211034, 0.332546, 0.541312, 0.895242", \ + "0.071284, 0.132978, 0.167331, 0.229572, 0.346006, 0.551946, 0.90113" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0795862, 0.140349, 0.169025, 0.212346, 0.282402, 0.397684, 0.589396", \ + "0.107546, 0.168887, 0.197439, 0.241041, 0.311079, 0.426386, 0.618093", \ + "0.129221, 0.191913, 0.220826, 0.264655, 0.334915, 0.450149, 0.641914", \ + "0.161754, 0.227148, 0.25675, 0.300778, 0.371488, 0.486816, 0.678412", \ + "0.211325, 0.281165, 0.31165, 0.356432, 0.42684, 0.542542, 0.734347", \ + "0.273759, 0.352378, 0.385703, 0.433312, 0.504677, 0.621081, 0.812343", \ + "0.372141, 0.462043, 0.500814, 0.553488, 0.630247, 0.750525, 0.944527" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0234893, 0.0724978, 0.103775, 0.156103, 0.246838, 0.401287, 0.660861", \ + "0.0257713, 0.0734696, 0.10415, 0.156571, 0.247091, 0.401482, 0.660912", \ + "0.0295132, 0.0757704, 0.105962, 0.157815, 0.247795, 0.401695, 0.661337", \ + "0.0355823, 0.0799093, 0.109458, 0.160264, 0.249701, 0.40262, 0.661339", \ + "0.0455634, 0.0875879, 0.115651, 0.164605, 0.252234, 0.404452, 0.662738", \ + "0.06057, 0.104639, 0.130578, 0.176962, 0.259829, 0.408388, 0.665083", \ + "0.081259, 0.130188, 0.157271, 0.201072, 0.281088, 0.425658, 0.676279" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0629463, 0.11799, 0.149406, 0.200662, 0.286325, 0.428287, 0.665063", \ + "0.0851196, 0.141122, 0.172537, 0.22387, 0.309297, 0.451418, 0.68826", \ + "0.101343, 0.15851, 0.190037, 0.241319, 0.326847, 0.468989, 0.705595", \ + "0.12129, 0.181689, 0.213058, 0.26447, 0.349815, 0.491932, 0.728638", \ + "0.148421, 0.213388, 0.245507, 0.296973, 0.38265, 0.524764, 0.761211", \ + "0.183667, 0.256579, 0.290297, 0.34225, 0.428672, 0.571201, 0.80792", \ + "0.224425, 0.309605, 0.346734, 0.401151, 0.489374, 0.634625, 0.87392" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0156087, 0.0788786, 0.123637, 0.198985, 0.32575, 0.537367, 0.89004", \ + "0.017186, 0.0795376, 0.124089, 0.19913, 0.325881, 0.537761, 0.890298", \ + "0.0192564, 0.0805788, 0.124715, 0.19948, 0.325979, 0.537762, 0.890299", \ + "0.0231119, 0.0830692, 0.126068, 0.200097, 0.326442, 0.537763, 0.890342", \ + "0.0292972, 0.0877012, 0.129441, 0.202251, 0.327397, 0.538498, 0.890622", \ + "0.04, 0.097434, 0.137071, 0.207709, 0.331482, 0.541192, 0.892249", \ + "0.057091, 0.117358, 0.154027, 0.220767, 0.342221, 0.550839, 0.900454" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0881287, 0.143856, 0.171329, 0.214138, 0.283747, 0.399004, 0.590819", \ + "0.109547, 0.165661, 0.193355, 0.236125, 0.305949, 0.421208, 0.612967", \ + "0.125406, 0.18287, 0.2108, 0.253696, 0.323546, 0.438924, 0.630697", \ + "0.15041, 0.210207, 0.238631, 0.282099, 0.352033, 0.467462, 0.659182", \ + "0.190631, 0.254801, 0.284092, 0.327857, 0.398261, 0.513869, 0.705571", \ + "0.240354, 0.312105, 0.343581, 0.390362, 0.46206, 0.577962, 0.770349", \ + "0.320884, 0.402285, 0.437875, 0.487817, 0.564337, 0.685091, 0.881577" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0205865, 0.0692051, 0.101033, 0.154018, 0.246009, 0.40116, 0.661126", \ + "0.0215765, 0.0697243, 0.101034, 0.154466, 0.246106, 0.401473, 0.661127", \ + "0.0234989, 0.0712025, 0.102247, 0.155279, 0.246546, 0.401474, 0.661863", \ + "0.0269266, 0.0743588, 0.104751, 0.157099, 0.247721, 0.402043, 0.661864", \ + "0.0335886, 0.0800033, 0.109519, 0.160455, 0.249997, 0.403477, 0.662178", \ + "0.044245, 0.09193, 0.120523, 0.170343, 0.256858, 0.407427, 0.664669", \ + "0.060098, 0.110668, 0.139179, 0.187484, 0.273905, 0.423139, 0.677147" \ + ); + } + } + timing () { + related_pin : "S"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0629463, 0.11799, 0.149406, 0.200662, 0.286325, 0.428287, 0.665063", \ + "0.0851196, 0.141122, 0.172537, 0.22387, 0.309297, 0.451418, 0.68826", \ + "0.101343, 0.15851, 0.190037, 0.241319, 0.326847, 0.468989, 0.705595", \ + "0.12129, 0.181689, 0.213058, 0.26447, 0.349815, 0.491932, 0.728638", \ + "0.148421, 0.213388, 0.245507, 0.296973, 0.38265, 0.524764, 0.761211", \ + "0.183667, 0.256579, 0.290297, 0.34225, 0.428672, 0.571201, 0.80792", \ + "0.224425, 0.309605, 0.346734, 0.401151, 0.489374, 0.634625, 0.87392" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0156087, 0.0788786, 0.123637, 0.198985, 0.32575, 0.537367, 0.89004", \ + "0.017186, 0.0795376, 0.124089, 0.19913, 0.325881, 0.537761, 0.890298", \ + "0.0192564, 0.0805788, 0.124715, 0.19948, 0.325979, 0.537762, 0.890299", \ + "0.0231119, 0.0830692, 0.126068, 0.200097, 0.326442, 0.537763, 0.890342", \ + "0.0292972, 0.0877012, 0.129441, 0.202251, 0.327397, 0.538498, 0.890622", \ + "0.04, 0.097434, 0.137071, 0.207709, 0.331482, 0.541192, 0.892249", \ + "0.057091, 0.117358, 0.154027, 0.220767, 0.342221, 0.550839, 0.900454" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0881287, 0.143856, 0.171329, 0.214138, 0.283747, 0.399004, 0.590819", \ + "0.109547, 0.165661, 0.193355, 0.236125, 0.305949, 0.421208, 0.612967", \ + "0.125406, 0.18287, 0.2108, 0.253696, 0.323546, 0.438924, 0.630697", \ + "0.15041, 0.210207, 0.238631, 0.282099, 0.352033, 0.467462, 0.659182", \ + "0.190631, 0.254801, 0.284092, 0.327857, 0.398261, 0.513869, 0.705571", \ + "0.240354, 0.312105, 0.343581, 0.390362, 0.46206, 0.577962, 0.770349", \ + "0.320884, 0.402285, 0.437875, 0.487817, 0.564337, 0.685091, 0.881577" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0205865, 0.0692051, 0.101033, 0.154018, 0.246009, 0.40116, 0.661126", \ + "0.0215765, 0.0697243, 0.101034, 0.154466, 0.246106, 0.401473, 0.661127", \ + "0.0234989, 0.0712025, 0.102247, 0.155279, 0.246546, 0.401474, 0.661863", \ + "0.0269266, 0.0743588, 0.104751, 0.157099, 0.247721, 0.402043, 0.661864", \ + "0.0335886, 0.0800033, 0.109519, 0.160455, 0.249997, 0.403477, 0.662178", \ + "0.044245, 0.09193, 0.120523, 0.170343, 0.256858, 0.407427, 0.664669", \ + "0.060098, 0.110668, 0.139179, 0.187484, 0.273905, 0.423139, 0.677147" \ + ); + } + } + timing () { + related_pin : "S"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0877201, 0.142687, 0.174175, 0.225388, 0.310895, 0.452946, 0.689602", \ + "0.110462, 0.165521, 0.196962, 0.24821, 0.333746, 0.475835, 0.712525", \ + "0.124346, 0.17944, 0.210869, 0.262101, 0.347404, 0.489502, 0.726115", \ + "0.143929, 0.199097, 0.23042, 0.281673, 0.367084, 0.509128, 0.745676", \ + "0.171967, 0.227317, 0.258779, 0.310092, 0.395414, 0.537667, 0.774174", \ + "0.201595, 0.257573, 0.289049, 0.340315, 0.425733, 0.568137, 0.804609", \ + "0.245872, 0.303526, 0.334731, 0.386049, 0.471398, 0.613641, 0.85032" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0157532, 0.0789769, 0.123904, 0.198972, 0.325724, 0.537351, 0.89009", \ + "0.0158181, 0.0790527, 0.123905, 0.199066, 0.326144, 0.537388, 0.890322", \ + "0.0158603, 0.0790537, 0.123906, 0.199067, 0.326145, 0.539595, 0.89056", \ + "0.0160914, 0.0791608, 0.123907, 0.199068, 0.326146, 0.539596, 0.890561", \ + "0.0166201, 0.0793928, 0.123934, 0.199069, 0.326147, 0.539597, 0.890562", \ + "0.01762, 0.079839, 0.124215, 0.199214, 0.326148, 0.539598, 0.890563", \ + "0.019926, 0.081177, 0.124889, 0.199438, 0.326149, 0.539599, 0.890564" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.110323, 0.165847, 0.193562, 0.236392, 0.305993, 0.42128, 0.613104", \ + "0.130924, 0.186653, 0.214174, 0.25695, 0.326784, 0.442063, 0.633778", \ + "0.144517, 0.20029, 0.227802, 0.270692, 0.340352, 0.455817, 0.647604", \ + "0.161027, 0.216698, 0.244383, 0.287077, 0.356816, 0.472127, 0.663906", \ + "0.184533, 0.240562, 0.268005, 0.310862, 0.380528, 0.496079, 0.687638", \ + "0.216163, 0.272423, 0.300037, 0.34291, 0.412654, 0.528075, 0.719761", \ + "0.257003, 0.314613, 0.342322, 0.385398, 0.455052, 0.57054, 0.762394" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0208082, 0.0698045, 0.101353, 0.154385, 0.246025, 0.401245, 0.661191", \ + "0.0208264, 0.0698055, 0.101354, 0.154386, 0.246147, 0.401246, 0.661267", \ + "0.0208354, 0.0698065, 0.101355, 0.154387, 0.24617, 0.40132, 0.661268", \ + "0.0208822, 0.0698075, 0.101356, 0.154388, 0.246171, 0.401321, 0.661269", \ + "0.0210633, 0.0698085, 0.101357, 0.15445, 0.246172, 0.401322, 0.66127", \ + "0.021811, 0.070176, 0.101611, 0.154745, 0.246228, 0.401455, 0.661271", \ + "0.023736, 0.07176, 0.102548, 0.155382, 0.246779, 0.401555, 0.661419" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0205942, 0.0210396, 0.0211044, 0.0211096, 0.0208205, 0.0201838, 0.0193541", \ + "0.0210832, 0.0206391, 0.0209375, 0.0209057, 0.0204633, 0.0201257, 0.0189459", \ + "0.0222275, 0.0212121, 0.0211025, 0.0211156, 0.020779, 0.0210321, 0.021407", \ + "0.0250983, 0.0229716, 0.0229868, 0.0227454, 0.02233, 0.0231125, 0.0206858", \ + "0.0311447, 0.0274665, 0.0270644, 0.0267856, 0.0265596, 0.0260122, 0.0262848", \ + "0.0441079, 0.0372089, 0.0367221, 0.0359422, 0.0352794, 0.0351326, 0.0336773", \ + "0.0698313, 0.0589081, 0.0575787, 0.0561361, 0.0548745, 0.0542317, 0.053298" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0311278, 0.0302144, 0.0295179, 0.0282277, 0.027351, 0.026287, 0.0242413", \ + "0.0329883, 0.0318176, 0.0318128, 0.0310231, 0.0299133, 0.0284278, 0.0263872", \ + "0.0346952, 0.0327648, 0.0325909, 0.032272, 0.0321409, 0.0296587, 0.0278039", \ + "0.0384563, 0.0349972, 0.0350361, 0.0349029, 0.0338075, 0.03313, 0.0304391", \ + "0.0454895, 0.0396735, 0.0392848, 0.0394202, 0.0392029, 0.0381532, 0.0361492", \ + "0.0592982, 0.0503639, 0.0494023, 0.0485199, 0.048575, 0.0488589, 0.0478053", \ + "0.0853854, 0.07268, 0.0707249, 0.0692798, 0.0682194, 0.0676555, 0.0673608" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0273129, 0.0271693, 0.0266233, 0.0257398, 0.0247434, 0.0236175, 0.022237", \ + "0.030702, 0.0301837, 0.0303927, 0.0297929, 0.0288166, 0.0272516, 0.0255708", \ + "0.0325436, 0.0312732, 0.0312217, 0.0312745, 0.030387, 0.0299626, 0.029317", \ + "0.0358675, 0.03322, 0.0332002, 0.0330843, 0.0325675, 0.0318627, 0.0303266", \ + "0.0424725, 0.037837, 0.037505, 0.0373498, 0.0371559, 0.0366839, 0.0369011", \ + "0.0559598, 0.0483657, 0.0475753, 0.0467426, 0.0460953, 0.046115, 0.0452144", \ + "0.0825164, 0.0709502, 0.0687829, 0.0674193, 0.0663703, 0.0656485, 0.0650402" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0236425, 0.0226939, 0.0228089, 0.0227413, 0.0225101, 0.0220454, 0.0210263", \ + "0.0242769, 0.0224874, 0.0226441, 0.0226124, 0.0225925, 0.0219211, 0.0209475", \ + "0.0260039, 0.0233328, 0.0231708, 0.0231714, 0.0232626, 0.0226784, 0.0216872", \ + "0.0295852, 0.0253654, 0.0253748, 0.0252342, 0.0247861, 0.0249825, 0.0234257", \ + "0.0367836, 0.030417, 0.0299915, 0.0297686, 0.0297142, 0.02907, 0.0277141", \ + "0.0506198, 0.0411505, 0.0401508, 0.0395152, 0.0389501, 0.0390256, 0.0385146", \ + "0.0771946, 0.0636075, 0.0618063, 0.0603271, 0.0594977, 0.0584676, 0.0580834" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(A0 * !A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0217497, 0.0220615, 0.0221036, 0.0220391, 0.0217714, 0.0211559, 0.020164", \ + "0.0217277, 0.0220681, 0.0223807, 0.0224563, 0.0219123, 0.0211185, 0.0201629", \ + "0.0217173, 0.021906, 0.0220388, 0.0223788, 0.0215729, 0.0216562, 0.0201421", \ + "0.0217548, 0.0220045, 0.0221791, 0.021988, 0.0221139, 0.0209629, 0.0211887", \ + "0.0216261, 0.0216661, 0.0218518, 0.0220196, 0.021835, 0.0207901, 0.021538", \ + "0.0222223, 0.0218555, 0.0221148, 0.0221028, 0.0222117, 0.0224351, 0.0215536", \ + "0.0230179, 0.0222164, 0.0220426, 0.022032, 0.0222469, 0.0222759, 0.02227" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0237713, 0.0227626, 0.0229455, 0.0230375, 0.0227241, 0.0220452, 0.021181", \ + "0.0238571, 0.0230341, 0.023254, 0.0232377, 0.0235547, 0.0223219, 0.0213994", \ + "0.0238546, 0.02313, 0.0231918, 0.0230251, 0.023398, 0.0223159, 0.0212313", \ + "0.0237483, 0.0229041, 0.0231945, 0.023227, 0.0228251, 0.0225625, 0.0224823", \ + "0.0238572, 0.0230105, 0.0231294, 0.0232636, 0.023396, 0.0235735, 0.0212379", \ + "0.0241774, 0.0229221, 0.0230243, 0.0231587, 0.0231817, 0.0231533, 0.023202", \ + "0.0251245, 0.0235095, 0.0232337, 0.0231418, 0.023133, 0.0232507, 0.02316" \ + ); + } + } + internal_power () { + related_pin : "S"; + when : "(!A0 * A1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0225474, 0.02312, 0.0232536, 0.0232332, 0.0231036, 0.0223792, 0.0214365", \ + "0.02301, 0.0229697, 0.023349, 0.0232223, 0.0229459, 0.0224983, 0.0215373", \ + "0.0238023, 0.0233325, 0.0234206, 0.0235247, 0.0232603, 0.0229438, 0.0218466", \ + "0.0260508, 0.0247808, 0.0248174, 0.0248625, 0.0245389, 0.0251353, 0.0239274", \ + "0.0315436, 0.028627, 0.0286225, 0.0286647, 0.0288249, 0.0280429, 0.028844", \ + "0.0435774, 0.0381226, 0.037797, 0.0373481, 0.037188, 0.0373049, 0.0367194", \ + "0.0679456, 0.0589405, 0.0577201, 0.056768, 0.0563943, 0.0560318, 0.0557251" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0225628, 0.0219673, 0.0219748, 0.0221675, 0.0218189, 0.0211577, 0.0204873", \ + "0.0228146, 0.021708, 0.0220158, 0.0220237, 0.0217931, 0.0213064, 0.0201372", \ + "0.0236182, 0.0220456, 0.0221005, 0.0220719, 0.0225333, 0.021634, 0.0208006", \ + "0.0260597, 0.0236069, 0.0237076, 0.0238783, 0.0232496, 0.0237582, 0.0220663", \ + "0.0316085, 0.0275072, 0.0274361, 0.0274491, 0.0276414, 0.0267492, 0.0256721", \ + "0.0441221, 0.0375116, 0.0371425, 0.0372385, 0.0370636, 0.0368911, 0.0372549", \ + "0.0681413, 0.0581514, 0.0570413, 0.0560042, 0.055886, 0.0553992, 0.0566733" \ + ); + } + } + internal_power () { + related_pin : "S"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0225474, 0.02312, 0.0232536, 0.0232332, 0.0231036, 0.0223792, 0.0214365", \ + "0.02301, 0.0229697, 0.023349, 0.0232223, 0.0229459, 0.0224983, 0.0215373", \ + "0.0238023, 0.0233325, 0.0234206, 0.0235247, 0.0232603, 0.0229438, 0.0218466", \ + "0.0260508, 0.0247808, 0.0248174, 0.0248625, 0.0245389, 0.0251353, 0.0239274", \ + "0.0315436, 0.028627, 0.0286225, 0.0286647, 0.0288249, 0.0280429, 0.028844", \ + "0.0435774, 0.0381226, 0.037797, 0.0373481, 0.037188, 0.0373049, 0.0367194", \ + "0.0679456, 0.0589405, 0.0577201, 0.056768, 0.0563943, 0.0560318, 0.0557251" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0225628, 0.0219673, 0.0219748, 0.0221675, 0.0218189, 0.0211577, 0.0204873", \ + "0.0228146, 0.021708, 0.0220158, 0.0220237, 0.0217931, 0.0213064, 0.0201372", \ + "0.0236182, 0.0220456, 0.0221005, 0.0220719, 0.0225333, 0.021634, 0.0208006", \ + "0.0260597, 0.0236069, 0.0237076, 0.0238783, 0.0232496, 0.0237582, 0.0220663", \ + "0.0316085, 0.0275072, 0.0274361, 0.0274491, 0.0276414, 0.0267492, 0.0256721", \ + "0.0441221, 0.0375116, 0.0371425, 0.0372385, 0.0370636, 0.0368911, 0.0372549", \ + "0.0681413, 0.0581514, 0.0570413, 0.0560042, 0.055886, 0.0553992, 0.0566733" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00208295; + rise_capacitance : 0.00375959; + rise_capacitance_range (0.00375959, 0.00375959); + fall_capacitance : 0.000406314; + fall_capacitance_range (0.000406314, 0.000406314); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0022327; + rise_capacitance : 0.000705808; + rise_capacitance_range (0.000705808, 0.000705808); + fall_capacitance : 0.00375959; + fall_capacitance_range (0.00375959, 0.00375959); + } + pin (S) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00540362; + rise_capacitance : 0.00546491; + rise_capacitance_range (0.00546491, 0.00546491); + fall_capacitance : 0.00534232; + fall_capacitance_range (0.00534232, 0.00534232); + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00542815, 0.00544799, 0.00619496, 0.00812176, 0.0122506, 0.0212573, 0.0398484" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00622038, 0.00656939, 0.00740572, 0.00930295, 0.0139486, 0.0227014, 0.0416547" \ + ); + } + } + } + } + cell (sg13g2_mux4_1) { + area : 38.1024; + cell_footprint : "mux4"; + cell_leakage_power : 1307.19; + leakage_power () { + value : 863.959; + when : "!S1&!S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1150.74; + when : "!S1&!S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 947.61; + when : "!S1&!S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1148.69; + when : "!S1&!S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 1234.71; + when : "!S1&!S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1305.08; + when : "!S1&!S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 1318.36; + when : "!S1&!S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 1303.04; + when : "!S1&!S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 947.596; + when : "!S1&!S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1234.38; + when : "!S1&!S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1031.25; + when : "!S1&!S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1232.33; + when : "!S1&!S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 1232.65; + when : "!S1&!S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1303.03; + when : "!S1&!S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 1316.3; + when : "!S1&!S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 1300.98; + when : "!S1&!S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 991.739; + when : "!S1&S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1075.39; + when : "!S1&S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1278.52; + when : "!S1&S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1276.47; + when : "!S1&S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 1075.43; + when : "!S1&S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1159.04; + when : "!S1&S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 1362.17; + when : "!S1&S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 1360.12; + when : "!S1&S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 1362.48; + when : "!S1&S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1446.13; + when : "!S1&S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1432.86; + when : "!S1&S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1430.81; + when : "!S1&S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 1360.43; + when : "!S1&S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1444.08; + when : "!S1&S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 1430.81; + when : "!S1&S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 1428.76; + when : "!S1&S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 991.72; + when : "S1&!S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1362.47; + when : "S1&!S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1075.37; + when : "S1&!S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1360.42; + when : "S1&!S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 1278.5; + when : "S1&!S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1432.85; + when : "S1&!S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 1362.15; + when : "S1&!S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 1430.8; + when : "S1&!S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 1075.36; + when : "S1&!S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1446.11; + when : "S1&!S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1159.01; + when : "S1&!S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1444.06; + when : "S1&!S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 1276.44; + when : "S1&!S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1430.79; + when : "S1&!S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 1360.09; + when : "S1&!S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 1428.74; + when : "S1&!S0&A3&A2&A1&A0"; + } + leakage_power () { + value : 1119.5; + when : "S1&S0&!A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1203.15; + when : "S1&S0&!A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1490.25; + when : "S1&S0&!A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1488.2; + when : "S1&S0&!A3&!A2&A1&A0"; + } + leakage_power () { + value : 1203.19; + when : "S1&S0&!A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1286.8; + when : "S1&S0&!A3&A2&!A1&A0"; + } + leakage_power () { + value : 1573.9; + when : "S1&S0&!A3&A2&A1&!A0"; + } + leakage_power () { + value : 1571.85; + when : "S1&S0&!A3&A2&A1&A0"; + } + leakage_power () { + value : 1406.27; + when : "S1&S0&A3&!A2&!A1&!A0"; + } + leakage_power () { + value : 1489.92; + when : "S1&S0&A3&!A2&!A1&A0"; + } + leakage_power () { + value : 1560.62; + when : "S1&S0&A3&!A2&A1&!A0"; + } + leakage_power () { + value : 1558.57; + when : "S1&S0&A3&!A2&A1&A0"; + } + leakage_power () { + value : 1404.22; + when : "S1&S0&A3&A2&!A1&!A0"; + } + leakage_power () { + value : 1487.87; + when : "S1&S0&A3&A2&!A1&A0"; + } + leakage_power () { + value : 1558.57; + when : "S1&S0&A3&A2&A1&!A0"; + } + leakage_power () { + value : 1556.52; + when : "S1&S0&A3&A2&A1&A0"; + } + pin (X) { + direction : "output"; + function : "(A0*(!S0*!S1))+(A1*(S0*!S1))+(A2*(!S0*S1))+(A3*(S0*S1))"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A0"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0902511, 0.146606, 0.178728, 0.230398, 0.31603, 0.45849, 0.695581", \ + "0.112473, 0.169413, 0.201523, 0.253227, 0.338939, 0.481381, 0.719083", \ + "0.130459, 0.188476, 0.220682, 0.272387, 0.358131, 0.500644, 0.737851", \ + "0.154177, 0.214251, 0.246764, 0.298611, 0.384428, 0.527109, 0.764285", \ + "0.188169, 0.253012, 0.28693, 0.338688, 0.424514, 0.566773, 0.804184", \ + "0.234794, 0.307889, 0.342588, 0.395599, 0.481925, 0.625266, 0.862198", \ + "0.299753, 0.384733, 0.423295, 0.479632, 0.567974, 0.712843, 0.952295" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0191328, 0.0816066, 0.125625, 0.200378, 0.326846, 0.538788, 0.892477", \ + "0.0199007, 0.0821219, 0.125979, 0.200445, 0.328144, 0.538805, 0.893119", \ + "0.0212142, 0.0831455, 0.126608, 0.200788, 0.328145, 0.538905, 0.89312", \ + "0.0238802, 0.0849871, 0.128093, 0.201664, 0.328146, 0.539177, 0.893121", \ + "0.0286209, 0.0894364, 0.131697, 0.203618, 0.328433, 0.539683, 0.893122", \ + "0.03669, 0.098128, 0.138465, 0.209107, 0.332063, 0.541809, 0.894175", \ + "0.049694, 0.114896, 0.153707, 0.221751, 0.342306, 0.550825, 0.901294" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.105054, 0.161684, 0.190497, 0.233771, 0.30366, 0.418695, 0.609516", \ + "0.127365, 0.18439, 0.213061, 0.256922, 0.326795, 0.441773, 0.632841", \ + "0.143386, 0.201533, 0.230479, 0.27437, 0.344461, 0.459545, 0.650633", \ + "0.167939, 0.228495, 0.257789, 0.302221, 0.372486, 0.487742, 0.678936", \ + "0.204621, 0.269014, 0.299641, 0.345095, 0.415894, 0.531049, 0.722388", \ + "0.245982, 0.318549, 0.351981, 0.399159, 0.472572, 0.588991, 0.780421", \ + "0.310351, 0.393894, 0.431395, 0.483067, 0.561346, 0.68171, 0.877151" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.023051, 0.0716179, 0.102904, 0.155109, 0.244864, 0.398358, 0.656656", \ + "0.023701, 0.0716976, 0.102905, 0.15529, 0.245154, 0.399077, 0.656722", \ + "0.0251068, 0.0730997, 0.103887, 0.155851, 0.245589, 0.399078, 0.657138", \ + "0.0277647, 0.0760001, 0.106163, 0.157564, 0.246884, 0.39917, 0.6572", \ + "0.0331415, 0.0807752, 0.11061, 0.161362, 0.249034, 0.400771, 0.657481", \ + "0.041905, 0.091361, 0.121253, 0.170064, 0.255843, 0.404804, 0.65977", \ + "0.054551, 0.108709, 0.138741, 0.187679, 0.273008, 0.419248, 0.671021" \ + ); + } + } + timing () { + related_pin : "A1"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0878278, 0.144019, 0.176123, 0.227711, 0.313459, 0.45588, 0.692987", \ + "0.111426, 0.168246, 0.200257, 0.251909, 0.33772, 0.480064, 0.717442", \ + "0.129538, 0.187444, 0.219623, 0.271297, 0.357019, 0.499559, 0.736708", \ + "0.1533, 0.213433, 0.245839, 0.297741, 0.383498, 0.52608, 0.763313", \ + "0.186301, 0.251269, 0.284457, 0.336829, 0.422771, 0.564954, 0.802455", \ + "0.232689, 0.305741, 0.340365, 0.393757, 0.480842, 0.623085, 0.860469", \ + "0.296053, 0.381947, 0.420608, 0.477283, 0.565646, 0.711534, 0.950557" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0188845, 0.0814622, 0.125481, 0.20022, 0.32672, 0.538731, 0.892487", \ + "0.0197454, 0.0820038, 0.125837, 0.200317, 0.327213, 0.538881, 0.892635", \ + "0.0210779, 0.0830343, 0.126493, 0.200709, 0.327214, 0.538899, 0.893123", \ + "0.0238139, 0.0848922, 0.127897, 0.201565, 0.327455, 0.539072, 0.893124", \ + "0.0288361, 0.0894898, 0.131302, 0.203572, 0.328324, 0.539582, 0.893125", \ + "0.03686, 0.098781, 0.138747, 0.209204, 0.332304, 0.541876, 0.89408", \ + "0.050163, 0.115626, 0.154414, 0.222178, 0.342454, 0.550746, 0.901259" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.106759, 0.163667, 0.192351, 0.235758, 0.305652, 0.420642, 0.611617", \ + "0.127706, 0.184772, 0.213485, 0.257445, 0.327215, 0.442227, 0.633803", \ + "0.143759, 0.20198, 0.230839, 0.274775, 0.344923, 0.46, 0.651108", \ + "0.168323, 0.228899, 0.258386, 0.30261, 0.372958, 0.488218, 0.679511", \ + "0.205648, 0.269957, 0.300454, 0.345828, 0.416682, 0.532071, 0.723379", \ + "0.246935, 0.319177, 0.3523, 0.400141, 0.472804, 0.589271, 0.780657", \ + "0.313216, 0.396192, 0.433048, 0.484716, 0.562983, 0.68308, 0.878681" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0231176, 0.0717966, 0.103, 0.155069, 0.244997, 0.398438, 0.656691", \ + "0.023826, 0.0718238, 0.103001, 0.155281, 0.245159, 0.399177, 0.657201", \ + "0.0252153, 0.0733253, 0.104028, 0.15594, 0.245667, 0.399178, 0.657202", \ + "0.0277625, 0.0760146, 0.106203, 0.157652, 0.246913, 0.399199, 0.657295", \ + "0.0329331, 0.0806704, 0.110742, 0.161282, 0.249047, 0.400511, 0.65746", \ + "0.041661, 0.091153, 0.120823, 0.170284, 0.255748, 0.404804, 0.659864", \ + "0.05403, 0.107958, 0.137565, 0.187006, 0.272466, 0.419315, 0.67099" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0932771, 0.150379, 0.182686, 0.234323, 0.320021, 0.462563, 0.699619", \ + "0.116268, 0.17406, 0.206245, 0.257936, 0.343663, 0.486151, 0.724407", \ + "0.134908, 0.193724, 0.226017, 0.277859, 0.363625, 0.506251, 0.743431", \ + "0.159647, 0.220706, 0.253446, 0.305462, 0.39126, 0.533746, 0.771002", \ + "0.19437, 0.260496, 0.294648, 0.346282, 0.432379, 0.574614, 0.811966", \ + "0.243854, 0.318117, 0.353117, 0.406639, 0.493075, 0.636106, 0.872995", \ + "0.31066, 0.397293, 0.43615, 0.492123, 0.580803, 0.725922, 0.965249" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0196013, 0.082141, 0.125974, 0.200522, 0.326811, 0.538779, 0.892336", \ + "0.0203977, 0.0825836, 0.126328, 0.200548, 0.3279, 0.539154, 0.893439", \ + "0.0217558, 0.083572, 0.12698, 0.200934, 0.327901, 0.539155, 0.89344", \ + "0.0244638, 0.0856803, 0.128644, 0.201864, 0.327902, 0.539156, 0.893441", \ + "0.0294653, 0.0905078, 0.132429, 0.203978, 0.328433, 0.539647, 0.893442", \ + "0.037214, 0.099762, 0.140005, 0.209976, 0.332497, 0.541484, 0.894011", \ + "0.050422, 0.116551, 0.155492, 0.222362, 0.34271, 0.550516, 0.900579" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.111619, 0.169531, 0.198375, 0.242386, 0.31248, 0.427477, 0.61828", \ + "0.13401, 0.191899, 0.221104, 0.265123, 0.335356, 0.450412, 0.641821", \ + "0.150585, 0.209748, 0.238923, 0.283144, 0.353493, 0.468621, 0.659703", \ + "0.176152, 0.237485, 0.267485, 0.312083, 0.382706, 0.498007, 0.689207", \ + "0.214474, 0.279883, 0.31098, 0.356611, 0.427768, 0.543198, 0.734608", \ + "0.259027, 0.3326, 0.36645, 0.414774, 0.487381, 0.604193, 0.795303", \ + "0.325574, 0.410333, 0.447881, 0.500348, 0.579129, 0.699169, 0.894501" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0237813, 0.0730754, 0.103796, 0.155923, 0.245561, 0.39867, 0.656961", \ + "0.0244816, 0.0730764, 0.104109, 0.156203, 0.24576, 0.39928, 0.656962", \ + "0.0258695, 0.0742493, 0.105005, 0.156963, 0.246348, 0.399281, 0.656963", \ + "0.0285515, 0.0768802, 0.107334, 0.158709, 0.247626, 0.399582, 0.657024", \ + "0.0336426, 0.0819537, 0.112169, 0.162525, 0.249868, 0.400845, 0.657558", \ + "0.04259, 0.092534, 0.122522, 0.171718, 0.256666, 0.405025, 0.659833", \ + "0.055503, 0.110206, 0.139903, 0.189022, 0.274125, 0.419501, 0.670569" \ + ); + } + } + timing () { + related_pin : "A3"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0907365, 0.147788, 0.180029, 0.231521, 0.317311, 0.459823, 0.696847", \ + "0.114937, 0.172519, 0.204662, 0.256441, 0.342141, 0.484603, 0.722894", \ + "0.133791, 0.192575, 0.224777, 0.276643, 0.362312, 0.504887, 0.742003", \ + "0.158748, 0.219755, 0.252406, 0.304269, 0.390099, 0.532617, 0.769938", \ + "0.194148, 0.260489, 0.293699, 0.345968, 0.432017, 0.574209, 0.811525", \ + "0.242731, 0.316961, 0.352077, 0.405455, 0.491907, 0.635083, 0.871868", \ + "0.309368, 0.395932, 0.43511, 0.490911, 0.580187, 0.724679, 0.96395" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194089, 0.0819651, 0.125923, 0.200322, 0.326791, 0.538706, 0.892402", \ + "0.0202742, 0.0824738, 0.126207, 0.200525, 0.327985, 0.539618, 0.893613", \ + "0.0216739, 0.0835328, 0.126886, 0.200922, 0.327986, 0.539619, 0.894372", \ + "0.0244639, 0.085659, 0.128491, 0.20181, 0.327987, 0.53962, 0.894373", \ + "0.0294624, 0.090734, 0.131916, 0.203898, 0.328392, 0.539621, 0.894374", \ + "0.037341, 0.099833, 0.13983, 0.209893, 0.332399, 0.541464, 0.894375", \ + "0.050491, 0.116171, 0.155391, 0.222578, 0.342929, 0.550755, 0.900819" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.112477, 0.170226, 0.199064, 0.243091, 0.313113, 0.42807, 0.61912", \ + "0.133925, 0.191968, 0.220951, 0.26505, 0.335256, 0.450287, 0.641683", \ + "0.150369, 0.209463, 0.238682, 0.282916, 0.35322, 0.468365, 0.659503", \ + "0.175791, 0.237113, 0.266981, 0.311553, 0.382134, 0.497494, 0.688616", \ + "0.214156, 0.279483, 0.310275, 0.356093, 0.427216, 0.542675, 0.734129", \ + "0.258453, 0.331858, 0.365366, 0.413919, 0.486699, 0.602826, 0.794687", \ + "0.325174, 0.409796, 0.447143, 0.500003, 0.577909, 0.6983, 0.893897" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0238709, 0.0730159, 0.103822, 0.155904, 0.245548, 0.398706, 0.657075", \ + "0.0244784, 0.0730169, 0.104076, 0.15621, 0.245755, 0.399274, 0.657076", \ + "0.025853, 0.0741858, 0.105025, 0.156791, 0.246258, 0.399275, 0.657077", \ + "0.0284916, 0.0768651, 0.107344, 0.158524, 0.247569, 0.399545, 0.657107", \ + "0.03366, 0.0819347, 0.111661, 0.162483, 0.249763, 0.400851, 0.657643", \ + "0.042548, 0.092372, 0.122092, 0.171572, 0.256766, 0.404823, 0.659579", \ + "0.055228, 0.109861, 0.139714, 0.189275, 0.273766, 0.419361, 0.670548" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A2 == 1'b0 & A3 == 1'b1 & S1 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A2 * A3 * S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0798557, 0.13699, 0.16917, 0.220851, 0.306574, 0.449106, 0.68608", \ + "0.107721, 0.16581, 0.198125, 0.249833, 0.335655, 0.478036, 0.715309", \ + "0.12734, 0.187281, 0.219643, 0.271469, 0.357238, 0.49992, 0.736969", \ + "0.152376, 0.215714, 0.24841, 0.300507, 0.386317, 0.528792, 0.7662", \ + "0.18624, 0.256964, 0.290669, 0.342782, 0.428732, 0.571312, 0.808433", \ + "0.230565, 0.311247, 0.347561, 0.402057, 0.488647, 0.631409, 0.868854", \ + "0.284435, 0.381294, 0.423553, 0.481668, 0.571904, 0.717043, 0.95665" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.019359, 0.0818912, 0.125815, 0.200308, 0.326842, 0.538715, 0.8924", \ + "0.0211729, 0.0828764, 0.126383, 0.200567, 0.32746, 0.539069, 0.892547", \ + "0.0234105, 0.0844434, 0.127418, 0.201214, 0.327461, 0.53907, 0.892708", \ + "0.0280836, 0.0872238, 0.12938, 0.202406, 0.327872, 0.539268, 0.892709", \ + "0.0349049, 0.0944519, 0.13425, 0.205048, 0.328881, 0.539887, 0.89326", \ + "0.04531, 0.106846, 0.14456, 0.21278, 0.333658, 0.54249, 0.894352", \ + "0.061732, 0.130105, 0.167286, 0.230426, 0.347691, 0.553037, 0.902673" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0969598, 0.154784, 0.183996, 0.227586, 0.297853, 0.412849, 0.603814", \ + "0.124405, 0.182835, 0.212056, 0.256261, 0.326271, 0.441356, 0.632808", \ + "0.144592, 0.204534, 0.234076, 0.278472, 0.348952, 0.463954, 0.65519", \ + "0.174089, 0.237007, 0.26723, 0.31224, 0.382965, 0.498571, 0.68971", \ + "0.217673, 0.28604, 0.317325, 0.363324, 0.434512, 0.550133, 0.7415", \ + "0.268402, 0.347852, 0.382457, 0.431172, 0.50405, 0.620614, 0.812545", \ + "0.345122, 0.43913, 0.479187, 0.533809, 0.611487, 0.734587, 0.92849" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0237753, 0.0726634, 0.103951, 0.155998, 0.245533, 0.39859, 0.656775", \ + "0.0252138, 0.0732952, 0.104313, 0.156536, 0.245909, 0.399394, 0.657302", \ + "0.027565, 0.0752753, 0.105902, 0.157383, 0.246437, 0.399395, 0.657303", \ + "0.0317983, 0.0786789, 0.108937, 0.159746, 0.248358, 0.400141, 0.657441", \ + "0.0394659, 0.0853388, 0.114541, 0.164124, 0.250886, 0.401646, 0.6582", \ + "0.051786, 0.100224, 0.12785, 0.175131, 0.258362, 0.405834, 0.660243", \ + "0.06895, 0.123612, 0.151806, 0.197721, 0.277575, 0.423202, 0.671341" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A0 == 1'b0 & A1 == 1'b1 & S1 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A1 * !S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0755383, 0.131838, 0.163961, 0.215456, 0.30125, 0.443585, 0.680673", \ + "0.101803, 0.159046, 0.191195, 0.242851, 0.328599, 0.471083, 0.708224", \ + "0.120218, 0.179215, 0.211448, 0.263216, 0.348942, 0.491472, 0.728465", \ + "0.143566, 0.206033, 0.238391, 0.290337, 0.376181, 0.518611, 0.75586", \ + "0.175334, 0.244138, 0.277914, 0.329771, 0.415621, 0.558054, 0.795639", \ + "0.216841, 0.296149, 0.332243, 0.385945, 0.472502, 0.61539, 0.853035", \ + "0.266253, 0.361542, 0.403025, 0.460661, 0.549777, 0.696312, 0.935787" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0187892, 0.0813407, 0.12552, 0.200051, 0.326802, 0.538848, 0.892425", \ + "0.0205629, 0.0822852, 0.126062, 0.200406, 0.32735, 0.539631, 0.892815", \ + "0.0227862, 0.0838179, 0.126989, 0.200991, 0.327351, 0.539632, 0.893007", \ + "0.027262, 0.0866043, 0.128892, 0.202089, 0.327811, 0.539633, 0.893008", \ + "0.0339465, 0.0928622, 0.133419, 0.204339, 0.328809, 0.539961, 0.893182", \ + "0.04457, 0.105491, 0.143882, 0.212146, 0.333554, 0.542614, 0.89452", \ + "0.060979, 0.128697, 0.165414, 0.229449, 0.346992, 0.553738, 0.903564" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0883287, 0.145123, 0.173751, 0.217474, 0.287226, 0.402251, 0.593249", \ + "0.115212, 0.172839, 0.201614, 0.245324, 0.315372, 0.430301, 0.621455", \ + "0.134311, 0.193346, 0.222712, 0.266669, 0.336848, 0.451861, 0.643054", \ + "0.162263, 0.224489, 0.254142, 0.298699, 0.369148, 0.484622, 0.675845", \ + "0.203598, 0.270993, 0.301826, 0.346972, 0.418016, 0.533668, 0.725086", \ + "0.251484, 0.329655, 0.363447, 0.411405, 0.485684, 0.601488, 0.792661", \ + "0.323932, 0.416225, 0.45566, 0.509656, 0.586924, 0.707682, 0.904294" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0230913, 0.0714208, 0.10296, 0.154899, 0.244909, 0.398465, 0.65665", \ + "0.0244935, 0.0723061, 0.103101, 0.155362, 0.24535, 0.398756, 0.656651", \ + "0.0269502, 0.0740539, 0.104778, 0.156555, 0.246055, 0.399006, 0.657076", \ + "0.0309571, 0.0777232, 0.107658, 0.158723, 0.247699, 0.399888, 0.657203", \ + "0.0387557, 0.0839451, 0.112914, 0.162481, 0.249866, 0.401226, 0.658284", \ + "0.050684, 0.098675, 0.125752, 0.172892, 0.258479, 0.40555, 0.660427", \ + "0.067435, 0.121634, 0.149567, 0.195651, 0.276522, 0.421699, 0.672172" \ + ); + } + } + timing () { + related_pin : "S0"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0798557, 0.13699, 0.16917, 0.220851, 0.306574, 0.449106, 0.68608", \ + "0.107721, 0.16581, 0.198125, 0.249833, 0.335655, 0.478036, 0.715309", \ + "0.12734, 0.187281, 0.219643, 0.271469, 0.357238, 0.49992, 0.736969", \ + "0.152376, 0.215714, 0.24841, 0.300507, 0.386317, 0.528792, 0.7662", \ + "0.18624, 0.256964, 0.290669, 0.342782, 0.428732, 0.571312, 0.808433", \ + "0.230565, 0.311247, 0.347561, 0.402057, 0.488647, 0.631409, 0.868854", \ + "0.284435, 0.381294, 0.423553, 0.481668, 0.571904, 0.717043, 0.95665" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.019359, 0.0818912, 0.125815, 0.200308, 0.326842, 0.538715, 0.8924", \ + "0.0211729, 0.0828764, 0.126383, 0.200567, 0.32746, 0.539069, 0.892547", \ + "0.0234105, 0.0844434, 0.127418, 0.201214, 0.327461, 0.53907, 0.892708", \ + "0.0280836, 0.0872238, 0.12938, 0.202406, 0.327872, 0.539268, 0.892709", \ + "0.0349049, 0.0944519, 0.13425, 0.205048, 0.328881, 0.539887, 0.89326", \ + "0.04531, 0.106846, 0.14456, 0.21278, 0.333658, 0.54249, 0.894352", \ + "0.061732, 0.130105, 0.167286, 0.230426, 0.347691, 0.553037, 0.902673" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0969598, 0.154784, 0.183996, 0.227586, 0.297853, 0.412849, 0.603814", \ + "0.124405, 0.182835, 0.212056, 0.256261, 0.326271, 0.441356, 0.632808", \ + "0.144592, 0.204534, 0.234076, 0.278472, 0.348952, 0.463954, 0.65519", \ + "0.174089, 0.237007, 0.26723, 0.31224, 0.382965, 0.498571, 0.68971", \ + "0.217673, 0.28604, 0.317325, 0.363324, 0.434512, 0.550133, 0.7415", \ + "0.268402, 0.347852, 0.382457, 0.431172, 0.50405, 0.620614, 0.812545", \ + "0.345122, 0.43913, 0.479187, 0.533809, 0.611487, 0.734587, 0.92849" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0237753, 0.0726634, 0.103951, 0.155998, 0.245533, 0.39859, 0.656775", \ + "0.0252138, 0.0732952, 0.104313, 0.156536, 0.245909, 0.399394, 0.657302", \ + "0.027565, 0.0752753, 0.105902, 0.157383, 0.246437, 0.399395, 0.657303", \ + "0.0317983, 0.0786789, 0.108937, 0.159746, 0.248358, 0.400141, 0.657441", \ + "0.0394659, 0.0853388, 0.114541, 0.164124, 0.250886, 0.401646, 0.6582", \ + "0.051786, 0.100224, 0.12785, 0.175131, 0.258362, 0.405834, 0.660243", \ + "0.06895, 0.123612, 0.151806, 0.197721, 0.277575, 0.423202, 0.671341" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A2 == 1'b1 & A3 == 1'b0 & S1 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A2 * !A3 * S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.116811, 0.173998, 0.206215, 0.257851, 0.343543, 0.485966, 0.722989", \ + "0.143648, 0.200786, 0.233097, 0.284722, 0.370418, 0.512813, 0.749997", \ + "0.161291, 0.218332, 0.250538, 0.302096, 0.387869, 0.530275, 0.767518", \ + "0.185829, 0.242977, 0.275125, 0.32666, 0.412406, 0.554769, 0.791952", \ + "0.221398, 0.278854, 0.310997, 0.362594, 0.448336, 0.590694, 0.827725", \ + "0.26046, 0.319112, 0.3513, 0.403189, 0.489072, 0.631792, 0.86889", \ + "0.319502, 0.37998, 0.412563, 0.465037, 0.551454, 0.693978, 0.931086" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0198563, 0.0822383, 0.126052, 0.200287, 0.326793, 0.538764, 0.892341", \ + "0.0198573, 0.0822393, 0.126053, 0.200387, 0.327452, 0.538765, 0.892418", \ + "0.0198583, 0.0822403, 0.126054, 0.200388, 0.327453, 0.539248, 0.892432", \ + "0.0199048, 0.0822413, 0.126055, 0.200389, 0.327454, 0.539249, 0.892433", \ + "0.0205154, 0.0824243, 0.126093, 0.20039, 0.327455, 0.53925, 0.892434", \ + "0.021837, 0.08359, 0.127032, 0.201135, 0.327456, 0.539251, 0.892435", \ + "0.024459, 0.085725, 0.128889, 0.20287, 0.32843, 0.539276, 0.892436" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.129971, 0.187762, 0.21693, 0.260646, 0.330829, 0.445749, 0.63683", \ + "0.155328, 0.212918, 0.24186, 0.285774, 0.356056, 0.471131, 0.662318", \ + "0.171814, 0.229318, 0.258171, 0.301974, 0.3721, 0.487152, 0.678163", \ + "0.192818, 0.250298, 0.279485, 0.323057, 0.393041, 0.507964, 0.698933", \ + "0.223476, 0.281318, 0.310406, 0.354001, 0.424028, 0.538919, 0.729868", \ + "0.267711, 0.326267, 0.355517, 0.399551, 0.469953, 0.585486, 0.776492", \ + "0.326741, 0.387071, 0.416729, 0.461426, 0.533299, 0.650395, 0.842023" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0239083, 0.0729198, 0.104079, 0.155865, 0.245581, 0.398673, 0.656728", \ + "0.0239093, 0.0729208, 0.10408, 0.155939, 0.245709, 0.398902, 0.656729", \ + "0.0239103, 0.0729218, 0.104081, 0.15594, 0.24571, 0.398972, 0.65731", \ + "0.0239113, 0.0729228, 0.104082, 0.155941, 0.245711, 0.398973, 0.657311", \ + "0.0241401, 0.0729238, 0.104083, 0.155942, 0.245712, 0.398974, 0.657312", \ + "0.025206, 0.073761, 0.104725, 0.156667, 0.246483, 0.39918, 0.657313", \ + "0.028155, 0.076018, 0.107048, 0.159487, 0.250083, 0.402674, 0.658562" \ + ); + } + } + timing () { + related_pin : "S0"; + sdf_cond : "A0 == 1'b1 & A1 == 1'b0 & S1 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A1 * !S1)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.113586, 0.170143, 0.202131, 0.253691, 0.339277, 0.48168, 0.718885", \ + "0.140117, 0.196561, 0.228629, 0.280264, 0.366036, 0.508483, 0.745652", \ + "0.157461, 0.213862, 0.245888, 0.29748, 0.383217, 0.525679, 0.762913", \ + "0.181502, 0.23796, 0.270025, 0.321608, 0.407249, 0.549679, 0.78688", \ + "0.216189, 0.273019, 0.305105, 0.356641, 0.442335, 0.584844, 0.821923", \ + "0.253784, 0.311866, 0.343895, 0.395834, 0.481839, 0.624522, 0.86171", \ + "0.311396, 0.371094, 0.403938, 0.456443, 0.543059, 0.68608, 0.92329" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0193455, 0.0817432, 0.125721, 0.200219, 0.326825, 0.538806, 0.892821", \ + "0.01935, 0.0817482, 0.125722, 0.20022, 0.326829, 0.539053, 0.892822", \ + "0.0193591, 0.0817492, 0.125723, 0.200221, 0.326871, 0.54124, 0.892823", \ + "0.0195622, 0.0817502, 0.125724, 0.200222, 0.326872, 0.541241, 0.892824", \ + "0.0201201, 0.0820844, 0.125865, 0.200307, 0.326873, 0.541242, 0.892825", \ + "0.021633, 0.083309, 0.126928, 0.201219, 0.327602, 0.541243, 0.892826", \ + "0.024452, 0.085134, 0.128979, 0.2033, 0.329227, 0.541244, 0.892827" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.122928, 0.179683, 0.208656, 0.252101, 0.321946, 0.437084, 0.627926", \ + "0.148295, 0.205152, 0.233682, 0.277306, 0.347391, 0.462364, 0.653461", \ + "0.164752, 0.221355, 0.250084, 0.293531, 0.363545, 0.478552, 0.669462", \ + "0.185344, 0.24228, 0.270725, 0.314215, 0.38415, 0.499094, 0.690105", \ + "0.215246, 0.272396, 0.301168, 0.344485, 0.414422, 0.529261, 0.720298", \ + "0.258233, 0.316133, 0.345126, 0.388855, 0.459404, 0.574821, 0.76594", \ + "0.315278, 0.374897, 0.404362, 0.449364, 0.521071, 0.638253, 0.830693" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0234028, 0.072081, 0.103009, 0.155128, 0.245188, 0.398454, 0.656732", \ + "0.0234038, 0.072082, 0.10301, 0.155129, 0.245278, 0.398751, 0.656733", \ + "0.0234048, 0.072083, 0.103011, 0.15513, 0.245279, 0.398752, 0.657131", \ + "0.0234058, 0.072084, 0.103012, 0.155131, 0.24528, 0.398753, 0.657132", \ + "0.023698, 0.072085, 0.103013, 0.155132, 0.245281, 0.398754, 0.657133", \ + "0.024958, 0.072952, 0.104009, 0.156209, 0.246224, 0.399241, 0.657134", \ + "0.028029, 0.075192, 0.10634, 0.159139, 0.250312, 0.403969, 0.660538" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A1 == 1'b0 & A3 == 1'b1 & S0 == 1'b1"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A1 * A3 * S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0478206, 0.104477, 0.136388, 0.187831, 0.273354, 0.415772, 0.652662", \ + "0.0690883, 0.125906, 0.158182, 0.209869, 0.295523, 0.437828, 0.674918", \ + "0.0823602, 0.140305, 0.172665, 0.224403, 0.310259, 0.452723, 0.689833", \ + "0.0975092, 0.158715, 0.190908, 0.242806, 0.328529, 0.47127, 0.708296", \ + "0.118091, 0.184452, 0.217303, 0.269622, 0.3557, 0.498202, 0.735913", \ + "0.143269, 0.218631, 0.253625, 0.30701, 0.394594, 0.53858, 0.776299", \ + "0.17034, 0.257618, 0.297474, 0.35451, 0.44563, 0.593328, 0.83584" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0169429, 0.0811131, 0.125287, 0.199887, 0.326615, 0.538611, 0.89235", \ + "0.0193748, 0.0822289, 0.126247, 0.200582, 0.326897, 0.538648, 0.892373", \ + "0.0219272, 0.0831886, 0.127156, 0.201363, 0.327488, 0.539008, 0.892792", \ + "0.0257767, 0.0860684, 0.128653, 0.202338, 0.328324, 0.539833, 0.893019", \ + "0.0319381, 0.0917386, 0.132934, 0.2051, 0.330066, 0.540985, 0.893824", \ + "0.0420452, 0.103576, 0.143126, 0.213331, 0.336469, 0.545648, 0.896699", \ + "0.05926, 0.125859, 0.163559, 0.230824, 0.352093, 0.560788, 0.910404" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0569487, 0.112762, 0.140949, 0.184535, 0.254199, 0.369075, 0.560032", \ + "0.079049, 0.135115, 0.163742, 0.207389, 0.277325, 0.392315, 0.583185", \ + "0.0935517, 0.150272, 0.179293, 0.223322, 0.293773, 0.408844, 0.599943", \ + "0.115175, 0.174018, 0.203221, 0.247327, 0.318181, 0.433899, 0.625164", \ + "0.147824, 0.213036, 0.243414, 0.287776, 0.358705, 0.474516, 0.666181", \ + "0.185773, 0.260834, 0.293655, 0.341113, 0.413913, 0.531706, 0.72358", \ + "0.250516, 0.338784, 0.37709, 0.429473, 0.507571, 0.630108, 0.828844" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194248, 0.069891, 0.101391, 0.154164, 0.244721, 0.398174, 0.656712", \ + "0.0212067, 0.0711365, 0.102556, 0.155069, 0.245018, 0.398255, 0.656713", \ + "0.0237586, 0.0724533, 0.104087, 0.156566, 0.246339, 0.399105, 0.657606", \ + "0.0285631, 0.075039, 0.105997, 0.158285, 0.248282, 0.400838, 0.657812", \ + "0.0366797, 0.08238, 0.111631, 0.161719, 0.250124, 0.402495, 0.659817", \ + "0.047836, 0.097266, 0.124767, 0.173325, 0.259102, 0.408229, 0.663286", \ + "0.066664, 0.121788, 0.149726, 0.196315, 0.280698, 0.428914, 0.680251" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A0 == 1'b0 & A2 == 1'b1 & S0 == 1'b0"; + timing_sense : positive_unate; + timing_type : combinational; + when : "(!A0 * A2 * !S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0477257, 0.104222, 0.13627, 0.187712, 0.27321, 0.415709, 0.652512", \ + "0.0690676, 0.125877, 0.158181, 0.209868, 0.295542, 0.437915, 0.674948", \ + "0.0823619, 0.140303, 0.172692, 0.224471, 0.310323, 0.452721, 0.689912", \ + "0.0974835, 0.158786, 0.190877, 0.24285, 0.328519, 0.47115, 0.708349", \ + "0.11798, 0.184462, 0.217324, 0.269644, 0.355753, 0.49834, 0.735812", \ + "0.143447, 0.218549, 0.253696, 0.307195, 0.394492, 0.538774, 0.776403", \ + "0.170831, 0.257802, 0.297629, 0.355338, 0.445387, 0.593007, 0.836019" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.016906, 0.0811819, 0.125307, 0.199883, 0.326593, 0.538572, 0.892355", \ + "0.0193616, 0.0821964, 0.126278, 0.200617, 0.326924, 0.538735, 0.892395", \ + "0.0218499, 0.0831745, 0.127121, 0.201422, 0.327501, 0.538949, 0.892799", \ + "0.0257875, 0.086047, 0.128627, 0.202317, 0.328294, 0.539827, 0.893023", \ + "0.0319779, 0.0917272, 0.13289, 0.205098, 0.329968, 0.540983, 0.893882", \ + "0.0420183, 0.103692, 0.143126, 0.213287, 0.336632, 0.545582, 0.896683", \ + "0.059154, 0.125573, 0.163669, 0.231423, 0.35215, 0.560805, 0.910093" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0568661, 0.112655, 0.140874, 0.184458, 0.25409, 0.369007, 0.559959", \ + "0.078935, 0.134952, 0.163653, 0.207247, 0.277207, 0.392122, 0.58308", \ + "0.0934144, 0.150112, 0.179161, 0.223165, 0.293546, 0.408776, 0.599685", \ + "0.114994, 0.173879, 0.20283, 0.247212, 0.317972, 0.433626, 0.624993", \ + "0.147618, 0.213018, 0.242817, 0.287426, 0.358507, 0.474387, 0.666031", \ + "0.185427, 0.260733, 0.293376, 0.340879, 0.414419, 0.530995, 0.723356", \ + "0.249925, 0.338518, 0.376605, 0.42896, 0.507111, 0.629768, 0.828148" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0195037, 0.0698562, 0.101378, 0.1542, 0.244759, 0.398174, 0.656457", \ + "0.0211958, 0.0711445, 0.102605, 0.155036, 0.245049, 0.398215, 0.656545", \ + "0.0237141, 0.0724562, 0.104094, 0.156715, 0.246385, 0.399181, 0.656835", \ + "0.0285212, 0.0750236, 0.10584, 0.158379, 0.248175, 0.40083, 0.657788", \ + "0.0366654, 0.0825162, 0.111212, 0.161771, 0.25009, 0.402518, 0.659883", \ + "0.04784, 0.097378, 0.124695, 0.17328, 0.259594, 0.408574, 0.663553", \ + "0.066647, 0.121778, 0.149689, 0.19638, 0.280757, 0.428933, 0.680313" \ + ); + } + } + timing () { + related_pin : "S1"; + timing_sense : non_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0477257, 0.104222, 0.13627, 0.187712, 0.27321, 0.415709, 0.652512", \ + "0.0690676, 0.125877, 0.158181, 0.209868, 0.295542, 0.437915, 0.674948", \ + "0.0823619, 0.140303, 0.172692, 0.224471, 0.310323, 0.452721, 0.689912", \ + "0.0974835, 0.158786, 0.190877, 0.24285, 0.328519, 0.47115, 0.708349", \ + "0.11798, 0.184462, 0.217324, 0.269644, 0.355753, 0.49834, 0.735812", \ + "0.143447, 0.218549, 0.253696, 0.307195, 0.394492, 0.538774, 0.776403", \ + "0.170831, 0.257802, 0.297629, 0.355338, 0.445387, 0.593007, 0.836019" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.016906, 0.0811819, 0.125307, 0.199883, 0.326593, 0.538572, 0.892355", \ + "0.0193616, 0.0821964, 0.126278, 0.200617, 0.326924, 0.538735, 0.892395", \ + "0.0218499, 0.0831745, 0.127121, 0.201422, 0.327501, 0.538949, 0.892799", \ + "0.0257875, 0.086047, 0.128627, 0.202317, 0.328294, 0.539827, 0.893023", \ + "0.0319779, 0.0917272, 0.13289, 0.205098, 0.329968, 0.540983, 0.893882", \ + "0.0420183, 0.103692, 0.143126, 0.213287, 0.336632, 0.545582, 0.896683", \ + "0.059154, 0.125573, 0.163669, 0.231423, 0.35215, 0.560805, 0.910093" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0569487, 0.112762, 0.140949, 0.184535, 0.254199, 0.369075, 0.560032", \ + "0.079049, 0.135115, 0.163742, 0.207389, 0.277325, 0.392315, 0.583185", \ + "0.0935517, 0.150272, 0.179293, 0.223322, 0.293773, 0.408844, 0.599943", \ + "0.115175, 0.174018, 0.203221, 0.247327, 0.318181, 0.433899, 0.625164", \ + "0.147824, 0.213036, 0.243414, 0.287776, 0.358705, 0.474516, 0.666181", \ + "0.185773, 0.260834, 0.293655, 0.341113, 0.413913, 0.531706, 0.72358", \ + "0.250516, 0.338784, 0.37709, 0.429473, 0.507571, 0.630108, 0.828844" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194248, 0.069891, 0.101391, 0.154164, 0.244721, 0.398174, 0.656712", \ + "0.0212067, 0.0711365, 0.102556, 0.155069, 0.245018, 0.398255, 0.656713", \ + "0.0237586, 0.0724533, 0.104087, 0.156566, 0.246339, 0.399105, 0.657606", \ + "0.0285631, 0.075039, 0.105997, 0.158285, 0.248282, 0.400838, 0.657812", \ + "0.0366797, 0.08238, 0.111631, 0.161719, 0.250124, 0.402495, 0.659817", \ + "0.047836, 0.097266, 0.124767, 0.173325, 0.259102, 0.408229, 0.663286", \ + "0.066664, 0.121788, 0.149726, 0.196315, 0.280698, 0.428914, 0.680251" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A1 == 1'b1 & A3 == 1'b0 & S0 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A3 * S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0632936, 0.11913, 0.151113, 0.202578, 0.288301, 0.430724, 0.66761", \ + "0.0878059, 0.142827, 0.174606, 0.226042, 0.311655, 0.454032, 0.692001", \ + "0.101919, 0.157003, 0.188536, 0.239807, 0.325222, 0.467582, 0.704665", \ + "0.120372, 0.17558, 0.207148, 0.258319, 0.343543, 0.485528, 0.722415", \ + "0.145522, 0.201041, 0.232889, 0.284362, 0.369721, 0.5118, 0.748356", \ + "0.17052, 0.226775, 0.259163, 0.311816, 0.398767, 0.542165, 0.779212", \ + "0.208485, 0.266676, 0.299545, 0.352851, 0.442023, 0.588492, 0.829828" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180674, 0.0809837, 0.125155, 0.199899, 0.326531, 0.538573, 0.892284", \ + "0.0180684, 0.0809847, 0.125156, 0.1999, 0.327041, 0.538574, 0.893212", \ + "0.0180694, 0.0809857, 0.125157, 0.199901, 0.327042, 0.53903, 0.893213", \ + "0.0180704, 0.0809867, 0.125158, 0.199902, 0.327043, 0.539031, 0.893214", \ + "0.0186942, 0.0814638, 0.125373, 0.199903, 0.327044, 0.539032, 0.893215", \ + "0.020307, 0.082929, 0.12779, 0.203185, 0.329604, 0.540147, 0.893216", \ + "0.023534, 0.085233, 0.130697, 0.207755, 0.336743, 0.549726, 0.901135" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0699537, 0.127146, 0.155884, 0.199588, 0.269928, 0.384593, 0.575593", \ + "0.0940446, 0.149351, 0.177847, 0.221402, 0.291364, 0.406489, 0.59754", \ + "0.108253, 0.163609, 0.191865, 0.235165, 0.304796, 0.419548, 0.610505", \ + "0.125016, 0.180439, 0.208765, 0.252091, 0.321632, 0.435895, 0.626418", \ + "0.147945, 0.203706, 0.232209, 0.275795, 0.3455, 0.460091, 0.650294", \ + "0.178142, 0.233735, 0.262542, 0.307114, 0.379008, 0.495967, 0.688061", \ + "0.217003, 0.273187, 0.302905, 0.348628, 0.422932, 0.544123, 0.743102" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0217007, 0.0715796, 0.10295, 0.155232, 0.245756, 0.398497, 0.656552", \ + "0.0217017, 0.0715806, 0.102951, 0.155233, 0.245757, 0.398945, 0.656898", \ + "0.0217027, 0.0715816, 0.102952, 0.155234, 0.245758, 0.398946, 0.656899", \ + "0.0217037, 0.0715826, 0.102953, 0.155235, 0.245759, 0.398947, 0.6569", \ + "0.0217047, 0.0715836, 0.102954, 0.155236, 0.24576, 0.398948, 0.656901", \ + "0.0217057, 0.072102, 0.103928, 0.157795, 0.249202, 0.402025, 0.657797", \ + "0.024321, 0.073963, 0.10708, 0.162851, 0.257655, 0.415381, 0.674323" \ + ); + } + } + timing () { + related_pin : "S1"; + sdf_cond : "A0 == 1'b1 & A2 == 1'b0 & S0 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A0 * !A2 * !S0)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0631521, 0.118941, 0.150971, 0.202418, 0.288089, 0.43055, 0.667468", \ + "0.0878288, 0.142729, 0.174507, 0.225961, 0.311642, 0.453941, 0.69192", \ + "0.101944, 0.156738, 0.188407, 0.23963, 0.325128, 0.467424, 0.704491", \ + "0.120439, 0.175564, 0.207174, 0.258305, 0.34352, 0.485515, 0.722374", \ + "0.145501, 0.200816, 0.232774, 0.284252, 0.369638, 0.511719, 0.748239", \ + "0.171055, 0.227286, 0.259838, 0.312077, 0.399054, 0.542408, 0.779459", \ + "0.20839, 0.266529, 0.299737, 0.353071, 0.442219, 0.58888, 0.830199" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180161, 0.0809716, 0.125169, 0.199908, 0.326549, 0.538575, 0.892284", \ + "0.0180171, 0.0809726, 0.12517, 0.199909, 0.327528, 0.538576, 0.893216", \ + "0.0180181, 0.0809736, 0.125171, 0.19991, 0.327529, 0.539239, 0.893217", \ + "0.0180191, 0.0809746, 0.125172, 0.199911, 0.32753, 0.53924, 0.893218", \ + "0.0187196, 0.0814135, 0.125372, 0.199912, 0.327531, 0.539241, 0.893219", \ + "0.020303, 0.082934, 0.127787, 0.203165, 0.329598, 0.540138, 0.89322", \ + "0.023564, 0.085237, 0.130767, 0.207725, 0.33676, 0.549696, 0.901241" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0700623, 0.127291, 0.156093, 0.199698, 0.26982, 0.384784, 0.575713", \ + "0.0940606, 0.149553, 0.178031, 0.221575, 0.291531, 0.406589, 0.597572", \ + "0.108273, 0.163553, 0.191981, 0.235289, 0.304896, 0.419585, 0.610587", \ + "0.125042, 0.180541, 0.208816, 0.252196, 0.321684, 0.436024, 0.626571", \ + "0.147788, 0.203635, 0.232222, 0.275885, 0.345498, 0.459961, 0.650227", \ + "0.178139, 0.233779, 0.262563, 0.307119, 0.378931, 0.496001, 0.688073", \ + "0.216899, 0.273398, 0.302963, 0.348402, 0.422857, 0.544389, 0.743288" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0217283, 0.0715935, 0.102676, 0.155263, 0.245275, 0.398452, 0.65641", \ + "0.0217293, 0.0715945, 0.102677, 0.155264, 0.245276, 0.398947, 0.656411", \ + "0.0217303, 0.0715955, 0.102678, 0.155265, 0.245277, 0.398948, 0.656412", \ + "0.0217313, 0.0715965, 0.102679, 0.155266, 0.245278, 0.398949, 0.656413", \ + "0.0217323, 0.0715975, 0.10268, 0.155267, 0.245279, 0.39895, 0.656414", \ + "0.0217333, 0.072113, 0.103938, 0.15775, 0.249164, 0.402203, 0.6578", \ + "0.024342, 0.074376, 0.107069, 0.162769, 0.257663, 0.415379, 0.674318" \ + ); + } + } + internal_power () { + related_pin : "A0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0294087, 0.0294221, 0.0294874, 0.0294925, 0.029353, 0.0290609, 0.0286394", \ + "0.0293257, 0.0291723, 0.0293479, 0.0291923, 0.0292714, 0.0288231, 0.0284844", \ + "0.0297436, 0.0294651, 0.029424, 0.0295099, 0.0292555, 0.0293473, 0.0287179", \ + "0.0311051, 0.030529, 0.0305235, 0.0304684, 0.0302671, 0.0306198, 0.0298291", \ + "0.0350387, 0.0339645, 0.0340072, 0.0339356, 0.0339145, 0.0334376, 0.0339205", \ + "0.0442278, 0.0424089, 0.042075, 0.0417875, 0.0415275, 0.0416972, 0.0409688", \ + "0.0637525, 0.0607248, 0.0601076, 0.0596211, 0.0590052, 0.0585752, 0.0582782" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.029188, 0.028907, 0.0289881, 0.0288619, 0.0288021, 0.028595, 0.0278838", \ + "0.0292578, 0.0287972, 0.0289156, 0.0288923, 0.0287872, 0.0287862, 0.0281283", \ + "0.0297317, 0.0290895, 0.0290299, 0.0290514, 0.0292556, 0.0290306, 0.0282919", \ + "0.0313029, 0.0303134, 0.0303137, 0.0302369, 0.0300067, 0.0302327, 0.0296035", \ + "0.035483, 0.0339216, 0.0337778, 0.033827, 0.0337833, 0.0334797, 0.0327983", \ + "0.0449114, 0.0424478, 0.0423086, 0.0419207, 0.0420021, 0.0420908, 0.0419382", \ + "0.0646227, 0.0611698, 0.0605976, 0.060031, 0.0599192, 0.0593021, 0.0597808" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0284871, 0.0285128, 0.028588, 0.028588, 0.0284937, 0.0282, 0.0277063", \ + "0.0283672, 0.0282188, 0.0284019, 0.0282484, 0.0282067, 0.0279165, 0.0274256", \ + "0.0287683, 0.0285081, 0.0284619, 0.0285521, 0.0283131, 0.028806, 0.0277498", \ + "0.030106, 0.0295784, 0.0295561, 0.0295114, 0.0293303, 0.0298165, 0.0288215", \ + "0.0340074, 0.0329227, 0.0328412, 0.0328578, 0.0328303, 0.0323184, 0.0328887", \ + "0.0432059, 0.0414308, 0.0410599, 0.0408226, 0.040673, 0.0405454, 0.0400017", \ + "0.0628798, 0.0597658, 0.059151, 0.0586859, 0.0581221, 0.057913, 0.0575623" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0217485, 0.0214837, 0.0215347, 0.0214909, 0.0213838, 0.0211764, 0.0206926", \ + "0.0217365, 0.021252, 0.021367, 0.0213467, 0.0212052, 0.0211666, 0.0207438", \ + "0.0222828, 0.0216214, 0.0215356, 0.0215721, 0.0217777, 0.0216354, 0.020753", \ + "0.0238717, 0.0228979, 0.022927, 0.0228818, 0.0226007, 0.022709, 0.0222177", \ + "0.0280741, 0.0265451, 0.0264147, 0.0264265, 0.0263457, 0.0260785, 0.0253881", \ + "0.0375601, 0.0350966, 0.0348799, 0.0347303, 0.0345919, 0.0346693, 0.0345146", \ + "0.0571463, 0.0537404, 0.0531006, 0.0525941, 0.052499, 0.0518797, 0.0523138" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0294035, 0.0293706, 0.0294443, 0.0294405, 0.0293267, 0.0290497, 0.0286165", \ + "0.0293139, 0.0291544, 0.0293477, 0.0291826, 0.0292602, 0.0288898, 0.0287124", \ + "0.0297718, 0.0294364, 0.0293637, 0.0294797, 0.0292537, 0.0299866, 0.028634", \ + "0.0311061, 0.0304905, 0.0304601, 0.0304284, 0.0302733, 0.0304425, 0.0299377", \ + "0.0349289, 0.0338268, 0.0338122, 0.0336793, 0.0336752, 0.0332527, 0.0337553", \ + "0.0440225, 0.0422539, 0.0419377, 0.0416445, 0.0413522, 0.0414004, 0.0407846", \ + "0.0634895, 0.060436, 0.0599301, 0.0592865, 0.0586537, 0.0582501, 0.0579462" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0306555, 0.0302673, 0.0302814, 0.0302637, 0.030186, 0.0299413, 0.0292154", \ + "0.030715, 0.0301516, 0.0303189, 0.0302165, 0.030168, 0.0301097, 0.0295766", \ + "0.0312553, 0.0304777, 0.030406, 0.0304645, 0.0305939, 0.0306524, 0.0295812", \ + "0.0328191, 0.0317114, 0.0317584, 0.0316109, 0.0313583, 0.0315831, 0.0307118", \ + "0.0369466, 0.0353687, 0.0352183, 0.0352033, 0.0351139, 0.0348742, 0.0343326", \ + "0.0463475, 0.0438626, 0.043703, 0.0434856, 0.0433385, 0.0434488, 0.0431603", \ + "0.0660221, 0.0625047, 0.0618469, 0.0613131, 0.0612116, 0.0604014, 0.0608291" \ + ); + } + } + internal_power () { + related_pin : "A3"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204631, 0.0204655, 0.0205385, 0.0205006, 0.0203939, 0.0201312, 0.0196665", \ + "0.0204819, 0.0203112, 0.0203982, 0.0203473, 0.0204233, 0.0201985, 0.0198129", \ + "0.0209703, 0.0206388, 0.0205753, 0.0206877, 0.0204439, 0.0205113, 0.0201795", \ + "0.0223464, 0.0217476, 0.0217328, 0.021671, 0.0215119, 0.0220046, 0.0213426", \ + "0.0262734, 0.0252248, 0.0250302, 0.0250368, 0.0250051, 0.0245456, 0.0248154", \ + "0.0353134, 0.0335552, 0.0332162, 0.0329204, 0.032626, 0.0327212, 0.0323414", \ + "0.0547919, 0.0516494, 0.0511673, 0.0506029, 0.0501083, 0.0495768, 0.0492042" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0299252, 0.029534, 0.0295315, 0.0295412, 0.0294172, 0.0290977, 0.0286762", \ + "0.0299301, 0.0293942, 0.0295074, 0.0294163, 0.0293766, 0.0293096, 0.0287985", \ + "0.0304866, 0.0296906, 0.0296456, 0.0296625, 0.0296706, 0.0298897, 0.0288417", \ + "0.0320716, 0.0309621, 0.0309565, 0.0308961, 0.0306505, 0.0308678, 0.0300249", \ + "0.036229, 0.0346514, 0.0343892, 0.0344528, 0.0343658, 0.0340207, 0.033555", \ + "0.0456493, 0.0431504, 0.0429264, 0.0427428, 0.0426772, 0.0424916, 0.0425548", \ + "0.0652308, 0.0616744, 0.0610941, 0.0606438, 0.0603902, 0.0596663, 0.0601014" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(A2 * !A3 * S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0229759, 0.0228837, 0.0229372, 0.022947, 0.0228388, 0.0225445, 0.0220537", \ + "0.0219098, 0.0218978, 0.0219716, 0.0219257, 0.0219095, 0.02153, 0.0210905", \ + "0.0211296, 0.0209773, 0.0210569, 0.0213003, 0.0211035, 0.0207325, 0.0201986", \ + "0.0186549, 0.0185754, 0.0186226, 0.018531, 0.0186596, 0.0182128, 0.0177513", \ + "0.0143549, 0.0142193, 0.0142859, 0.0143434, 0.0143017, 0.0139206, 0.0141684", \ + "0.0063784, 0.0060448, 0.0060834, 0.0061374, 0.0061896, 0.0063127, 0.0057346", \ + "-0.00434329, -0.0033576, -0.0032883, -0.003239, -0.0033057, -0.0030928, -0.0030676" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0342746, 0.0338556, 0.0339308, 0.0338389, 0.0338181, 0.0334763, 0.0330509", \ + "0.0353857, 0.0349405, 0.035046, 0.0349949, 0.0349195, 0.0348048, 0.0342654", \ + "0.0346335, 0.0341495, 0.0341119, 0.0340865, 0.0342553, 0.0338486, 0.0334053", \ + "0.0325615, 0.0321108, 0.032236, 0.0322797, 0.0319088, 0.0319413, 0.0316503", \ + "0.0282346, 0.0277315, 0.0278819, 0.027834, 0.0278125, 0.0278397, 0.0271723", \ + "0.019592, 0.0189534, 0.0190149, 0.0189413, 0.0190534, 0.0190011, 0.0191541", \ + "0.00434329, 0.0033576, 0.0032883, 0.003239, 0.0033057, 0.0030928, 0.0030676" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(A0 * !A1 * !S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.022892, 0.0228769, 0.0228816, 0.0228729, 0.0227014, 0.0224413, 0.0219866", \ + "0.0218683, 0.0218932, 0.0219263, 0.0218917, 0.0217864, 0.0215415, 0.0211358", \ + "0.0211184, 0.0210259, 0.0210613, 0.0211887, 0.0209207, 0.0210163, 0.0201317", \ + "0.0186057, 0.0185516, 0.0186157, 0.0185025, 0.0185943, 0.0181469, 0.0179242", \ + "0.0143149, 0.0142166, 0.0142769, 0.0143327, 0.014285, 0.0137984, 0.0147248", \ + "0.0062019, 0.005924, 0.0059268, 0.0059654, 0.006018, 0.006103, 0.0055392", \ + "-0.0046305, -0.00361, -0.00358319, -0.00357699, -0.0036546, -0.00339939, -0.003551" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0343143, 0.0339123, 0.0340376, 0.0339809, 0.0338372, 0.0336848, 0.0330131", \ + "0.0358445, 0.0355233, 0.0355784, 0.0355543, 0.035545, 0.0352808, 0.0346639", \ + "0.0350616, 0.0346896, 0.0346821, 0.0346231, 0.0348523, 0.0343459, 0.0338682", \ + "0.0329532, 0.0326575, 0.0326613, 0.0327415, 0.0324383, 0.0325075, 0.0323615", \ + "0.0285072, 0.0280906, 0.0282416, 0.0282115, 0.0282455, 0.0282085, 0.027227", \ + "0.019991, 0.0194207, 0.0195152, 0.0194434, 0.0195714, 0.0194596, 0.0196404", \ + "0.0046305, 0.00361, 0.00358319, 0.00357699, 0.0036546, 0.00339939, 0.003551" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(!A2 * A3 * S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153403, 0.015335, 0.0153787, 0.0153893, 0.0152754, 0.0149832, 0.014504", \ + "0.0155402, 0.0152795, 0.0154717, 0.0152835, 0.0153107, 0.0149915, 0.0144619", \ + "0.0163225, 0.015844, 0.0157911, 0.0158528, 0.0156133, 0.0154696, 0.0149687", \ + "0.0183122, 0.0174122, 0.0173493, 0.0173013, 0.0171149, 0.0170059, 0.016422", \ + "0.0229107, 0.0214701, 0.0212378, 0.0211604, 0.0211082, 0.0207949, 0.0208673", \ + "0.0320267, 0.0296384, 0.029205, 0.0289605, 0.0286359, 0.0286196, 0.0281579", \ + "0.0527348, 0.0487528, 0.0481288, 0.0473968, 0.0469498, 0.0464588, 0.0461968" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0164481, 0.0161197, 0.0162167, 0.0160714, 0.0159567, 0.0157072, 0.0150751", \ + "0.0168616, 0.0162242, 0.0163426, 0.0162796, 0.016091, 0.0161302, 0.0154913", \ + "0.0179239, 0.0169229, 0.0168227, 0.0168723, 0.0168744, 0.0168058, 0.0158062", \ + "0.02019, 0.0186956, 0.01872, 0.0186584, 0.0182735, 0.0186162, 0.0174961", \ + "0.0252313, 0.0231069, 0.0228417, 0.0228343, 0.0226659, 0.0223484, 0.021444", \ + "0.0351718, 0.031904, 0.0315463, 0.0311771, 0.0310299, 0.0310037, 0.0310256", \ + "0.0555729, 0.0507549, 0.0500329, 0.0493689, 0.0487669, 0.0488829, 0.0486779" \ + ); + } + } + internal_power () { + related_pin : "S0"; + when : "(!A0 * A1 * !S1)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0152392, 0.0153782, 0.0154706, 0.0154362, 0.0153102, 0.0150457, 0.014556", \ + "0.0154284, 0.0152064, 0.0153624, 0.0152419, 0.0151987, 0.0150371, 0.0144987", \ + "0.0161359, 0.0157397, 0.0156812, 0.0157506, 0.01552, 0.0161039, 0.0149391", \ + "0.0180135, 0.0172459, 0.0171665, 0.0171314, 0.0169732, 0.0170282, 0.0167477", \ + "0.022403, 0.0209411, 0.0208225, 0.0207546, 0.0207262, 0.02026, 0.0206621", \ + "0.0313548, 0.0290225, 0.028714, 0.0283788, 0.0280321, 0.0280584, 0.0278179", \ + "0.0530218, 0.0490288, 0.0483128, 0.0475588, 0.0469928, 0.0467588, 0.0463728" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167077, 0.0164952, 0.0164824, 0.0165596, 0.0163633, 0.0161919, 0.0155619", \ + "0.0174462, 0.0168889, 0.0169982, 0.0169327, 0.0169797, 0.0166259, 0.0160389", \ + "0.0184464, 0.0175634, 0.0174928, 0.0175017, 0.0176616, 0.0173391, 0.0165793", \ + "0.020563, 0.0192916, 0.0192821, 0.0192263, 0.0188612, 0.0191612, 0.0180626", \ + "0.0255669, 0.0235807, 0.0234404, 0.023318, 0.0232706, 0.0228969, 0.0220759", \ + "0.0356385, 0.0325279, 0.0321184, 0.0316918, 0.0322047, 0.0319028, 0.031686", \ + "0.0557599, 0.0510739, 0.0503879, 0.0497979, 0.0493299, 0.0487079, 0.0493879" \ + ); + } + } + internal_power () { + related_pin : "S0"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0152392, 0.0153782, 0.0154706, 0.0154362, 0.0153102, 0.0150457, 0.014556", \ + "0.0154284, 0.0152064, 0.0153624, 0.0152419, 0.0151987, 0.0150371, 0.0144987", \ + "0.0161359, 0.0157397, 0.0156812, 0.0157506, 0.01552, 0.0161039, 0.0149391", \ + "0.0180135, 0.0172459, 0.0171665, 0.0171314, 0.0169732, 0.0170282, 0.0167477", \ + "0.022403, 0.0209411, 0.0208225, 0.0207546, 0.0207262, 0.02026, 0.0206621", \ + "0.0313548, 0.0290225, 0.028714, 0.0283788, 0.0280321, 0.0280584, 0.0278179", \ + "0.0530218, 0.0490288, 0.0483128, 0.0475588, 0.0469928, 0.0467588, 0.0463728" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167077, 0.0164952, 0.0164824, 0.0165596, 0.0163633, 0.0161919, 0.0155619", \ + "0.0174462, 0.0168889, 0.0169982, 0.0169327, 0.0169797, 0.0166259, 0.0160389", \ + "0.0184464, 0.0175634, 0.0174928, 0.0175017, 0.0176616, 0.0173391, 0.0165793", \ + "0.020563, 0.0192916, 0.0192821, 0.0192263, 0.0188612, 0.0191612, 0.0180626", \ + "0.0255669, 0.0235807, 0.0234404, 0.023318, 0.0232706, 0.0228969, 0.0220759", \ + "0.0356385, 0.0325279, 0.0321184, 0.0316918, 0.0322047, 0.0319028, 0.031686", \ + "0.0557599, 0.0510739, 0.0503879, 0.0497979, 0.0493299, 0.0487079, 0.0493879" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(A1 * !A3 * S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115729, 0.0116687, 0.0117352, 0.0117175, 0.0116636, 0.0113568, 0.010882", \ + "0.0121514, 0.0123407, 0.0125384, 0.0124144, 0.0123523, 0.0119783, 0.0118062", \ + "0.0127037, 0.0128534, 0.0128169, 0.0130317, 0.0127075, 0.012549, 0.0121142", \ + "0.0136596, 0.0137863, 0.013822, 0.0137879, 0.0137782, 0.0132912, 0.0143003", \ + "0.0161962, 0.0161325, 0.0161853, 0.0162318, 0.0162632, 0.0158248, 0.0165307", \ + "0.0217626, 0.0213298, 0.0213223, 0.0213566, 0.0215343, 0.0216511, 0.021309", \ + "0.0347059, 0.0338217, 0.0335403, 0.0333674, 0.0335003, 0.0335287, 0.0336344" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135155, 0.0134529, 0.013532, 0.0135077, 0.0134615, 0.0131887, 0.0127291", \ + "0.0140609, 0.0141336, 0.0141078, 0.0144156, 0.0140721, 0.0139033, 0.0134259", \ + "0.0145711, 0.0146095, 0.0146326, 0.0145424, 0.0147023, 0.0143434, 0.0138101", \ + "0.0157796, 0.0158153, 0.0158979, 0.0159917, 0.0158963, 0.0156536, 0.0159223", \ + "0.0180272, 0.0179466, 0.0179303, 0.0180219, 0.0180943, 0.0181334, 0.0172835", \ + "0.0235385, 0.0229826, 0.0228694, 0.0230008, 0.0230416, 0.0229541, 0.0233861", \ + "0.0361868, 0.035252, 0.0348784, 0.0346161, 0.034634, 0.0345554, 0.0347897" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(A0 * !A2 * !S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135822, 0.0136843, 0.0137631, 0.0137523, 0.0136703, 0.0133832, 0.0129556", \ + "0.0141574, 0.014362, 0.0144542, 0.014469, 0.0144629, 0.0140294, 0.0137924", \ + "0.0147417, 0.014911, 0.0148941, 0.0150254, 0.0147753, 0.01463, 0.0141668", \ + "0.0159212, 0.0160377, 0.0160901, 0.0160217, 0.016018, 0.0155283, 0.0165178", \ + "0.0181789, 0.0180952, 0.0181647, 0.0182079, 0.018241, 0.0177481, 0.0185447", \ + "0.0243393, 0.0238484, 0.0237572, 0.0236973, 0.0239042, 0.0240043, 0.0237491", \ + "0.0374312, 0.0365072, 0.0363229, 0.0361638, 0.03627, 0.0363145, 0.036442" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134974, 0.0134287, 0.0135208, 0.0134571, 0.0134362, 0.0132001, 0.0126355", \ + "0.014127, 0.0140043, 0.0140908, 0.0142915, 0.0140819, 0.0138781, 0.0133363", \ + "0.0145459, 0.0145618, 0.0146423, 0.0145482, 0.014691, 0.0144963, 0.0137902", \ + "0.0157451, 0.0157734, 0.0158524, 0.0159535, 0.0159198, 0.0155888, 0.0157962", \ + "0.0179907, 0.017898, 0.0178772, 0.0179983, 0.0180775, 0.0180275, 0.0171385", \ + "0.0235149, 0.0229614, 0.0228327, 0.0229666, 0.0229414, 0.0230287, 0.0233923", \ + "0.0361209, 0.0353105, 0.0349225, 0.0346421, 0.0345973, 0.0346915, 0.034884" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(!A1 * A3 * S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00857188, 0.00914138, 0.00916758, 0.00911808, 0.00892928, 0.00862608, 0.00810288", \ + "0.00894115, 0.00905005, 0.00917565, 0.00913835, 0.00901855, 0.00862795, 0.00814875", \ + "0.00964933, 0.00967243, 0.00965463, 0.00966573, 0.00961473, 0.00947323, 0.00876113", \ + "0.0115135, 0.0111508, 0.0111254, 0.0111873, 0.0109482, 0.0109435, 0.0107473", \ + "0.015797, 0.0146156, 0.0145255, 0.0145023, 0.0145326, 0.0140581, 0.0144244", \ + "0.0246604, 0.0228837, 0.022047, 0.0217269, 0.0215503, 0.0215311, 0.0213829", \ + "0.0422731, 0.0382064, 0.0374853, 0.0367996, 0.0363646, 0.0358878, 0.0355857" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00795683, 0.00823393, 0.00822863, 0.00826123, 0.00806403, 0.00787963, 0.00747143", \ + "0.00834161, 0.00825461, 0.00833561, 0.00840881, 0.00835341, 0.00800431, 0.00748421", \ + "0.00927551, 0.00895921, 0.00893281, 0.00891191, 0.00926301, 0.00876321, 0.00831431", \ + "0.0113323, 0.0103919, 0.0104531, 0.0104561, 0.0102972, 0.0103212, 0.009844", \ + "0.0160726, 0.0143208, 0.0143836, 0.0142679, 0.0142978, 0.014168, 0.0132696", \ + "0.0258765, 0.0228126, 0.0224928, 0.0223524, 0.0221637, 0.0223146, 0.0221675", \ + "0.0440524, 0.039163, 0.0385243, 0.0379219, 0.0374473, 0.0369569, 0.0371258" \ + ); + } + } + internal_power () { + related_pin : "S1"; + when : "(!A0 * A2 * !S0)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00658338, 0.00715328, 0.00716758, 0.00711588, 0.00691988, 0.00661508, 0.00608518", \ + "0.00694455, 0.00710845, 0.00724705, 0.00725615, 0.00708915, 0.00669265, 0.00620615", \ + "0.00763393, 0.00757043, 0.00760903, 0.00759343, 0.00744583, 0.00736353, 0.00669193", \ + "0.0094937, 0.0091532, 0.0091448, 0.0091846, 0.0089345, 0.0093399, 0.0088639", \ + "0.0134647, 0.012271, 0.0121831, 0.0121692, 0.0122077, 0.0116793, 0.0121992", \ + "0.0226826, 0.0202721, 0.0200498, 0.0197146, 0.0195302, 0.0195248, 0.0191795", \ + "0.0402922, 0.0361007, 0.0354323, 0.0349585, 0.034448, 0.0339097, 0.0336724" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00784573, 0.00816483, 0.00818033, 0.00820193, 0.00798183, 0.00781493, 0.00737273", \ + "0.00827111, 0.00818241, 0.00827531, 0.00854801, 0.00829641, 0.00791351, 0.00742011", \ + "0.00925311, 0.00889431, 0.00886941, 0.00889631, 0.00899521, 0.00858481, 0.00808861", \ + "0.0114246, 0.0105393, 0.0105264, 0.0106507, 0.0103249, 0.0104118, 0.0099933", \ + "0.0159925, 0.0142444, 0.0141901, 0.0141813, 0.014244, 0.0139072, 0.0132301", \ + "0.0258866, 0.0228822, 0.0225554, 0.0224268, 0.0223821, 0.0223584, 0.0223477", \ + "0.0440431, 0.0391777, 0.0385458, 0.0379412, 0.0374902, 0.0369717, 0.0371456" \ + ); + } + } + internal_power () { + related_pin : "S1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115729, 0.0116687, 0.0117352, 0.0117175, 0.0116636, 0.0113568, 0.010882", \ + "0.0121514, 0.0123407, 0.0125384, 0.0124144, 0.0123523, 0.0119783, 0.0118062", \ + "0.0127037, 0.0128534, 0.0128169, 0.0130317, 0.0127075, 0.012549, 0.0121142", \ + "0.0136596, 0.0137863, 0.013822, 0.0137879, 0.0137782, 0.0132912, 0.0143003", \ + "0.0161962, 0.0161325, 0.0161853, 0.0162318, 0.0162632, 0.0158248, 0.0165307", \ + "0.0217626, 0.0213298, 0.0213223, 0.0213566, 0.0215343, 0.0216511, 0.021309", \ + "0.0347059, 0.0338217, 0.0335403, 0.0333674, 0.0335003, 0.0335287, 0.0336344" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134974, 0.0134287, 0.0135208, 0.0134571, 0.0134362, 0.0132001, 0.0126355", \ + "0.014127, 0.0140043, 0.0140908, 0.0142915, 0.0140819, 0.0138781, 0.0133363", \ + "0.0145459, 0.0145618, 0.0146423, 0.0145482, 0.014691, 0.0144963, 0.0137902", \ + "0.0157451, 0.0157734, 0.0158524, 0.0159535, 0.0159198, 0.0155888, 0.0157962", \ + "0.0179907, 0.017898, 0.0178772, 0.0179983, 0.0180775, 0.0180275, 0.0171385", \ + "0.0235149, 0.0229614, 0.0228327, 0.0229666, 0.0229414, 0.0230287, 0.0233923", \ + "0.0361209, 0.0353105, 0.0349225, 0.0346421, 0.0345973, 0.0346915, 0.034884" \ + ); + } + } + } + pin (A0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00296901; + rise_capacitance : 0.00301357; + rise_capacitance_range (0.00301357, 0.00301357); + fall_capacitance : 0.00292444; + fall_capacitance_range (0.00292444, 0.00292444); + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00294556; + rise_capacitance : 0.00298886; + rise_capacitance_range (0.00298886, 0.00298886); + fall_capacitance : 0.00290227; + fall_capacitance_range (0.00290227, 0.00290227); + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00296266; + rise_capacitance : 0.00300958; + rise_capacitance_range (0.00300958, 0.00300958); + fall_capacitance : 0.00291575; + fall_capacitance_range (0.00291575, 0.00291575); + } + pin (A3) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00305365; + rise_capacitance : 0.0030958; + rise_capacitance_range (0.0030958, 0.0030958); + fall_capacitance : 0.00301149; + fall_capacitance_range (0.00301149, 0.00301149); + } + pin (S0) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00862147; + rise_capacitance : 0.0102967; + rise_capacitance_range (0.0102967, 0.0102967); + fall_capacitance : 0.00694621; + fall_capacitance_range (0.00694621, 0.00694621); + internal_power () { + when : "(A2 * A3 * S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0108285, 0.0112546, 0.013007, 0.0172015, 0.0266161, 0.0462243, 0.0867356" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0160782, 0.0173302, 0.019182, 0.0237323, 0.0335614, 0.0532583, 0.0945329" \ + ); + } + } + internal_power () { + when : "(A0 * A1 * !S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0117375, 0.0120394, 0.0137219, 0.0177398, 0.0272222, 0.0464899, 0.0869172" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0187285, 0.0202244, 0.0220875, 0.0265196, 0.0360477, 0.0557131, 0.0968061" \ + ); + } + } + internal_power () { + when : "(!A2 * !A3 * S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0116632, 0.0121802, 0.0138956, 0.0181333, 0.0275353, 0.0478636, 0.0877872" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0156709, 0.0168479, 0.0187085, 0.0232466, 0.0329463, 0.0525617, 0.0937001" \ + ); + } + } + internal_power () { + when : "(!A0 * !A1 * !S1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0130726, 0.0134465, 0.0150921, 0.0191638, 0.0283361, 0.0480001, 0.0887254" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0112013, 0.0120738, 0.01389, 0.0182235, 0.0280167, 0.0475062, 0.0884472" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0116632, 0.0121802, 0.0138956, 0.0181333, 0.0275353, 0.0478636, 0.0877872" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0156709, 0.0168479, 0.0187085, 0.0232466, 0.0329463, 0.0525617, 0.0937001" \ + ); + } + } + } + pin (S1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00524603; + rise_capacitance : 0.00533056; + rise_capacitance_range (0.00533056, 0.00533056); + fall_capacitance : 0.00516149; + fall_capacitance_range (0.00516149, 0.00516149); + internal_power () { + when : "(A1 * A3 * S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00581757, 0.00625548, 0.00728557, 0.00978806, 0.0151022, 0.025955, 0.0485218" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00648637, 0.00729864, 0.00842083, 0.0110077, 0.0163932, 0.0277271, 0.0504251" \ + ); + } + } + internal_power () { + when : "(A0 * A2 * !S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00580899, 0.0062394, 0.00726642, 0.00975593, 0.0150926, 0.0259034, 0.0485709" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00648873, 0.00728639, 0.00842609, 0.0110251, 0.0163732, 0.0277329, 0.0506642" \ + ); + } + } + internal_power () { + when : "(!A1 * !A3 * S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00640792, 0.00688515, 0.00797787, 0.0104222, 0.0158028, 0.0266245, 0.0497044" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00632237, 0.00707129, 0.00822099, 0.0108317, 0.0161301, 0.0271991, 0.0503451" \ + ); + } + } + internal_power () { + when : "(!A0 * !A2 * !S0)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00643703, 0.00689242, 0.0079383, 0.0104134, 0.0157538, 0.0266293, 0.0499399" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00634774, 0.00707862, 0.0082145, 0.0107341, 0.0161574, 0.0273438, 0.0500049" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00640792, 0.00688515, 0.00797787, 0.0104222, 0.0158028, 0.0266245, 0.0497044" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00632237, 0.00707129, 0.00822099, 0.0108317, 0.0161301, 0.0271991, 0.0503451" \ + ); + } + } + } + } + cell (sg13g2_nand2_1) { + area : 7.2576; + cell_footprint : "nand2"; + cell_leakage_power : 234.412; + leakage_power () { + value : 128.687; + when : "!A&!B"; + } + leakage_power () { + value : 232.696; + when : "!A&B"; + } + leakage_power () { + value : 92.8742; + when : "A*!B"; + } + leakage_power () { + value : 483.389; + when : "A*B"; + } + pin (Y) { + direction : "output"; + function : "!(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168287, 0.0633461, 0.0941817, 0.145047, 0.230467, 0.372042, 0.608041", \ + "0.0292817, 0.0974747, 0.131277, 0.183288, 0.268366, 0.410167, 0.646383", \ + "0.0357583, 0.121631, 0.161457, 0.218676, 0.306363, 0.448254, 0.684249", \ + "0.0426272, 0.155331, 0.206098, 0.275261, 0.374663, 0.523498, 0.760726", \ + "0.0502898, 0.201565, 0.267177, 0.356573, 0.480171, 0.653126, 0.909442", \ + "0.0525028, 0.249284, 0.340149, 0.462108, 0.626041, 0.847359, 1.15295", \ + "0.0525038, 0.309828, 0.429945, 0.593264, 0.815864, 1.1117, 1.5061" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0118872, 0.0751329, 0.120956, 0.196587, 0.323677, 0.534566, 0.886688", \ + "0.0260723, 0.0884216, 0.129339, 0.20024, 0.324067, 0.53482, 0.886737", \ + "0.0370039, 0.106943, 0.147331, 0.214176, 0.331705, 0.536723, 0.887667", \ + "0.0540163, 0.140068, 0.183733, 0.251368, 0.362421, 0.555223, 0.893097", \ + "0.0834711, 0.19361, 0.24648, 0.322646, 0.438527, 0.623006, 0.938424", \ + "0.126337, 0.279094, 0.350475, 0.442832, 0.577013, 0.773925, 1.08298", \ + "0.207765, 0.409761, 0.504568, 0.635497, 0.808504, 1.03769, 1.37613" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0213482, 0.0855332, 0.128463, 0.199399, 0.317779, 0.515355, 0.844303", \ + "0.0346854, 0.118795, 0.164213, 0.235871, 0.354469, 0.551924, 0.881115", \ + "0.0441702, 0.145022, 0.195952, 0.272067, 0.392543, 0.590098, 0.921536", \ + "0.0550389, 0.180394, 0.241683, 0.329261, 0.45969, 0.662182, 0.991727", \ + "0.0710712, 0.230277, 0.306902, 0.414056, 0.567375, 0.791925, 1.13494", \ + "0.0940391, 0.298769, 0.397191, 0.533689, 0.723671, 0.993803, 1.38537", \ + "0.127588, 0.396447, 0.51961, 0.694522, 0.939549, 1.27831, 1.75428" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0143413, 0.0957607, 0.15349, 0.24939, 0.409728, 0.677394, 1.12344", \ + "0.0275285, 0.107319, 0.160629, 0.252357, 0.410339, 0.677395, 1.12345", \ + "0.0375559, 0.124839, 0.177008, 0.264739, 0.416761, 0.679385, 1.12593", \ + "0.0539055, 0.156195, 0.211624, 0.298992, 0.444275, 0.694902, 1.12881", \ + "0.0808353, 0.207161, 0.271366, 0.36552, 0.513677, 0.754699, 1.16702", \ + "0.128065, 0.28785, 0.36708, 0.477483, 0.642486, 0.895338, 1.29902", \ + "0.21151, 0.417353, 0.517972, 0.657926, 0.853501, 1.1423, 1.57125" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0197622, 0.0656058, 0.096404, 0.147284, 0.232657, 0.374074, 0.610222", \ + "0.0358183, 0.100365, 0.133881, 0.185642, 0.270715, 0.412456, 0.648727", \ + "0.0450018, 0.125387, 0.164554, 0.22132, 0.308803, 0.450595, 0.686552", \ + "0.0561535, 0.160827, 0.210537, 0.278804, 0.377532, 0.526067, 0.763103", \ + "0.0712834, 0.210167, 0.273688, 0.361781, 0.483963, 0.656221, 0.911285", \ + "0.0847804, 0.264286, 0.351739, 0.47045, 0.631427, 0.852119, 1.15713", \ + "0.102789, 0.338875, 0.453187, 0.610891, 0.82854, 1.12091, 1.51269" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0143564, 0.078307, 0.124085, 0.199804, 0.326918, 0.537925, 0.889999", \ + "0.0291008, 0.0912553, 0.132303, 0.203398, 0.32724, 0.537926, 0.89", \ + "0.0401275, 0.109634, 0.15015, 0.217136, 0.334901, 0.540048, 0.890001", \ + "0.0576329, 0.143041, 0.186449, 0.25432, 0.365346, 0.558378, 0.896356", \ + "0.0857944, 0.195633, 0.248982, 0.324691, 0.440958, 0.625996, 0.941811", \ + "0.125629, 0.27891, 0.350457, 0.445198, 0.579548, 0.775649, 1.08605", \ + "0.200603, 0.40672, 0.502043, 0.630226, 0.803725, 1.03802, 1.37745" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0239963, 0.087411, 0.130272, 0.201217, 0.319542, 0.517171, 0.84607", \ + "0.0360912, 0.11353, 0.158197, 0.229763, 0.348471, 0.546016, 0.875375", \ + "0.0440759, 0.135036, 0.183463, 0.258031, 0.378147, 0.575989, 0.905231", \ + "0.0524446, 0.165202, 0.22094, 0.303329, 0.43017, 0.631605, 0.961577", \ + "0.0651353, 0.208629, 0.277463, 0.374284, 0.517381, 0.733005, 1.07215", \ + "0.0817135, 0.268682, 0.356633, 0.478683, 0.64953, 0.897783, 1.26784", \ + "0.101563, 0.35207, 0.463904, 0.619826, 0.839295, 1.14416, 1.57498" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0133158, 0.0959445, 0.153554, 0.249433, 0.409847, 0.677603, 1.1234", \ + "0.023734, 0.10277, 0.157829, 0.251109, 0.410266, 0.677604, 1.12341", \ + "0.0337139, 0.11468, 0.168414, 0.25887, 0.414042, 0.679492, 1.12342", \ + "0.0507443, 0.138508, 0.192814, 0.28139, 0.431473, 0.688202, 1.12649", \ + "0.0774906, 0.181076, 0.239161, 0.330315, 0.478243, 0.726682, 1.15028", \ + "0.121626, 0.251433, 0.321336, 0.421025, 0.575643, 0.823812, 1.23677", \ + "0.197352, 0.366858, 0.452892, 0.574653, 0.752516, 1.01325, 1.43099" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00246414, 0.00320613, 0.003236, 0.00316056, 0.00311986, 0.00275203, 0.00215407", \ + "0.00317972, 0.00301037, 0.00314369, 0.00303396, 0.00295187, 0.00271345, 0.00208899", \ + "0.00443146, 0.00346046, 0.00327469, 0.00329643, 0.00305785, 0.0026338, 0.00237388", \ + "0.00712481, 0.0049577, 0.00450799, 0.0039648, 0.00369225, 0.00290212, 0.00270802", \ + "0.0129664, 0.00923982, 0.00811549, 0.00715134, 0.00575247, 0.00452034, 0.00397819", \ + "0.0248365, 0.0185094, 0.0165379, 0.014102, 0.0116386, 0.00960042, 0.00662702", \ + "0.0490575, 0.0400903, 0.0364017, 0.0321461, 0.0277624, 0.022673, 0.0181597" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0035843, 0.00426794, 0.0042499, 0.00420459, 0.00403332, 0.0037692, 0.00326198", \ + "0.0040955, 0.00402992, 0.00420517, 0.00410487, 0.00395191, 0.00370544, 0.0031794", \ + "0.0052164, 0.004387, 0.00422518, 0.00426404, 0.0041287, 0.00377555, 0.00371515", \ + "0.00793295, 0.00566834, 0.00526019, 0.00485103, 0.00456382, 0.00395538, 0.00555908", \ + "0.013436, 0.00937241, 0.00826076, 0.0073368, 0.00633941, 0.00526045, 0.00525734", \ + "0.0251476, 0.0181333, 0.0159238, 0.013502, 0.0115193, 0.00943739, 0.00713494", \ + "0.0490664, 0.0387988, 0.0348297, 0.0302769, 0.0255511, 0.0211306, 0.0169623" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00260421, 0.00289197, 0.00286526, 0.00276887, 0.00268222, 0.00226808, 0.00168389", \ + "0.00323246, 0.00288851, 0.00296345, 0.00282775, 0.00255169, 0.00224576, 0.00166268", \ + "0.00444299, 0.00342571, 0.00315116, 0.00309772, 0.00275648, 0.00231867, 0.00164407", \ + "0.00708134, 0.00508892, 0.00453285, 0.0038736, 0.00352074, 0.00271733, 0.00354016", \ + "0.0128497, 0.0095721, 0.00842116, 0.00722582, 0.00581601, 0.00442785, 0.00392536", \ + "0.0245342, 0.0191054, 0.0168666, 0.0144258, 0.0122398, 0.00972128, 0.00661887", \ + "0.0484065, 0.0410814, 0.0377156, 0.0333301, 0.0286723, 0.023615, 0.0185687" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00635207, 0.00693121, 0.00686788, 0.00681974, 0.00663875, 0.00639998, 0.00583097", \ + "0.00634623, 0.00658885, 0.00679476, 0.00667578, 0.00655802, 0.00627336, 0.00585511", \ + "0.00713886, 0.00679451, 0.0067548, 0.00682872, 0.00669551, 0.00645527, 0.00579542", \ + "0.00947227, 0.00775216, 0.00751627, 0.00717787, 0.00704276, 0.00654011, 0.00671535", \ + "0.0149404, 0.0109485, 0.0100075, 0.00928945, 0.00844602, 0.0075865, 0.00723469", \ + "0.0264459, 0.019555, 0.0174881, 0.0152642, 0.0133204, 0.011652, 0.00924963", \ + "0.0501825, 0.0399365, 0.0359653, 0.031395, 0.0270794, 0.0223907, 0.0188025" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.003044; + rise_capacitance : 0.00305807; + rise_capacitance_range (0.00305807, 0.00305807); + fall_capacitance : 0.00302994; + fall_capacitance_range (0.00302994, 0.00302994); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.003164; + rise_capacitance : 0.00332202; + rise_capacitance_range (0.00332202, 0.00332202); + fall_capacitance : 0.00300598; + fall_capacitance_range (0.00300598, 0.00300598); + } + } + cell (sg13g2_nand2_2) { + area : 10.8864; + cell_footprint : "nand2"; + cell_leakage_power : 460.887; + leakage_power () { + value : 257.008; + when : "!A&!B"; + } + leakage_power () { + value : 454.155; + when : "!A&B"; + } + leakage_power () { + value : 184.012; + when : "A*!B"; + } + leakage_power () { + value : 948.372; + when : "A*B"; + } + pin (Y) { + direction : "output"; + function : "!(A*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0150575, 0.063255, 0.0942208, 0.145295, 0.231065, 0.373136, 0.610211", \ + "0.0256929, 0.0973575, 0.1313, 0.183446, 0.268878, 0.411279, 0.648638", \ + "0.0309022, 0.121427, 0.161464, 0.218891, 0.30695, 0.449449, 0.68639", \ + "0.0358319, 0.154851, 0.205873, 0.275455, 0.375196, 0.524649, 0.762726", \ + "0.0405142, 0.200433, 0.266472, 0.356385, 0.48051, 0.6541, 0.911336", \ + "0.0405152, 0.246786, 0.338238, 0.460948, 0.625944, 0.847724, 1.15535", \ + "0.0405162, 0.303967, 0.424753, 0.589964, 0.813636, 1.11114, 1.50706" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0102982, 0.0751299, 0.121104, 0.197176, 0.32489, 0.536782, 0.890507", \ + "0.023776, 0.0884338, 0.129512, 0.200862, 0.325167, 0.536834, 0.890617", \ + "0.0339959, 0.106898, 0.147557, 0.214726, 0.332839, 0.538777, 0.892169", \ + "0.0497983, 0.140354, 0.184159, 0.25206, 0.36377, 0.557375, 0.896927", \ + "0.0779036, 0.194028, 0.246849, 0.323429, 0.439591, 0.625059, 0.942093", \ + "0.119915, 0.278656, 0.351491, 0.445932, 0.579195, 0.776072, 1.08674", \ + "0.198728, 0.412965, 0.50819, 0.637391, 0.811317, 1.04104, 1.3845" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0195917, 0.0885871, 0.133474, 0.207598, 0.331472, 0.538032, 0.88204", \ + "0.0317848, 0.122077, 0.169282, 0.244051, 0.368042, 0.57452, 0.918858", \ + "0.040706, 0.148744, 0.201461, 0.280456, 0.406111, 0.612669, 0.956684", \ + "0.0510163, 0.184867, 0.248105, 0.338484, 0.473862, 0.684804, 1.02917", \ + "0.0664998, 0.236224, 0.314984, 0.425466, 0.583449, 0.816043, 1.17263", \ + "0.0893399, 0.307539, 0.408253, 0.5484, 0.74344, 1.02168, 1.4268", \ + "0.124356, 0.409821, 0.536397, 0.714971, 0.966426, 1.31256, 1.80414" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0126531, 0.0998952, 0.160622, 0.261095, 0.42946, 0.709707, 1.17777", \ + "0.0248538, 0.111044, 0.167324, 0.263708, 0.430043, 0.710053, 1.17778", \ + "0.0346963, 0.128437, 0.183363, 0.275441, 0.435873, 0.712407, 1.17779", \ + "0.0499447, 0.160049, 0.217851, 0.309402, 0.462119, 0.726243, 1.18174", \ + "0.0756131, 0.210609, 0.277884, 0.376078, 0.530705, 0.784713, 1.21827", \ + "0.120661, 0.29252, 0.374241, 0.489173, 0.660917, 0.924766, 1.34586", \ + "0.202768, 0.422264, 0.525013, 0.670864, 0.871954, 1.17191, 1.62152" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0185387, 0.0659473, 0.0969311, 0.148002, 0.233712, 0.375731, 0.612877", \ + "0.0335588, 0.100795, 0.134431, 0.186384, 0.271785, 0.414021, 0.651763", \ + "0.0419379, 0.125827, 0.164991, 0.221971, 0.309797, 0.452188, 0.689122", \ + "0.0518442, 0.160965, 0.210914, 0.279455, 0.378458, 0.52755, 0.765559", \ + "0.0649495, 0.209746, 0.273832, 0.362391, 0.484986, 0.657754, 0.913692", \ + "0.0755794, 0.263065, 0.350745, 0.471008, 0.632611, 0.853342, 1.15881", \ + "0.0879885, 0.334603, 0.449933, 0.608136, 0.82714, 1.12091, 1.51404" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0130637, 0.0786466, 0.124751, 0.200783, 0.328423, 0.540443, 0.894335", \ + "0.0270837, 0.0915059, 0.1328, 0.204365, 0.328794, 0.541005, 0.894614", \ + "0.0377141, 0.11006, 0.150725, 0.217985, 0.336332, 0.542411, 0.894615", \ + "0.0542166, 0.143446, 0.186901, 0.255272, 0.366806, 0.560835, 0.900599", \ + "0.0815055, 0.196484, 0.249878, 0.326617, 0.442743, 0.6284, 0.945827", \ + "0.119643, 0.280142, 0.351554, 0.447066, 0.582407, 0.778156, 1.08911", \ + "0.192164, 0.409241, 0.504251, 0.634164, 0.806888, 1.04178, 1.3821" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0233043, 0.0913261, 0.136179, 0.210268, 0.334155, 0.540696, 0.884691", \ + "0.0348321, 0.117614, 0.164163, 0.238891, 0.363029, 0.569632, 0.914034", \ + "0.0420529, 0.139408, 0.189733, 0.267312, 0.392694, 0.599592, 0.943669", \ + "0.0494864, 0.1704, 0.227816, 0.313204, 0.444956, 0.655048, 0.999999", \ + "0.0615339, 0.214739, 0.285883, 0.385203, 0.533118, 0.757385, 1.11071", \ + "0.0781855, 0.277166, 0.367301, 0.492526, 0.669052, 0.9249, 1.30915", \ + "0.0994912, 0.365232, 0.479803, 0.638906, 0.864538, 1.17682, 1.62237" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0114973, 0.100004, 0.160451, 0.261303, 0.429711, 0.71009, 1.17778", \ + "0.0214425, 0.10664, 0.164549, 0.262687, 0.42983, 0.710091, 1.17779", \ + "0.0313605, 0.118444, 0.174836, 0.269965, 0.43347, 0.712153, 1.1778", \ + "0.0483629, 0.142372, 0.199153, 0.292026, 0.449883, 0.719763, 1.18048", \ + "0.0746684, 0.185042, 0.245923, 0.341265, 0.495608, 0.757231, 1.20301", \ + "0.117939, 0.256204, 0.32853, 0.431792, 0.592873, 0.853598, 1.28712", \ + "0.192905, 0.372283, 0.46094, 0.584608, 0.767524, 1.0429, 1.47995" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00452514, 0.00610482, 0.00612008, 0.00600414, 0.00591089, 0.00510374, 0.00394297", \ + "0.00619151, 0.00573808, 0.00599854, 0.00591008, 0.00546916, 0.00496842, 0.00387078", \ + "0.00874124, 0.00659988, 0.00625636, 0.00633481, 0.00565793, 0.00489267, 0.00465874", \ + "0.0141349, 0.0095569, 0.00866618, 0.00764072, 0.0071226, 0.00567083, 0.00434544", \ + "0.0257458, 0.0178398, 0.0156287, 0.0137392, 0.0112092, 0.00858949, 0.00715954", \ + "0.0491899, 0.0359047, 0.0320453, 0.0274994, 0.0224432, 0.0182674, 0.0128072", \ + "0.0967545, 0.0782336, 0.0708574, 0.0624622, 0.0537708, 0.0437724, 0.0357912" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00665071, 0.00813317, 0.00813258, 0.00802012, 0.00771264, 0.00717575, 0.00609077", \ + "0.0078822, 0.00767508, 0.00785096, 0.00811406, 0.0075141, 0.00708632, 0.00602807", \ + "0.0101887, 0.0083427, 0.00809559, 0.00824583, 0.0076098, 0.00734733, 0.0059258", \ + "0.015676, 0.010837, 0.0100149, 0.00921472, 0.00876093, 0.00778789, 0.00632961", \ + "0.0268971, 0.0179905, 0.0159324, 0.0141118, 0.0121471, 0.010276, 0.00907821", \ + "0.0498586, 0.035188, 0.0308388, 0.0261846, 0.0222024, 0.0181976, 0.0135439", \ + "0.0969198, 0.0755874, 0.0678254, 0.0587994, 0.0492164, 0.0410105, 0.0330937" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00578729, 0.00638442, 0.00634898, 0.00614866, 0.00598256, 0.00524375, 0.00409685", \ + "0.00709612, 0.00637638, 0.00639382, 0.00614015, 0.00568047, 0.00546503, 0.00422478", \ + "0.00950696, 0.00740517, 0.00693069, 0.00687593, 0.00611678, 0.00512113, 0.00410357", \ + "0.0147241, 0.0105866, 0.00952844, 0.00820951, 0.00758542, 0.00600383, 0.00777959", \ + "0.0261188, 0.0186632, 0.0170643, 0.0149297, 0.0121528, 0.0092297, 0.00924499", \ + "0.0491451, 0.0378786, 0.0334865, 0.0289775, 0.0245464, 0.0194928, 0.0134259", \ + "0.0960358, 0.0808991, 0.0742102, 0.065596, 0.0563314, 0.0464668, 0.0365336" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0120329, 0.0132954, 0.013185, 0.0131007, 0.0128079, 0.0122391, 0.011123", \ + "0.0120756, 0.0126252, 0.012923, 0.0129038, 0.0125591, 0.0120974, 0.0110964", \ + "0.0136268, 0.0129892, 0.0128355, 0.013111, 0.0126321, 0.0123579, 0.0110151", \ + "0.018283, 0.0147823, 0.0143046, 0.0137326, 0.0134347, 0.0123691, 0.0113223", \ + "0.0291401, 0.0208394, 0.0191736, 0.0177844, 0.0162113, 0.0147352, 0.013898", \ + "0.0519556, 0.0376536, 0.0335977, 0.0292502, 0.0256605, 0.0219415, 0.0179924", \ + "0.0984985, 0.0774814, 0.069795, 0.0604932, 0.0518732, 0.0432737, 0.0359992" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00578319; + rise_capacitance : 0.00581623; + rise_capacitance_range (0.00581623, 0.00581623); + fall_capacitance : 0.00575016; + fall_capacitance_range (0.00575016, 0.00575016); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00600223; + rise_capacitance : 0.00631116; + rise_capacitance_range (0.00631116, 0.00631116); + fall_capacitance : 0.0056933; + fall_capacitance_range (0.0056933, 0.0056933); + } + } + cell (sg13g2_nand2b_1) { + area : 9.072; + cell_footprint : "nand2b1"; + cell_leakage_power : 357.107; + leakage_power () { + value : 551.885; + when : "!A_N&B"; + } + leakage_power () { + value : 409.627; + when : "A_N&B"; + } + leakage_power () { + value : 305.596; + when : "A_N&!B"; + } + leakage_power () { + value : 161.322; + when : "!A_N&!B"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0372874, 0.0841749, 0.11529, 0.166561, 0.252296, 0.395184, 0.632889", \ + "0.0578436, 0.105165, 0.136448, 0.187657, 0.273441, 0.416277, 0.65418", \ + "0.0711388, 0.119277, 0.150539, 0.201713, 0.287456, 0.430234, 0.668171", \ + "0.0866274, 0.136851, 0.167541, 0.218466, 0.304166, 0.446752, 0.6846", \ + "0.107528, 0.16304, 0.194082, 0.24454, 0.330214, 0.472467, 0.710295", \ + "0.135038, 0.199115, 0.231376, 0.283065, 0.36922, 0.512645, 0.749967", \ + "0.168056, 0.246217, 0.282413, 0.336459, 0.425674, 0.571894, 0.812211" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123182, 0.077018, 0.123083, 0.199339, 0.327038, 0.539822, 0.894536", \ + "0.0155861, 0.0775038, 0.123375, 0.199499, 0.327073, 0.539823, 0.894537", \ + "0.0182671, 0.0780488, 0.123662, 0.199746, 0.327269, 0.539962, 0.895723", \ + "0.0225494, 0.0795556, 0.124452, 0.200228, 0.327657, 0.54013, 0.895724", \ + "0.0295339, 0.0834895, 0.127022, 0.201919, 0.328836, 0.540908, 0.895725", \ + "0.0404469, 0.093526, 0.134491, 0.207352, 0.33333, 0.544351, 0.897001", \ + "0.057948, 0.114743, 0.152512, 0.221659, 0.345663, 0.555714, 0.906572" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0438701, 0.108632, 0.151674, 0.222511, 0.341259, 0.538517, 0.867503", \ + "0.065727, 0.131155, 0.174451, 0.245385, 0.363953, 0.56149, 0.890683", \ + "0.0790136, 0.144155, 0.187253, 0.258151, 0.376707, 0.574184, 0.90354", \ + "0.0972143, 0.163879, 0.206686, 0.277619, 0.396431, 0.594044, 0.922954", \ + "0.122247, 0.192621, 0.235114, 0.305835, 0.424303, 0.621526, 0.950569", \ + "0.148006, 0.224735, 0.268007, 0.339525, 0.458304, 0.654908, 0.98439", \ + "0.186806, 0.274751, 0.320935, 0.39485, 0.51693, 0.716918, 1.04762" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153976, 0.0973561, 0.155346, 0.251172, 0.411694, 0.67887, 1.12503", \ + "0.0180535, 0.0978724, 0.155542, 0.251173, 0.412427, 0.678871, 1.12504", \ + "0.020238, 0.0983694, 0.155942, 0.251574, 0.412428, 0.679802, 1.12547", \ + "0.0246264, 0.0995005, 0.156522, 0.252162, 0.412429, 0.679803, 1.12771", \ + "0.0313378, 0.102966, 0.158466, 0.253053, 0.412869, 0.680049, 1.12772", \ + "0.040419, 0.110684, 0.164987, 0.258397, 0.416946, 0.682244, 1.12773", \ + "0.057018, 0.127436, 0.179041, 0.271187, 0.429116, 0.692887, 1.133" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0191474, 0.0656058, 0.0965277, 0.147626, 0.233142, 0.374926, 0.611567", \ + "0.0353107, 0.100345, 0.134086, 0.185875, 0.271143, 0.413029, 0.650475", \ + "0.0445965, 0.125452, 0.164682, 0.22157, 0.309263, 0.451373, 0.687864", \ + "0.0558955, 0.160842, 0.210762, 0.279106, 0.377944, 0.526849, 0.764382", \ + "0.0713519, 0.210189, 0.273939, 0.362305, 0.484471, 0.656974, 0.912601", \ + "0.0850666, 0.264767, 0.351977, 0.471599, 0.632951, 0.852981, 1.15813", \ + "0.103495, 0.339292, 0.453768, 0.611672, 0.829341, 1.12189, 1.51455" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014944, 0.0788138, 0.124664, 0.200524, 0.32786, 0.539347, 0.892436", \ + "0.0298485, 0.0917484, 0.132816, 0.204065, 0.328247, 0.539348, 0.892893", \ + "0.0409699, 0.110154, 0.150591, 0.217759, 0.335809, 0.541324, 0.893871", \ + "0.0585264, 0.143467, 0.187017, 0.25494, 0.366195, 0.559819, 0.898778", \ + "0.086805, 0.196041, 0.249431, 0.32609, 0.441813, 0.627196, 0.944142", \ + "0.12697, 0.279312, 0.350552, 0.446145, 0.580515, 0.776888, 1.08848", \ + "0.202046, 0.407154, 0.502608, 0.630909, 0.804562, 1.03978, 1.38054" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0257192, 0.090209, 0.133132, 0.204014, 0.322478, 0.520027, 0.848878", \ + "0.0372302, 0.115283, 0.16012, 0.231831, 0.350652, 0.54823, 0.877553", \ + "0.0450716, 0.136489, 0.185107, 0.259798, 0.380091, 0.578023, 0.907497", \ + "0.0527504, 0.166356, 0.222371, 0.304825, 0.43165, 0.63331, 0.963432", \ + "0.0652872, 0.209318, 0.278638, 0.375307, 0.518242, 0.734619, 1.07357", \ + "0.0820823, 0.269007, 0.356911, 0.479301, 0.650657, 0.899295, 1.26917", \ + "0.102315, 0.352427, 0.464291, 0.620266, 0.839399, 1.14486, 1.57634" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0148582, 0.0976054, 0.155423, 0.251076, 0.411691, 0.679211, 1.12502", \ + "0.0235571, 0.104279, 0.159522, 0.252929, 0.413422, 0.679272, 1.12503", \ + "0.0328341, 0.115831, 0.169822, 0.260574, 0.415981, 0.681377, 1.12582", \ + "0.0501444, 0.139344, 0.193986, 0.282785, 0.432938, 0.689635, 1.12815", \ + "0.0773759, 0.18161, 0.2402, 0.330874, 0.479095, 0.728203, 1.15224", \ + "0.121531, 0.251676, 0.321744, 0.421317, 0.576458, 0.824839, 1.23785", \ + "0.197156, 0.367577, 0.453456, 0.575138, 0.752726, 1.01419, 1.43093" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00304835, 0.00380993, 0.00379968, 0.00372531, 0.00357135, 0.00328503, 0.00271888", \ + "0.00294956, 0.00350773, 0.00362854, 0.00349511, 0.00375334, 0.00307704, 0.00260618", \ + "0.00307087, 0.00344477, 0.00350557, 0.00349777, 0.00354867, 0.00308687, 0.00276727", \ + "0.00327235, 0.00328015, 0.00330235, 0.00328775, 0.00308675, 0.00343365, 0.00225535", \ + "0.0036347, 0.0031354, 0.0031875, 0.0031497, 0.0031121, 0.0024997, 0.0028653", \ + "0.0046975, 0.0032353, 0.0031686, 0.0029625, 0.0028652, 0.0028756, 0.0024262", \ + "0.0068629, 0.0041037, 0.0037463, 0.0033979, 0.0033009, 0.0028991, 0.0025034" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0067262, 0.00737464, 0.00739304, 0.00732654, 0.00720664, 0.00686614, 0.00639889", \ + "0.00661512, 0.00711312, 0.00721642, 0.00713472, 0.00715992, 0.00677192, 0.00637922", \ + "0.0067583, 0.0070472, 0.0070551, 0.0072499, 0.0069465, 0.0067489, 0.0063361", \ + "0.0069568, 0.006996, 0.0070595, 0.0069671, 0.0071099, 0.0066457, 0.0065137", \ + "0.0071061, 0.0066819, 0.0066484, 0.0067, 0.0064935, 0.0062056, 0.0070841", \ + "0.0082788, 0.0070554, 0.0070801, 0.0069376, 0.0068484, 0.0065963, 0.0057674", \ + "0.010147, 0.0074681, 0.0070549, 0.0067687, 0.0064573, 0.0062479, 0.0062851" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00258303, 0.00287343, 0.00284858, 0.00277625, 0.0026707, 0.00226252, 0.00172305", \ + "0.00324975, 0.00287764, 0.00290172, 0.00271871, 0.00252357, 0.00221596, 0.00185111", \ + "0.00446596, 0.00342761, 0.00315634, 0.00314255, 0.00269327, 0.00226306, 0.00207206", \ + "0.00710479, 0.00507443, 0.0045425, 0.0039017, 0.00350995, 0.0027236, 0.00216147", \ + "0.0128744, 0.009561, 0.00841739, 0.00732336, 0.0058436, 0.00433659, 0.00381558", \ + "0.0245673, 0.0192696, 0.0168509, 0.0145216, 0.0121581, 0.00973315, 0.00671705", \ + "0.0484879, 0.0410656, 0.0376989, 0.0333333, 0.0286644, 0.0236374, 0.0186731" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00659849, 0.00717232, 0.00713119, 0.00706351, 0.00690759, 0.00662736, 0.00606194", \ + "0.00660149, 0.00687714, 0.00699266, 0.00697417, 0.00700278, 0.0065734, 0.00601131", \ + "0.00732601, 0.00703665, 0.00700648, 0.00708465, 0.00696737, 0.00670282, 0.00608585", \ + "0.00954513, 0.00795777, 0.00775102, 0.0073857, 0.00720993, 0.00671755, 0.00658942", \ + "0.0149764, 0.0110604, 0.0101887, 0.00944954, 0.00870286, 0.00788587, 0.00793025", \ + "0.0264866, 0.0196064, 0.0175137, 0.0153771, 0.0134816, 0.0117851, 0.0094781", \ + "0.0501398, 0.0399798, 0.0360029, 0.0314509, 0.0271221, 0.0225035, 0.0187746" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0024396; + rise_capacitance : 0.00248584; + rise_capacitance_range (0.00248584, 0.00248584); + fall_capacitance : 0.00239335; + fall_capacitance_range (0.00239335, 0.00239335); + internal_power () { + when : "!B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00613753, 0.00642326, 0.00723413, 0.00926855, 0.0135758, 0.0227019, 0.0413555" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00320116, 0.00368758, 0.0045385, 0.0065115, 0.0112239, 0.0200174, 0.0390837" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00613753, 0.00642326, 0.00723413, 0.00926855, 0.0135758, 0.0227019, 0.0413555" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00320116, 0.00368758, 0.0045385, 0.0065115, 0.0112239, 0.0200174, 0.0390837" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00327403; + rise_capacitance : 0.00346596; + rise_capacitance_range (0.00346596, 0.00346596); + fall_capacitance : 0.0030821; + fall_capacitance_range (0.0030821, 0.0030821); + } + } + cell (sg13g2_nand2b_2) { + area : 14.5152; + cell_footprint : "nand2b2"; + cell_leakage_power : 583.534; + leakage_power () { + value : 1016.78; + when : "!A_N&B"; + } + leakage_power () { + value : 360.893; + when : "A_N&B"; + } + leakage_power () { + value : 433.889; + when : "A_N&!B"; + } + leakage_power () { + value : 522.574; + when : "!A_N&!B"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0490094, 0.0977786, 0.128832, 0.180078, 0.265548, 0.408384, 0.64512", \ + "0.0751618, 0.124653, 0.155745, 0.206828, 0.292312, 0.434782, 0.671954", \ + "0.0924339, 0.143023, 0.173985, 0.224962, 0.310394, 0.452779, 0.68997", \ + "0.113299, 0.166501, 0.197485, 0.248396, 0.333641, 0.475735, 0.712883", \ + "0.142506, 0.200301, 0.23124, 0.281348, 0.365856, 0.50803, 0.745049", \ + "0.181106, 0.247612, 0.279739, 0.330358, 0.415185, 0.556748, 0.793297", \ + "0.229542, 0.309883, 0.34627, 0.39945, 0.486034, 0.630392, 0.867842" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0158174, 0.0813691, 0.127061, 0.203102, 0.330438, 0.542737, 0.896772", \ + "0.0192203, 0.0817786, 0.127251, 0.203213, 0.330479, 0.542917, 0.896773", \ + "0.0224149, 0.0827378, 0.127805, 0.203457, 0.330647, 0.542946, 0.897072", \ + "0.0272832, 0.0850781, 0.129078, 0.204002, 0.331124, 0.543057, 0.897073", \ + "0.0344314, 0.0906645, 0.132674, 0.205992, 0.33212, 0.543786, 0.897136", \ + "0.046387, 0.102292, 0.141392, 0.212046, 0.336411, 0.546861, 0.898801", \ + "0.06507, 0.127491, 0.16372, 0.228413, 0.348573, 0.557156, 0.907359" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0588499, 0.129675, 0.174744, 0.248932, 0.372895, 0.579458, 0.923659", \ + "0.0864841, 0.158055, 0.20313, 0.277409, 0.401422, 0.60811, 0.952633", \ + "0.104132, 0.176763, 0.221976, 0.296228, 0.420289, 0.627124, 0.971236", \ + "0.129127, 0.203669, 0.248792, 0.32303, 0.447198, 0.653865, 0.998166", \ + "0.163695, 0.24235, 0.28756, 0.361793, 0.485839, 0.692403, 1.03679", \ + "0.199976, 0.285524, 0.331411, 0.406173, 0.53023, 0.736575, 1.08092", \ + "0.255658, 0.351138, 0.399848, 0.475023, 0.600378, 0.807744, 1.15214" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0146358, 0.100958, 0.161556, 0.261872, 0.430346, 0.711097, 1.17891", \ + "0.016629, 0.101254, 0.161557, 0.261944, 0.430347, 0.711214, 1.17928", \ + "0.0190054, 0.101842, 0.161852, 0.262147, 0.430348, 0.711757, 1.17929", \ + "0.0231855, 0.102989, 0.162337, 0.26223, 0.430349, 0.711758, 1.1793", \ + "0.0305373, 0.105753, 0.163964, 0.262905, 0.430697, 0.711759, 1.17931", \ + "0.040949, 0.112705, 0.16855, 0.265791, 0.431979, 0.71209, 1.17932", \ + "0.056772, 0.12654, 0.179959, 0.273581, 0.437876, 0.716272, 1.18146" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0149249, 0.0622618, 0.0927306, 0.143344, 0.228324, 0.370335, 0.607128", \ + "0.0255204, 0.0969036, 0.130685, 0.18231, 0.267132, 0.409048, 0.645836", \ + "0.0307213, 0.121363, 0.161179, 0.218153, 0.305661, 0.447587, 0.684023", \ + "0.0356379, 0.154873, 0.205767, 0.274135, 0.373414, 0.52222, 0.759503", \ + "0.0403419, 0.20033, 0.266436, 0.356971, 0.480812, 0.653758, 0.908981", \ + "0.0403429, 0.246865, 0.338044, 0.46111, 0.625583, 0.847662, 1.15429", \ + "0.0403439, 0.303774, 0.425193, 0.589578, 0.813237, 1.11097, 1.50682" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0102242, 0.0751578, 0.121161, 0.197213, 0.324553, 0.536904, 0.890748", \ + "0.0235948, 0.0886383, 0.129753, 0.201072, 0.325311, 0.536905, 0.890749", \ + "0.0337044, 0.106947, 0.147722, 0.215056, 0.33317, 0.538972, 0.891239", \ + "0.0494821, 0.140031, 0.184294, 0.252789, 0.364376, 0.558077, 0.897336", \ + "0.0775496, 0.193676, 0.246571, 0.32245, 0.439085, 0.625157, 0.94291", \ + "0.119089, 0.278362, 0.349206, 0.444733, 0.578819, 0.775223, 1.08695", \ + "0.199903, 0.412306, 0.506965, 0.634217, 0.805945, 1.04101, 1.37982" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0194542, 0.0890026, 0.133921, 0.208045, 0.332025, 0.538804, 0.882739", \ + "0.0316525, 0.122271, 0.16961, 0.24446, 0.368377, 0.575029, 0.919628", \ + "0.0405868, 0.148915, 0.2017, 0.280801, 0.406548, 0.613247, 0.957407", \ + "0.0509438, 0.185078, 0.248396, 0.338884, 0.474353, 0.685481, 1.02994", \ + "0.0663918, 0.236347, 0.315132, 0.42545, 0.583777, 0.816478, 1.17324", \ + "0.0894125, 0.307702, 0.408738, 0.548071, 0.743673, 1.02198, 1.42746", \ + "0.124668, 0.410243, 0.53662, 0.715213, 0.966604, 1.31324, 1.80467" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0127814, 0.100653, 0.161402, 0.262002, 0.430414, 0.71105, 1.17891", \ + "0.0249717, 0.111588, 0.168029, 0.264524, 0.430898, 0.711051, 1.17893", \ + "0.0348254, 0.128876, 0.183868, 0.276296, 0.436779, 0.712977, 1.17894", \ + "0.0500627, 0.160363, 0.218108, 0.309758, 0.462867, 0.727205, 1.18278", \ + "0.0756075, 0.210927, 0.27827, 0.376398, 0.531258, 0.78483, 1.21933", \ + "0.120809, 0.292775, 0.374361, 0.489313, 0.660215, 0.925621, 1.3466", \ + "0.202344, 0.421898, 0.525379, 0.670754, 0.872415, 1.17239, 1.62257" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00636279, 0.00713569, 0.00702109, 0.00685919, 0.00652899, 0.00610729, 0.00479689", \ + "0.0066118, 0.0068099, 0.0069382, 0.00694, 0.0063056, 0.0058419, 0.0046999", \ + "0.0069973, 0.0069885, 0.0068519, 0.0068083, 0.0065182, 0.0062825, 0.0047306", \ + "0.0077936, 0.0070915, 0.0072281, 0.0071385, 0.0067002, 0.0067708, 0.0052484", \ + "0.0093002, 0.0076566, 0.0075812, 0.0073178, 0.0069087, 0.0062194, 0.0067445", \ + "0.0121034, 0.0082749, 0.0079485, 0.0073841, 0.0066683, 0.006365, 0.0051184", \ + "0.0176393, 0.0106142, 0.0098625, 0.0086927, 0.0079206, 0.0072387, 0.005761" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0133854, 0.0146589, 0.0147096, 0.0146064, 0.0142921, 0.0136996, 0.0127811", \ + "0.013248, 0.0143546, 0.0144134, 0.0145741, 0.0141198, 0.0136461, 0.0128535", \ + "0.013354, 0.014138, 0.0143511, 0.0144678, 0.0143905, 0.0137694, 0.0127034", \ + "0.0138319, 0.0142725, 0.0143993, 0.0142529, 0.0146895, 0.0139495, 0.0126064", \ + "0.0146033, 0.0138888, 0.0140951, 0.0142924, 0.0138621, 0.0135963, 0.0136976", \ + "0.0170918, 0.0144527, 0.0144754, 0.0147097, 0.0147839, 0.0146351, 0.0128033", \ + "0.0213237, 0.0154243, 0.0151048, 0.0148366, 0.0145513, 0.0146299, 0.0148047" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00823203, 0.00862717, 0.00806466, 0.00742665, 0.00667461, 0.00582026, 0.00451289", \ + "0.00981971, 0.00910501, 0.00894038, 0.00817608, 0.00717223, 0.00622154, 0.00483463", \ + "0.0123454, 0.0102421, 0.00958492, 0.00928235, 0.00808292, 0.0066604, 0.00548277", \ + "0.0178126, 0.0131877, 0.012399, 0.0110374, 0.00992247, 0.00785745, 0.00848081", \ + "0.0298472, 0.0211799, 0.0192094, 0.0170719, 0.0144456, 0.0117754, 0.00993006", \ + "0.0532174, 0.0394857, 0.0353648, 0.0311576, 0.0265628, 0.0221524, 0.0164088", \ + "0.101236, 0.0839309, 0.0745177, 0.0655324, 0.0572607, 0.0485105, 0.0394928" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0101176, 0.0116647, 0.0116559, 0.0115519, 0.0112401, 0.0107188, 0.00962327", \ + "0.0113916, 0.0111741, 0.0114603, 0.011417, 0.0110566, 0.0104527, 0.00954696", \ + "0.0136518, 0.0118268, 0.0115353, 0.0117544, 0.011101, 0.0107135, 0.00936878", \ + "0.018927, 0.0142361, 0.0134154, 0.0125678, 0.0122428, 0.0113102, 0.0097809", \ + "0.0302614, 0.0213991, 0.0193215, 0.0174426, 0.0153639, 0.0135946, 0.0116034", \ + "0.0533976, 0.0385762, 0.0342854, 0.0296509, 0.0255301, 0.0218654, 0.0168734", \ + "0.100913, 0.0792261, 0.0713106, 0.0622238, 0.0530237, 0.0442963, 0.0364784" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00231792; + rise_capacitance : 0.00235863; + rise_capacitance_range (0.00235863, 0.00235863); + fall_capacitance : 0.00227722; + fall_capacitance_range (0.00227722, 0.00227722); + internal_power () { + when : "!B"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00995961, 0.0100122, 0.0105951, 0.0121489, 0.0159829, 0.0245811, 0.0427855" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00961537, 0.00984272, 0.0105862, 0.0122319, 0.016701, 0.0250241, 0.0434631" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00995961, 0.0100122, 0.0105951, 0.0121489, 0.0159829, 0.0245811, 0.0427855" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00961537, 0.00984272, 0.0105862, 0.0122319, 0.016701, 0.0250241, 0.0434631" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00554157; + rise_capacitance : 0.00745196; + rise_capacitance_range (0.00745196, 0.00745196); + fall_capacitance : 0.00363118; + fall_capacitance_range (0.00363118, 0.00363118); + } + } + cell (sg13g2_nand3_1) { + area : 9.072; + cell_footprint : "nand3"; + cell_leakage_power : 268.329; + leakage_power () { + value : 185.117; + when : "!A&!B&!C"; + } + leakage_power () { + value : 267.645; + when : "!A&!B&C"; + } + leakage_power () { + value : 138.174; + when : "!A&B&!C"; + } + leakage_power () { + value : 371.676; + when : "!A&B&C"; + } + leakage_power () { + value : 130.933; + when : "A&!B&!C"; + } + leakage_power () { + value : 231.799; + when : "A&!B&C"; + } + leakage_power () { + value : 96.0733; + when : "A&B&!C"; + } + leakage_power () { + value : 725.213; + when : "A&B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0193564, 0.0653717, 0.0962567, 0.147244, 0.232645, 0.374384, 0.610843", \ + "0.0335328, 0.0998119, 0.133503, 0.18543, 0.270674, 0.412615, 0.649331", \ + "0.04075, 0.124304, 0.163969, 0.220924, 0.308704, 0.450802, 0.687144", \ + "0.0477903, 0.158128, 0.208702, 0.277745, 0.377046, 0.526035, 0.763599", \ + "0.0539984, 0.203299, 0.269166, 0.358599, 0.482041, 0.656846, 0.912248", \ + "0.0539994, 0.247176, 0.338833, 0.462235, 0.626489, 0.849079, 1.15542", \ + "0.0540004, 0.296285, 0.418773, 0.585349, 0.810986, 1.10927, 1.50654" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0141005, 0.0779756, 0.123825, 0.199687, 0.326853, 0.538135, 0.89057", \ + "0.0296812, 0.0908009, 0.131876, 0.203119, 0.327201, 0.538136, 0.890729", \ + "0.0419099, 0.109345, 0.149918, 0.216788, 0.334767, 0.540068, 0.89073", \ + "0.0613867, 0.143459, 0.186419, 0.25415, 0.365211, 0.558546, 0.897157", \ + "0.0939986, 0.199404, 0.251081, 0.326145, 0.441576, 0.625493, 0.942263", \ + "0.141722, 0.289826, 0.35894, 0.452469, 0.583083, 0.777835, 1.08649", \ + "0.229559, 0.429612, 0.521022, 0.649766, 0.82045, 1.04681, 1.3829" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0305502, 0.120459, 0.181679, 0.282652, 0.451388, 0.732729, 1.20135", \ + "0.0476319, 0.152127, 0.214337, 0.315467, 0.484536, 0.765766, 1.23458", \ + "0.0598649, 0.180288, 0.246652, 0.350028, 0.519297, 0.800373, 1.26921", \ + "0.0758429, 0.220435, 0.296543, 0.40927, 0.584335, 0.866682, 1.33494", \ + "0.100514, 0.279385, 0.370563, 0.503239, 0.698504, 0.995465, 1.46814", \ + "0.138859, 0.363595, 0.478284, 0.639, 0.872243, 1.21246, 1.72217", \ + "0.199372, 0.487412, 0.6301, 0.833303, 1.12141, 1.53156, 2.12345" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0243791, 0.14232, 0.224917, 0.361835, 0.590425, 0.972367, 1.60788", \ + "0.0377174, 0.149691, 0.228377, 0.362668, 0.590843, 0.972368, 1.60874", \ + "0.0482612, 0.165043, 0.241086, 0.370234, 0.59363, 0.972369, 1.60875", \ + "0.0656658, 0.196585, 0.272947, 0.39806, 0.611635, 0.979843, 1.61142", \ + "0.0948745, 0.248239, 0.332809, 0.462226, 0.67106, 1.02269, 1.62998", \ + "0.145632, 0.333348, 0.430703, 0.576146, 0.797213, 1.15004, 1.72901", \ + "0.23414, 0.467457, 0.589792, 0.763057, 1.01587, 1.39616, 1.98653" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0224284, 0.0680585, 0.0989368, 0.149898, 0.235353, 0.377169, 0.613614", \ + "0.039928, 0.103011, 0.136403, 0.188196, 0.273362, 0.415323, 0.652425", \ + "0.0496232, 0.128303, 0.167212, 0.223999, 0.311466, 0.453567, 0.690091", \ + "0.0607421, 0.163677, 0.213245, 0.281467, 0.380215, 0.528868, 0.766384", \ + "0.0736488, 0.211877, 0.275773, 0.364052, 0.486317, 0.659436, 0.915042", \ + "0.0810564, 0.262018, 0.350308, 0.470572, 0.632687, 0.853728, 1.15933", \ + "0.0826229, 0.322935, 0.439524, 0.601451, 0.821702, 1.11782, 1.5124" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0168055, 0.0815836, 0.127226, 0.203013, 0.330266, 0.541652, 0.894625", \ + "0.0328026, 0.0936609, 0.134975, 0.206471, 0.330591, 0.542218, 0.89484", \ + "0.0450596, 0.112216, 0.152754, 0.220003, 0.338079, 0.543894, 0.89535", \ + "0.064811, 0.146415, 0.189242, 0.256991, 0.368328, 0.561884, 0.900687", \ + "0.0969292, 0.20188, 0.253775, 0.32942, 0.444589, 0.629069, 0.945599", \ + "0.142699, 0.290061, 0.359566, 0.453395, 0.585456, 0.780248, 1.09038", \ + "0.22658, 0.42719, 0.520936, 0.647008, 0.817031, 1.04865, 1.38537" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0362956, 0.125687, 0.186801, 0.287692, 0.456591, 0.737765, 1.20654", \ + "0.051209, 0.151679, 0.213775, 0.315115, 0.484156, 0.7659, 1.23439", \ + "0.0616932, 0.175246, 0.240318, 0.343332, 0.512922, 0.794519, 1.26347", \ + "0.0751359, 0.209896, 0.282471, 0.391915, 0.565649, 0.84852, 1.31753", \ + "0.096972, 0.263138, 0.347826, 0.472692, 0.660656, 0.953917, 1.42635", \ + "0.130566, 0.340582, 0.446528, 0.596004, 0.812352, 1.13564, 1.63383", \ + "0.18072, 0.453505, 0.585624, 0.774116, 1.04137, 1.41935, 1.97877" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0239257, 0.142342, 0.224847, 0.361749, 0.590604, 0.9719, 1.60782", \ + "0.0348585, 0.147057, 0.227147, 0.362435, 0.591842, 0.972341, 1.60874", \ + "0.0451674, 0.158058, 0.235641, 0.367131, 0.592286, 0.972405, 1.60875", \ + "0.0634497, 0.182378, 0.258614, 0.386104, 0.604076, 0.976892, 1.6128", \ + "0.0933053, 0.226721, 0.306998, 0.433735, 0.645307, 1.00498, 1.62243", \ + "0.14191, 0.302767, 0.392612, 0.527082, 0.742078, 1.09503, 1.68787", \ + "0.224661, 0.425787, 0.535804, 0.688223, 0.923654, 1.28616, 1.87439" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0241412, 0.0702785, 0.101269, 0.152269, 0.237478, 0.379582, 0.61554", \ + "0.0443773, 0.105797, 0.138991, 0.19068, 0.275852, 0.417576, 0.654006", \ + "0.0560209, 0.131817, 0.170159, 0.226535, 0.313871, 0.455808, 0.691694", \ + "0.070579, 0.168717, 0.217412, 0.284885, 0.382921, 0.531138, 0.768274", \ + "0.0896307, 0.219625, 0.281918, 0.369365, 0.490099, 0.661573, 0.916262", \ + "0.106604, 0.275483, 0.361301, 0.479127, 0.639032, 0.857834, 1.16204", \ + "0.128921, 0.349697, 0.461738, 0.617907, 0.834239, 1.12609, 1.51714" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194303, 0.0842998, 0.130306, 0.205932, 0.332816, 0.544168, 0.896422", \ + "0.0355728, 0.0962173, 0.137655, 0.209262, 0.333421, 0.54491, 0.896423", \ + "0.0475657, 0.114789, 0.155281, 0.222594, 0.340751, 0.546128, 0.896889", \ + "0.0686866, 0.148804, 0.191895, 0.25963, 0.370909, 0.564312, 0.902675", \ + "0.101498, 0.204306, 0.25643, 0.331358, 0.447023, 0.631721, 0.947729", \ + "0.146768, 0.292403, 0.361489, 0.454764, 0.587972, 0.782606, 1.09217", \ + "0.230208, 0.427455, 0.52071, 0.647087, 0.817076, 1.04963, 1.38604" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0390096, 0.12834, 0.189535, 0.290392, 0.459263, 0.740619, 1.20925", \ + "0.0523985, 0.148776, 0.210631, 0.311878, 0.481058, 0.762672, 1.23114", \ + "0.0613678, 0.166588, 0.230429, 0.332841, 0.502261, 0.783745, 1.25273", \ + "0.0705124, 0.192371, 0.261231, 0.368224, 0.540646, 0.822953, 1.29192", \ + "0.0847936, 0.23358, 0.312032, 0.42824, 0.610211, 0.899971, 1.3713", \ + "0.107149, 0.29421, 0.388638, 0.524667, 0.726448, 1.03547, 1.52529", \ + "0.136808, 0.383569, 0.499968, 0.665253, 0.904478, 1.25401, 1.78433" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0235978, 0.142244, 0.225045, 0.361727, 0.590464, 0.972537, 1.60796", \ + "0.0307197, 0.145349, 0.22645, 0.361953, 0.590861, 0.972538, 1.60797", \ + "0.0387966, 0.153105, 0.23228, 0.365381, 0.591734, 0.972778, 1.60798", \ + "0.0564538, 0.171205, 0.249097, 0.379097, 0.600253, 0.976065, 1.61309", \ + "0.0884979, 0.20794, 0.286197, 0.414363, 0.630454, 0.996131, 1.61831", \ + "0.138432, 0.275777, 0.358697, 0.489602, 0.704115, 1.0633, 1.66858", \ + "0.221768, 0.392384, 0.485961, 0.629893, 0.853721, 1.21043, 1.80723" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00299572, 0.00362251, 0.00363464, 0.00358584, 0.00350833, 0.00309605, 0.00246837", \ + "0.00341254, 0.0034511, 0.00356019, 0.00353854, 0.00332339, 0.00306329, 0.00252149", \ + "0.00446379, 0.00380951, 0.00369085, 0.00376093, 0.00355397, 0.00309379, 0.00255297", \ + "0.00685471, 0.00509034, 0.00471504, 0.00420971, 0.00404259, 0.00333156, 0.00432633", \ + "0.0121351, 0.00885638, 0.00793531, 0.00696995, 0.00586289, 0.00470951, 0.00477419", \ + "0.0230227, 0.0175366, 0.0153841, 0.0136128, 0.0110068, 0.00917583, 0.00641325", \ + "0.0452947, 0.0370524, 0.0335593, 0.0296056, 0.0255873, 0.0208491, 0.0166729" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00548947, 0.00603649, 0.00603589, 0.00600289, 0.00579795, 0.00555294, 0.00499358", \ + "0.00575261, 0.00584305, 0.00583701, 0.00582307, 0.0057533, 0.00546544, 0.00504211", \ + "0.00666579, 0.0060201, 0.0060272, 0.00588573, 0.00580021, 0.00547157, 0.00505668", \ + "0.00901883, 0.00721728, 0.00682512, 0.00644361, 0.00620205, 0.00569964, 0.00536065", \ + "0.0139049, 0.0104329, 0.00951783, 0.00867536, 0.00756585, 0.00707873, 0.00577009", \ + "0.0245657, 0.0182971, 0.0163596, 0.0143881, 0.0123869, 0.0101617, 0.00889601", \ + "0.0465835, 0.0370521, 0.0334762, 0.0296348, 0.0251733, 0.0213081, 0.0168396" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0032601, 0.00360111, 0.00353592, 0.00343353, 0.00334523, 0.00296853, 0.00237016", \ + "0.00356238, 0.00346836, 0.00350148, 0.00336542, 0.00318846, 0.00304707, 0.00250773", \ + "0.00456251, 0.00386583, 0.00370762, 0.00373242, 0.00336228, 0.00296179, 0.00255629", \ + "0.00689637, 0.00524016, 0.00480726, 0.00427954, 0.00400454, 0.00326563, 0.00258498", \ + "0.0121132, 0.00916643, 0.00817399, 0.00720707, 0.00598835, 0.00469455, 0.00401657", \ + "0.0227322, 0.0177528, 0.0157191, 0.0136244, 0.011426, 0.0093447, 0.0065875", \ + "0.0446088, 0.0377572, 0.0345355, 0.0304716, 0.0261774, 0.0218648, 0.0171668" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00833037, 0.00874946, 0.00872126, 0.00866221, 0.00852126, 0.00817061, 0.00770125", \ + "0.00812149, 0.00846598, 0.0085147, 0.00848377, 0.0085084, 0.00813786, 0.00767925", \ + "0.00866033, 0.00852737, 0.0085586, 0.00844716, 0.00858629, 0.00812603, 0.00764865", \ + "0.0105695, 0.00931748, 0.009065, 0.00886863, 0.00869997, 0.00819663, 0.00810692", \ + "0.0153415, 0.0120111, 0.0112524, 0.010654, 0.00975645, 0.00975986, 0.008591", \ + "0.0257461, 0.0195871, 0.0177908, 0.0159129, 0.0142463, 0.0121686, 0.0111092", \ + "0.047267, 0.0378794, 0.0342983, 0.0301514, 0.0261215, 0.0222084, 0.0185757" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00376088, 0.00384064, 0.0038189, 0.00368403, 0.00351451, 0.00329699, 0.00266354", \ + "0.00405065, 0.00381607, 0.00389047, 0.00363618, 0.00345387, 0.00334101, 0.00255413", \ + "0.00504631, 0.0042874, 0.0040613, 0.0040488, 0.00356409, 0.00317662, 0.00268985", \ + "0.00740042, 0.00572486, 0.00526173, 0.00459703, 0.00433575, 0.00356276, 0.00286392", \ + "0.012816, 0.00984431, 0.00877966, 0.00767781, 0.00643754, 0.00496029, 0.00436818", \ + "0.0240261, 0.0188375, 0.0166981, 0.0144941, 0.012157, 0.00996389, 0.00701565", \ + "0.0472031, 0.0400865, 0.0366797, 0.0324546, 0.0277336, 0.0228389, 0.0179668" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107387, 0.0111497, 0.0111509, 0.0110672, 0.010906, 0.0106138, 0.0101319", \ + "0.0103925, 0.0108757, 0.0108907, 0.0108586, 0.0108042, 0.0105595, 0.0100049", \ + "0.0107307, 0.0107712, 0.0108659, 0.0108036, 0.0110208, 0.0105068, 0.009991", \ + "0.0123444, 0.0114382, 0.0113053, 0.0111159, 0.011403, 0.010602, 0.0106672", \ + "0.0171015, 0.0140648, 0.0133987, 0.0127924, 0.0120573, 0.0118659, 0.010822", \ + "0.0280672, 0.0218548, 0.0200754, 0.0182352, 0.0166284, 0.0149087, 0.0136017", \ + "0.0509112, 0.0414717, 0.0376462, 0.0333903, 0.0290394, 0.0251397, 0.0217345" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00300869; + rise_capacitance : 0.00300098; + rise_capacitance_range (0.00300098, 0.00300098); + fall_capacitance : 0.0030164; + fall_capacitance_range (0.0030164, 0.0030164); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00316617; + rise_capacitance : 0.00328668; + rise_capacitance_range (0.00328668, 0.00328668); + fall_capacitance : 0.00304566; + fall_capacitance_range (0.00304566, 0.00304566); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00313136; + rise_capacitance : 0.00329613; + rise_capacitance_range (0.00329613, 0.00329613); + fall_capacitance : 0.00296658; + fall_capacitance_range (0.00296658, 0.00296658); + } + } + cell (sg13g2_nand3b_1) { + area : 12.7008; + cell_footprint : "nand3b1"; + cell_leakage_power : 390.892; + leakage_power () { + value : 199.293; + when : "!A_N&!B&!C"; + } + leakage_power () { + value : 300.166; + when : "!A_N&!B&C"; + } + leakage_power () { + value : 164.406; + when : "!A_N&B&!C"; + } + leakage_power () { + value : 793.552; + when : "!A_N&B&C"; + } + leakage_power () { + value : 361.896; + when : "A_N&!B&!C"; + } + leakage_power () { + value : 444.433; + when : "A_N&!B&C"; + } + leakage_power () { + value : 314.939; + when : "A_N&B&!C"; + } + leakage_power () { + value : 548.448; + when : "A_N&B&C"; + } + pin (Y) { + direction : "output"; + function : "!(!A_N*B*C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0394316, 0.0856354, 0.116537, 0.167491, 0.252758, 0.394862, 0.631224", \ + "0.0599938, 0.106716, 0.137625, 0.188624, 0.273781, 0.41589, 0.652523", \ + "0.0736142, 0.120629, 0.15171, 0.202581, 0.287854, 0.429846, 0.666402", \ + "0.0893339, 0.138113, 0.168508, 0.219237, 0.304455, 0.446215, 0.682813", \ + "0.111143, 0.164196, 0.195003, 0.245471, 0.330513, 0.471977, 0.708586", \ + "0.138283, 0.200112, 0.232242, 0.283603, 0.369734, 0.511441, 0.747991", \ + "0.171984, 0.247616, 0.283848, 0.337779, 0.426468, 0.57151, 0.810693" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145889, 0.0791747, 0.12504, 0.200786, 0.327719, 0.539283, 0.891794", \ + "0.017567, 0.079644, 0.125255, 0.200927, 0.327762, 0.539284, 0.892036", \ + "0.0203335, 0.0801717, 0.125574, 0.201227, 0.327925, 0.539294, 0.893382", \ + "0.0247406, 0.0815361, 0.126286, 0.201661, 0.328298, 0.539541, 0.893383", \ + "0.031934, 0.0854127, 0.128856, 0.203308, 0.329428, 0.540182, 0.893384", \ + "0.0436876, 0.095403, 0.13632, 0.208933, 0.334014, 0.543768, 0.89429", \ + "0.061886, 0.116764, 0.154655, 0.223144, 0.346511, 0.55543, 0.903952" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0524353, 0.142954, 0.20419, 0.305157, 0.474012, 0.75545, 1.22414", \ + "0.0738656, 0.164634, 0.226047, 0.327178, 0.496103, 0.777722, 1.24625", \ + "0.0871101, 0.177196, 0.238504, 0.339591, 0.508566, 0.789925, 1.25912", \ + "0.105598, 0.195608, 0.25675, 0.3579, 0.526925, 0.808402, 1.27739", \ + "0.131414, 0.222883, 0.2838, 0.384673, 0.553437, 0.834914, 1.30385", \ + "0.157534, 0.253421, 0.314801, 0.416022, 0.585247, 0.865944, 1.33491", \ + "0.198033, 0.303218, 0.365591, 0.46911, 0.640766, 0.923261, 1.39179" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0250755, 0.143643, 0.226362, 0.363053, 0.592107, 0.973967, 1.60937", \ + "0.026831, 0.143823, 0.226461, 0.364232, 0.592205, 0.973968, 1.60938", \ + "0.0284582, 0.144114, 0.226576, 0.364233, 0.594229, 0.973969, 1.61009", \ + "0.032245, 0.144668, 0.227084, 0.364234, 0.59423, 0.97397, 1.6101", \ + "0.0382874, 0.146675, 0.228262, 0.36446, 0.594231, 0.973971, 1.61011", \ + "0.047055, 0.152476, 0.233183, 0.368176, 0.595217, 0.975076, 1.61012", \ + "0.063076, 0.165698, 0.244642, 0.379176, 0.605377, 0.98287, 1.61323" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0217996, 0.0678952, 0.0988225, 0.14985, 0.235308, 0.377035, 0.613582", \ + "0.0393473, 0.102838, 0.136292, 0.188073, 0.273334, 0.415276, 0.652197", \ + "0.0490667, 0.128151, 0.167101, 0.223868, 0.311413, 0.453523, 0.689838", \ + "0.0602484, 0.163516, 0.213133, 0.281444, 0.380165, 0.528836, 0.766302", \ + "0.0733587, 0.21173, 0.275741, 0.363836, 0.48626, 0.659397, 0.915029", \ + "0.0808092, 0.261651, 0.350324, 0.47051, 0.632608, 0.853687, 1.1593", \ + "0.0825164, 0.322644, 0.439455, 0.60139, 0.822586, 1.11847, 1.51234" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0173142, 0.081862, 0.127456, 0.203135, 0.330309, 0.54166, 0.894393", \ + "0.0334576, 0.0938669, 0.135083, 0.20652, 0.330633, 0.542221, 0.894573", \ + "0.0456377, 0.112402, 0.152824, 0.220027, 0.338135, 0.543926, 0.895132", \ + "0.0654362, 0.146611, 0.189538, 0.257299, 0.368387, 0.561924, 0.900685", \ + "0.0976881, 0.202051, 0.253975, 0.328915, 0.444637, 0.629113, 0.945604", \ + "0.143134, 0.290071, 0.359549, 0.453471, 0.585517, 0.780295, 1.09026", \ + "0.227127, 0.427328, 0.521068, 0.647066, 0.817077, 1.04906, 1.38436" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0383555, 0.128319, 0.18955, 0.290426, 0.459192, 0.740657, 1.20949", \ + "0.0524789, 0.153524, 0.215775, 0.317197, 0.486424, 0.768089, 1.23691", \ + "0.06265, 0.176682, 0.241956, 0.345176, 0.514892, 0.796687, 1.26539", \ + "0.0754223, 0.210903, 0.283635, 0.393541, 0.567421, 0.850395, 1.31959", \ + "0.0968778, 0.263771, 0.349048, 0.473627, 0.661679, 0.955401, 1.42816", \ + "0.130365, 0.340837, 0.446639, 0.596663, 0.813116, 1.13747, 1.63524", \ + "0.180913, 0.453692, 0.585868, 0.774546, 1.04128, 1.42004, 1.97968" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0250052, 0.143675, 0.226355, 0.36318, 0.591901, 0.973962, 1.61021", \ + "0.0345116, 0.148228, 0.228564, 0.363844, 0.592309, 0.973963, 1.61022", \ + "0.0442657, 0.158746, 0.236734, 0.368456, 0.593695, 0.974246, 1.61023", \ + "0.0626563, 0.182753, 0.259608, 0.387209, 0.605359, 0.978261, 1.61432", \ + "0.0928364, 0.227365, 0.307497, 0.433885, 0.646123, 1.00615, 1.62386", \ + "0.141455, 0.304672, 0.393147, 0.527434, 0.742485, 1.09616, 1.68956", \ + "0.224073, 0.425892, 0.533929, 0.693086, 0.923293, 1.28674, 1.87752" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0238406, 0.0701785, 0.101177, 0.152198, 0.237584, 0.379292, 0.615614", \ + "0.0441261, 0.105586, 0.138818, 0.190503, 0.27569, 0.417422, 0.653904", \ + "0.0557771, 0.131653, 0.170055, 0.226478, 0.313829, 0.455774, 0.691708", \ + "0.0703709, 0.168561, 0.217189, 0.284728, 0.382922, 0.53114, 0.768269", \ + "0.0895173, 0.219521, 0.281922, 0.369309, 0.490134, 0.661527, 0.916285", \ + "0.106559, 0.275315, 0.360816, 0.479059, 0.638765, 0.857807, 1.16199", \ + "0.128984, 0.349427, 0.46169, 0.61826, 0.834202, 1.12527, 1.51718" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0201459, 0.0849284, 0.130353, 0.206045, 0.333082, 0.544161, 0.896419", \ + "0.0362893, 0.0964743, 0.13783, 0.209349, 0.333486, 0.544796, 0.89642", \ + "0.048302, 0.114992, 0.155295, 0.222647, 0.340712, 0.546052, 0.897664", \ + "0.0693409, 0.149145, 0.191862, 0.259688, 0.370735, 0.564324, 0.902709", \ + "0.102201, 0.204485, 0.256485, 0.331453, 0.447104, 0.631598, 0.94765", \ + "0.147477, 0.292371, 0.362104, 0.454831, 0.587859, 0.782639, 1.09152", \ + "0.230905, 0.427603, 0.520783, 0.646758, 0.817105, 1.05035, 1.38647" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.042011, 0.131858, 0.193086, 0.294002, 0.462941, 0.744041, 1.21276", \ + "0.0555025, 0.151983, 0.213898, 0.315202, 0.484417, 0.766059, 1.23453", \ + "0.0643891, 0.169554, 0.233521, 0.336089, 0.505549, 0.787095, 1.25613", \ + "0.0729883, 0.194991, 0.264053, 0.371128, 0.543737, 0.826211, 1.29514", \ + "0.0853788, 0.23536, 0.313704, 0.430406, 0.613467, 0.902839, 1.37435", \ + "0.106992, 0.29501, 0.389716, 0.526488, 0.727816, 1.03794, 1.52778", \ + "0.137125, 0.383799, 0.499854, 0.665989, 0.906097, 1.25584, 1.78539" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0247619, 0.143541, 0.226455, 0.363106, 0.592245, 0.973605, 1.60959", \ + "0.0305312, 0.146646, 0.227702, 0.363306, 0.592246, 0.973828, 1.61014", \ + "0.0374597, 0.153996, 0.233419, 0.366695, 0.593258, 0.974175, 1.61015", \ + "0.0539297, 0.171309, 0.249815, 0.380126, 0.601399, 0.977187, 1.61574", \ + "0.0869968, 0.20765, 0.28603, 0.414892, 0.631348, 0.997463, 1.62006", \ + "0.138321, 0.276126, 0.358752, 0.489928, 0.704252, 1.06391, 1.66865", \ + "0.221425, 0.392587, 0.486766, 0.62811, 0.849698, 1.21231, 1.80679" \ + ); + } + } + internal_power () { + related_pin : "A_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00343957, 0.00404743, 0.00404593, 0.00396513, 0.00378931, 0.00348237, 0.00297233", \ + "0.00330114, 0.00377815, 0.00389465, 0.00380465, 0.00376285, 0.00333382, 0.00291717", \ + "0.00332323, 0.00375373, 0.00380753, 0.00379563, 0.00374813, 0.00333313, 0.00316723", \ + "0.00342102, 0.00356292, 0.00355612, 0.00355982, 0.00329542, 0.00331342, 0.00299582", \ + "0.0036898, 0.0034786, 0.0035219, 0.0035092, 0.0034112, 0.0027984, 0.0032371", \ + "0.0044526, 0.00345, 0.0034207, 0.0032559, 0.0031727, 0.0030148, 0.0027971", \ + "0.0063935, 0.0043369, 0.0039699, 0.0036196, 0.0034955, 0.0031094, 0.0027873" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00853848, 0.00907268, 0.00908058, 0.00902098, 0.00885808, 0.00858778, 0.00812038", \ + "0.0083429, 0.0088753, 0.0089288, 0.0090082, 0.0087783, 0.0085191, 0.0080658", \ + "0.00845869, 0.00875619, 0.00895219, 0.00881399, 0.00890119, 0.00842629, 0.00815129", \ + "0.00849229, 0.00867949, 0.00861649, 0.00875479, 0.00856869, 0.00854519, 0.00797999", \ + "0.0085309, 0.0083496, 0.008414, 0.008401, 0.0080992, 0.0086046, 0.007879", \ + "0.009436, 0.0086475, 0.0085267, 0.0085066, 0.0085882, 0.0080226, 0.0083073", \ + "0.0108595, 0.0088893, 0.0086441, 0.0084206, 0.0082188, 0.0081203, 0.0077912" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00327667, 0.00362973, 0.00358231, 0.00347774, 0.0033678, 0.00297443, 0.0023808", \ + "0.00362323, 0.00350512, 0.00353159, 0.00336829, 0.00321511, 0.00306808, 0.00239122", \ + "0.00462232, 0.0039019, 0.00372099, 0.00370196, 0.00331348, 0.00299459, 0.00251494", \ + "0.00693711, 0.00528203, 0.00485653, 0.00436474, 0.00404827, 0.00332615, 0.0041019", \ + "0.0122293, 0.00920735, 0.00823255, 0.00717674, 0.00600037, 0.00469113, 0.00468999", \ + "0.0228094, 0.0177766, 0.0157659, 0.0136634, 0.0114653, 0.00938337, 0.00670487", \ + "0.044703, 0.0377995, 0.0345831, 0.030515, 0.0262542, 0.0219711, 0.0170714" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00847028, 0.00888532, 0.00887965, 0.00879862, 0.00862607, 0.00832584, 0.00783007", \ + "0.00824794, 0.00865514, 0.00864645, 0.00861701, 0.00852505, 0.00827682, 0.00778018", \ + "0.00872213, 0.00860016, 0.00868219, 0.00857101, 0.00871339, 0.00824029, 0.00778808", \ + "0.0105316, 0.00935174, 0.00916693, 0.00897998, 0.00918972, 0.00829166, 0.00821709", \ + "0.015265, 0.0119733, 0.0113171, 0.0106715, 0.00989661, 0.00945294, 0.00870878", \ + "0.0256812, 0.0196066, 0.0177234, 0.0158789, 0.0142285, 0.0122287, 0.0111439", \ + "0.0472392, 0.0378015, 0.0341131, 0.0303679, 0.0260181, 0.0222078, 0.0187677" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00374299, 0.00389055, 0.00380058, 0.00368043, 0.00357094, 0.00317988, 0.00261226", \ + "0.00407444, 0.00382188, 0.00388131, 0.00361557, 0.00343538, 0.00331614, 0.00252508", \ + "0.00506734, 0.00425537, 0.00403279, 0.00393282, 0.00361188, 0.00316619, 0.00288807", \ + "0.00742313, 0.00574311, 0.00524835, 0.00459509, 0.00432391, 0.00351633, 0.00284704", \ + "0.0128447, 0.00983506, 0.00879289, 0.00768177, 0.00643408, 0.00502369, 0.00504616", \ + "0.0240775, 0.0188321, 0.0167221, 0.0145112, 0.0121022, 0.00996021, 0.00699916", \ + "0.0472268, 0.0400761, 0.0366812, 0.0324221, 0.0277331, 0.0227935, 0.0180559" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112382, 0.0116418, 0.0116448, 0.0115661, 0.0113942, 0.0110581, 0.0106084", \ + "0.0108748, 0.0113415, 0.0114013, 0.0113214, 0.0112494, 0.011021, 0.0105499", \ + "0.0111285, 0.0112574, 0.011345, 0.011284, 0.0118729, 0.0109855, 0.010518", \ + "0.0125332, 0.0118113, 0.0117045, 0.0115693, 0.0117713, 0.0110774, 0.0111204", \ + "0.0170336, 0.0142401, 0.0136197, 0.0131096, 0.0124526, 0.0123085, 0.0112478", \ + "0.0279574, 0.021849, 0.0200991, 0.0184034, 0.0167612, 0.0152168, 0.0139425", \ + "0.0508292, 0.0413821, 0.037569, 0.0333178, 0.0289478, 0.0253515, 0.0217257" \ + ); + } + } + } + pin (A_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00236531; + rise_capacitance : 0.00241089; + rise_capacitance_range (0.00241089, 0.00241089); + fall_capacitance : 0.00231973; + fall_capacitance_range (0.00231973, 0.00231973); + internal_power () { + when : "(B * !C) + (!B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00608787, 0.00636785, 0.00718347, 0.00923008, 0.0134614, 0.0226528, 0.0413076" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00329742, 0.0037741, 0.00462391, 0.00660201, 0.0113268, 0.0201194, 0.0391615" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00608787, 0.00636785, 0.00718347, 0.00923008, 0.0134614, 0.0226528, 0.0413076" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00329742, 0.0037741, 0.00462391, 0.00660201, 0.0113268, 0.0201194, 0.0391615" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0031611; + rise_capacitance : 0.00331345; + rise_capacitance_range (0.00331345, 0.00331345); + fall_capacitance : 0.00300875; + fall_capacitance_range (0.00300875, 0.00300875); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00316962; + rise_capacitance : 0.00336885; + rise_capacitance_range (0.00336885, 0.00336885); + fall_capacitance : 0.00297038; + fall_capacitance_range (0.00297038, 0.00297038); + } + } + cell (sg13g2_nand4_1) { + area : 10.8864; + cell_footprint : "nand4"; + cell_leakage_power : 293.39; + leakage_power () { + value : 242.991; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 324.001; + when : "!A&!B&!C&D&Y"; + } + leakage_power () { + value : 197.974; + when : "!A&!B&C&!D&Y"; + } + leakage_power () { + value : 406.521; + when : "!A&!B&C&D&Y"; + } + leakage_power () { + value : 189.68; + when : "!A&B&!C&!D&Y"; + } + leakage_power () { + value : 276.996; + when : "!A&B&!C&D&Y"; + } + leakage_power () { + value : 141.419; + when : "!A&B&C&!D&Y"; + } + leakage_power () { + value : 510.487; + when : "!A&B&C&D&Y"; + } + leakage_power () { + value : 187.424; + when : "A&!B&!C&!D&Y"; + } + leakage_power () { + value : 269.826; + when : "A&!B&!C&D&Y"; + } + leakage_power () { + value : 140.548; + when : "A&!B&C&!D&Y"; + } + leakage_power () { + value : 370.683; + when : "A&!B&C&D&Y"; + } + leakage_power () { + value : 134.524; + when : "A&B&!C&!D&Y"; + } + leakage_power () { + value : 234.891; + when : "A&B&!C&D&Y"; + } + leakage_power () { + value : 99.3916; + when : "A&B&C&!D&Y"; + } + leakage_power () { + value : 966.886; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A*B*C*D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.020366, 0.0661532, 0.0970449, 0.148015, 0.233452, 0.375215, 0.611815", \ + "0.0353127, 0.100843, 0.134532, 0.186383, 0.271594, 0.413589, 0.650245", \ + "0.0425178, 0.125485, 0.164854, 0.221828, 0.309558, 0.451698, 0.688098", \ + "0.0489473, 0.159092, 0.209676, 0.278707, 0.377898, 0.526862, 0.764448", \ + "0.0527858, 0.203326, 0.269288, 0.35905, 0.483522, 0.657732, 0.913032", \ + "0.0527868, 0.243543, 0.335912, 0.46067, 0.626419, 0.848888, 1.15601", \ + "0.0527878, 0.282116, 0.406892, 0.576232, 0.804588, 1.10557, 1.50486" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0151002, 0.0791468, 0.125039, 0.200936, 0.32817, 0.539392, 0.89222", \ + "0.0310152, 0.091761, 0.132904, 0.20427, 0.328371, 0.539409, 0.892221", \ + "0.0438868, 0.110383, 0.150688, 0.21784, 0.33595, 0.541331, 0.892833", \ + "0.0646038, 0.14476, 0.187654, 0.255332, 0.366241, 0.559694, 0.898409", \ + "0.0992211, 0.202248, 0.253524, 0.327937, 0.442752, 0.626732, 0.943435", \ + "0.150392, 0.295001, 0.364053, 0.456476, 0.586235, 0.77976, 1.08849", \ + "0.241867, 0.44168, 0.532725, 0.659701, 0.827872, 1.05294, 1.38672" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0378753, 0.153995, 0.233191, 0.363787, 0.582392, 0.946978, 1.55359", \ + "0.056803, 0.183491, 0.263147, 0.393975, 0.6127, 0.977435, 1.58487", \ + "0.0710179, 0.21234, 0.294689, 0.426366, 0.644904, 1.0092, 1.61666", \ + "0.0904036, 0.255858, 0.346808, 0.485338, 0.706527, 1.07036, 1.67741", \ + "0.121589, 0.321342, 0.42739, 0.584153, 0.821923, 1.19413, 1.80095", \ + "0.171509, 0.418824, 0.548125, 0.733075, 1.00813, 1.4192, 2.04832", \ + "0.253539, 0.564904, 0.724376, 0.953147, 1.28157, 1.76249, 2.47113" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0347278, 0.18867, 0.295824, 0.472879, 0.76965, 1.26495, 2.08943", \ + "0.047151, 0.193144, 0.297409, 0.474019, 0.769651, 1.26496, 2.08954", \ + "0.0573269, 0.206417, 0.306615, 0.477608, 0.770903, 1.26497, 2.08963", \ + "0.0751475, 0.235694, 0.334968, 0.49922, 0.782341, 1.27132, 2.08964", \ + "0.105468, 0.288275, 0.392822, 0.557979, 0.83141, 1.2973, 2.0997", \ + "0.157274, 0.374355, 0.491613, 0.670166, 0.950896, 1.40685, 2.17271", \ + "0.248164, 0.513334, 0.655074, 0.8563, 1.16693, 1.64218, 2.40635" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0236165, 0.06911, 0.0999784, 0.150948, 0.236116, 0.378155, 0.614758", \ + "0.0417661, 0.104224, 0.137519, 0.189264, 0.274473, 0.416432, 0.65349", \ + "0.0514212, 0.129641, 0.168338, 0.225036, 0.312544, 0.454688, 0.69111", \ + "0.061865, 0.164853, 0.214357, 0.282564, 0.381254, 0.529898, 0.767414", \ + "0.0721147, 0.211621, 0.275945, 0.364403, 0.487702, 0.661097, 0.916038", \ + "0.0734873, 0.257704, 0.347099, 0.46879, 0.632943, 0.853712, 1.15949", \ + "0.0734883, 0.307085, 0.427228, 0.591642, 0.816238, 1.1137, 1.51028" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0178973, 0.0830414, 0.128457, 0.204316, 0.331239, 0.542895, 0.895738", \ + "0.0342168, 0.094659, 0.136023, 0.207619, 0.331867, 0.543529, 0.896035", \ + "0.046717, 0.113327, 0.153711, 0.220981, 0.339247, 0.544862, 0.897018", \ + "0.0682436, 0.14757, 0.190662, 0.258251, 0.369359, 0.563058, 0.901774", \ + "0.103044, 0.204915, 0.256385, 0.330752, 0.445818, 0.629732, 0.946768", \ + "0.152653, 0.296918, 0.364979, 0.45726, 0.588387, 0.782118, 1.09189", \ + "0.242421, 0.441426, 0.532789, 0.657223, 0.82551, 1.05492, 1.38896" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.04697, 0.162449, 0.241606, 0.372213, 0.590972, 0.955324, 1.56193", \ + "0.0628627, 0.187403, 0.267187, 0.398264, 0.61701, 0.98151, 1.58885", \ + "0.0748997, 0.211631, 0.293603, 0.425548, 0.644639, 1.00919, 1.61683", \ + "0.091461, 0.249408, 0.337771, 0.474874, 0.696374, 1.06124, 1.6685", \ + "0.120107, 0.309474, 0.410058, 0.560753, 0.794081, 1.16545, 1.77367", \ + "0.166374, 0.400592, 0.522687, 0.696929, 0.959236, 1.35708, 1.98224", \ + "0.240396, 0.537584, 0.689613, 0.904948, 1.21454, 1.66856, 2.34861" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0347196, 0.188572, 0.295835, 0.472881, 0.770099, 1.26494, 2.08946", \ + "0.0451365, 0.191858, 0.297039, 0.473737, 0.7701, 1.26495, 2.08947", \ + "0.0556355, 0.201242, 0.303267, 0.47609, 0.770672, 1.26496, 2.08963", \ + "0.0746134, 0.224738, 0.324302, 0.491034, 0.778241, 1.26957, 2.08964", \ + "0.105638, 0.269952, 0.371258, 0.535642, 0.81237, 1.28617, 2.09637", \ + "0.156916, 0.348681, 0.458422, 0.628225, 0.904591, 1.36448, 2.14456", \ + "0.243783, 0.478583, 0.604617, 0.796072, 1.08725, 1.55192, 2.31658" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0254238, 0.0715312, 0.102595, 0.153656, 0.239216, 0.381071, 0.617754", \ + "0.0460545, 0.106996, 0.140183, 0.191947, 0.277232, 0.419255, 0.656281", \ + "0.0575376, 0.13315, 0.171502, 0.227858, 0.31535, 0.457469, 0.693835", \ + "0.0712909, 0.16979, 0.218574, 0.286101, 0.384406, 0.532836, 0.770426", \ + "0.0872385, 0.219213, 0.28211, 0.369944, 0.491299, 0.663566, 0.919061", \ + "0.097339, 0.270552, 0.357241, 0.476781, 0.638588, 0.8586, 1.16331", \ + "0.102141, 0.331153, 0.446799, 0.606888, 0.826639, 1.12282, 1.51604" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204977, 0.0859387, 0.1317, 0.207481, 0.334801, 0.546349, 0.899205", \ + "0.0368774, 0.0972967, 0.138874, 0.210671, 0.335321, 0.54635, 0.899425", \ + "0.0495526, 0.115866, 0.156278, 0.223877, 0.342338, 0.548541, 0.899426", \ + "0.0721428, 0.150231, 0.193183, 0.260768, 0.372253, 0.566262, 0.905395", \ + "0.107207, 0.207517, 0.259187, 0.332915, 0.448714, 0.633283, 0.950063", \ + "0.156695, 0.299448, 0.367938, 0.460202, 0.591217, 0.785249, 1.09387", \ + "0.246665, 0.442974, 0.534411, 0.659443, 0.825953, 1.05706, 1.39178" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0523518, 0.167822, 0.246944, 0.37752, 0.596141, 0.960663, 1.5678", \ + "0.0665482, 0.188225, 0.267941, 0.398967, 0.617734, 0.982244, 1.58982", \ + "0.0768323, 0.207053, 0.288381, 0.420069, 0.639176, 1.0036, 1.61132", \ + "0.0890666, 0.236243, 0.322093, 0.457488, 0.678166, 1.04301, 1.65077", \ + "0.110679, 0.285124, 0.379301, 0.524487, 0.754003, 1.12291, 1.73031", \ + "0.147517, 0.361297, 0.472835, 0.635821, 0.884117, 1.27127, 1.8913", \ + "0.204388, 0.47739, 0.613732, 0.81045, 1.09763, 1.52155, 2.18002" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0345593, 0.188577, 0.295658, 0.472701, 0.770031, 1.26498, 2.08971", \ + "0.0419017, 0.190869, 0.296473, 0.473688, 0.770032, 1.26499, 2.08972", \ + "0.0504525, 0.197734, 0.301191, 0.475173, 0.770137, 1.265, 2.08973", \ + "0.068767, 0.215718, 0.316563, 0.486436, 0.776158, 1.26747, 2.09142", \ + "0.102377, 0.253656, 0.353942, 0.519496, 0.80187, 1.28031, 2.09407", \ + "0.155513, 0.325657, 0.429309, 0.595371, 0.871902, 1.34028, 2.1309", \ + "0.243683, 0.447695, 0.565398, 0.742668, 1.02469, 1.4851, 2.26154" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0261414, 0.0733075, 0.104545, 0.155848, 0.241353, 0.383127, 0.619394", \ + "0.0488592, 0.10915, 0.142336, 0.194135, 0.279423, 0.421356, 0.657926", \ + "0.0617834, 0.135773, 0.173885, 0.230147, 0.317504, 0.459536, 0.695632", \ + "0.0783313, 0.173896, 0.221879, 0.288991, 0.386744, 0.534924, 0.772091", \ + "0.0993312, 0.225635, 0.28736, 0.374187, 0.494494, 0.665408, 0.920216", \ + "0.117743, 0.282266, 0.36635, 0.484133, 0.643748, 0.86218, 1.16593", \ + "0.141145, 0.354809, 0.465276, 0.621619, 0.837132, 1.12987, 1.5212" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.022853, 0.0889392, 0.134386, 0.210252, 0.337379, 0.54867, 0.900819", \ + "0.0391042, 0.0996965, 0.141392, 0.213246, 0.337998, 0.548671, 0.90088", \ + "0.0522257, 0.118192, 0.158624, 0.22627, 0.344781, 0.550911, 0.901036", \ + "0.075451, 0.152843, 0.195384, 0.263087, 0.374512, 0.568344, 0.907092", \ + "0.111747, 0.209864, 0.260949, 0.335906, 0.450806, 0.635374, 0.951851", \ + "0.162107, 0.302388, 0.370397, 0.461629, 0.593124, 0.78682, 1.09645", \ + "0.253691, 0.445568, 0.537279, 0.659396, 0.826278, 1.05817, 1.39262" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0548572, 0.170311, 0.249529, 0.38012, 0.598822, 0.963141, 1.57028", \ + "0.0682306, 0.18801, 0.267672, 0.398693, 0.617482, 0.982288, 1.589", \ + "0.07721, 0.202843, 0.283683, 0.415196, 0.634205, 0.998757, 1.60646", \ + "0.0862923, 0.224053, 0.308365, 0.442599, 0.663035, 1.02773, 1.63505", \ + "0.099519, 0.260302, 0.350786, 0.492065, 0.71838, 1.08638, 1.6939", \ + "0.123136, 0.317358, 0.420947, 0.576558, 0.815462, 1.19722, 1.81328", \ + "0.15842, 0.40776, 0.530117, 0.71062, 0.977461, 1.3885, 2.02982" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0344493, 0.188479, 0.295737, 0.473133, 0.770085, 1.26495, 2.0897", \ + "0.0393575, 0.190157, 0.296436, 0.473599, 0.770086, 1.26496, 2.08971", \ + "0.0453399, 0.195227, 0.299778, 0.474853, 0.770096, 1.26497, 2.08972", \ + "0.0600815, 0.208798, 0.311579, 0.483468, 0.774521, 1.26525, 2.08973", \ + "0.0930924, 0.239149, 0.341293, 0.509681, 0.795554, 1.27748, 2.0931", \ + "0.148801, 0.302233, 0.402966, 0.571313, 0.851366, 1.3245, 2.12298", \ + "0.237914, 0.41838, 0.526065, 0.698277, 0.975297, 1.44675, 2.22767" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00292998, 0.00347685, 0.00349684, 0.00344647, 0.00334707, 0.00292895, 0.00236566", \ + "0.00326479, 0.00338626, 0.00354996, 0.00344314, 0.0032445, 0.00297505, 0.00242202", \ + "0.00417195, 0.00369079, 0.00357914, 0.00364711, 0.00340908, 0.00295299, 0.00261416", \ + "0.00631803, 0.00479741, 0.00451061, 0.00408751, 0.00388797, 0.00323566, 0.00398189", \ + "0.0111798, 0.00819195, 0.0073649, 0.00652085, 0.00550381, 0.00443038, 0.00426079", \ + "0.0212959, 0.0161675, 0.0141819, 0.0122383, 0.0103464, 0.00850722, 0.00606929", \ + "0.0420579, 0.0342572, 0.0310435, 0.0272526, 0.023475, 0.0192971, 0.0153704" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00663659, 0.00712176, 0.00712151, 0.00705463, 0.00690354, 0.00663591, 0.00617868", \ + "0.00675336, 0.0068449, 0.00694602, 0.00696052, 0.00678228, 0.00656538, 0.00621118", \ + "0.00755545, 0.00703958, 0.00718851, 0.0071263, 0.00681728, 0.00654335, 0.00613075", \ + "0.00966478, 0.00805984, 0.00768942, 0.00757632, 0.00718118, 0.00692053, 0.00620611", \ + "0.0142465, 0.011097, 0.0102232, 0.00931181, 0.00860036, 0.00753799, 0.00793635", \ + "0.0240141, 0.0183401, 0.0164963, 0.0146803, 0.0127508, 0.0106878, 0.010152", \ + "0.0445236, 0.0356334, 0.0322363, 0.028484, 0.0247359, 0.0207689, 0.0164134" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00334921, 0.00367594, 0.00359254, 0.00349349, 0.00331639, 0.00296148, 0.0023894", \ + "0.00351439, 0.00354021, 0.00365177, 0.00343048, 0.00328401, 0.00314741, 0.00254031", \ + "0.004353, 0.00387752, 0.00369976, 0.00371539, 0.00342341, 0.00300377, 0.00275555", \ + "0.0064114, 0.00503501, 0.00469731, 0.00421073, 0.00399434, 0.00323834, 0.00345346", \ + "0.0111425, 0.00852879, 0.00765317, 0.00676007, 0.00573472, 0.00460001, 0.00424083", \ + "0.0209895, 0.0165673, 0.0144532, 0.0125011, 0.0105951, 0.00873337, 0.00624548", \ + "0.0413857, 0.0348096, 0.0317857, 0.0279798, 0.0240966, 0.0198293, 0.0157233" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00946319, 0.00982089, 0.00981431, 0.00973523, 0.00960264, 0.00931511, 0.00889089", \ + "0.00916204, 0.00948184, 0.00965351, 0.00959427, 0.0094469, 0.00917155, 0.00876562", \ + "0.00956777, 0.00949541, 0.00956928, 0.00952341, 0.00940028, 0.00916618, 0.00879778", \ + "0.01119, 0.0101844, 0.00992335, 0.0099701, 0.0096638, 0.00945862, 0.0088411", \ + "0.0155014, 0.0126195, 0.0119839, 0.0111989, 0.0107484, 0.00988253, 0.00967279", \ + "0.0250555, 0.0194817, 0.0177828, 0.0161273, 0.0145183, 0.0126995, 0.0121074", \ + "0.0449854, 0.0361616, 0.0327243, 0.0291712, 0.025549, 0.0220512, 0.0180393" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00377175, 0.00390589, 0.00384693, 0.00370489, 0.0035871, 0.00320288, 0.00266374", \ + "0.00387797, 0.00377806, 0.00378443, 0.00363715, 0.00347728, 0.0031532, 0.00265836", \ + "0.00469306, 0.00414507, 0.00396084, 0.00398274, 0.00353733, 0.00320587, 0.0026102", \ + "0.00677701, 0.00537405, 0.00499906, 0.00451182, 0.00425171, 0.00340751, 0.00276793", \ + "0.0117011, 0.00900388, 0.00808106, 0.00705208, 0.0059808, 0.00481782, 0.00423854", \ + "0.0219791, 0.0171763, 0.0151511, 0.0133424, 0.0111406, 0.0091899, 0.00637123", \ + "0.04327, 0.0365148, 0.0333538, 0.0294025, 0.0250809, 0.0208389, 0.0163167" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0119524, 0.0123027, 0.0122801, 0.0122047, 0.012076, 0.0117946, 0.0113683", \ + "0.0115253, 0.0119266, 0.0120756, 0.012041, 0.0119062, 0.0116694, 0.0112976", \ + "0.0117418, 0.0118679, 0.0120075, 0.0119534, 0.0118366, 0.0115532, 0.0112099", \ + "0.013004, 0.0123672, 0.0121886, 0.0122586, 0.0121025, 0.0117408, 0.0114981", \ + "0.0172198, 0.0146363, 0.0140699, 0.0135299, 0.0130631, 0.0122646, 0.0129137", \ + "0.0272205, 0.021614, 0.0199095, 0.0183353, 0.0167436, 0.0151248, 0.0148415", \ + "0.0481794, 0.0392243, 0.0356654, 0.0319821, 0.0281992, 0.0245451, 0.0208824" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00410199, 0.00415406, 0.00403512, 0.0039493, 0.0037848, 0.00343447, 0.00277824", \ + "0.00423017, 0.00403937, 0.00403276, 0.00383634, 0.00368958, 0.00337636, 0.00278069", \ + "0.00505083, 0.00440977, 0.004213, 0.00422404, 0.00372446, 0.00341846, 0.00274754", \ + "0.00718647, 0.00574889, 0.00528349, 0.0047859, 0.00444576, 0.00369386, 0.00312323", \ + "0.0125206, 0.00949246, 0.00850155, 0.00751202, 0.0062726, 0.00488304, 0.00504945", \ + "0.0231676, 0.0180753, 0.0161659, 0.013809, 0.0116672, 0.00949673, 0.0068168", \ + "0.0457842, 0.0386386, 0.0352189, 0.0309403, 0.0263039, 0.0217992, 0.0171564" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0143382, 0.014691, 0.0146868, 0.0146253, 0.0144701, 0.0141862, 0.0138466", \ + "0.0138951, 0.014322, 0.0144924, 0.0144519, 0.0143197, 0.0140874, 0.0136447", \ + "0.0140119, 0.0142242, 0.0144331, 0.0145079, 0.0142216, 0.0140182, 0.013733", \ + "0.0150524, 0.0146219, 0.0145063, 0.014553, 0.0143988, 0.0139895, 0.0137864", \ + "0.0190606, 0.0167439, 0.0162728, 0.0157493, 0.0153534, 0.0146542, 0.0149102", \ + "0.029496, 0.0238259, 0.0221182, 0.0207107, 0.0191724, 0.017511, 0.0172903", \ + "0.0518685, 0.042712, 0.0389863, 0.0352289, 0.0310214, 0.0277941, 0.0237583" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00297305; + rise_capacitance : 0.00295037; + rise_capacitance_range (0.00295037, 0.00295037); + fall_capacitance : 0.00299573; + fall_capacitance_range (0.00299573, 0.00299573); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00312249; + rise_capacitance : 0.00321875; + rise_capacitance_range (0.00321875, 0.00321875); + fall_capacitance : 0.00302622; + fall_capacitance_range (0.00302622, 0.00302622); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00313996; + rise_capacitance : 0.00327122; + rise_capacitance_range (0.00327122, 0.00327122); + fall_capacitance : 0.00300869; + fall_capacitance_range (0.00300869, 0.00300869); + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00312668; + rise_capacitance : 0.00329668; + rise_capacitance_range (0.00329668, 0.00329668); + fall_capacitance : 0.00295668; + fall_capacitance_range (0.00295668, 0.00295668); + } + } + cell (sg13g2_nor2_1) { + area : 7.2576; + cell_footprint : "nor2"; + cell_leakage_power : 254.472; + leakage_power () { + value : 221.14; + when : "A&!B"; + } + leakage_power () { + value : 187.828; + when : "!A&!B"; + } + leakage_power () { + value : 300.433; + when : "!A&B"; + } + leakage_power () { + value : 308.487; + when : "A&B"; + } + pin (Y) { + direction : "output"; + function : "!(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.029753, 0.12082, 0.183231, 0.286024, 0.458123, 0.745191, 1.22323", \ + "0.0421539, 0.143381, 0.206167, 0.309318, 0.481521, 0.769225, 1.24665", \ + "0.0497043, 0.162981, 0.228119, 0.33209, 0.504414, 0.79158, 1.27015", \ + "0.060374, 0.194313, 0.26574, 0.375207, 0.550129, 0.837165, 1.3152", \ + "0.0785317, 0.243965, 0.325516, 0.447989, 0.634715, 0.929002, 1.40776", \ + "0.0986335, 0.307241, 0.410337, 0.55633, 0.768722, 1.08814, 1.58628", \ + "0.131394, 0.402566, 0.532667, 0.715765, 0.973324, 1.34138, 1.89345" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0201219, 0.14865, 0.238501, 0.387, 0.635783, 1.05038, 1.74138", \ + "0.0307991, 0.152297, 0.239793, 0.387748, 0.635784, 1.0509, 1.74139", \ + "0.0410457, 0.162238, 0.246514, 0.390349, 0.638279, 1.05091, 1.74176", \ + "0.0595249, 0.184349, 0.267436, 0.406079, 0.644589, 1.05344, 1.74299", \ + "0.0884797, 0.22581, 0.310683, 0.449235, 0.680343, 1.07353, 1.74876", \ + "0.127324, 0.299394, 0.39496, 0.540222, 0.770797, 1.15221, 1.80233", \ + "0.202082, 0.414568, 0.527345, 0.694473, 0.9422, 1.33137, 1.96819" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0187226, 0.056908, 0.081825, 0.1229, 0.191641, 0.306073, 0.497005", \ + "0.0333898, 0.0913733, 0.120576, 0.164273, 0.233865, 0.34828, 0.538901", \ + "0.0424319, 0.115421, 0.150624, 0.200475, 0.275045, 0.391773, 0.58256", \ + "0.0511104, 0.146331, 0.190845, 0.252761, 0.340224, 0.468234, 0.665003", \ + "0.0616822, 0.18645, 0.245997, 0.326115, 0.436717, 0.590577, 0.811926", \ + "0.0721004, 0.23773, 0.315408, 0.423241, 0.570013, 0.767056, 1.03981", \ + "0.0782701, 0.300198, 0.403521, 0.543424, 0.739644, 1.00467, 1.35852" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121279, 0.0583632, 0.0917977, 0.147537, 0.240655, 0.396293, 0.655082", \ + "0.0260717, 0.0747978, 0.104975, 0.155766, 0.244223, 0.397334, 0.655083", \ + "0.0370897, 0.0931474, 0.124394, 0.17384, 0.257914, 0.40411, 0.65695", \ + "0.0549485, 0.123598, 0.159667, 0.212109, 0.296173, 0.434438, 0.674709", \ + "0.083652, 0.173926, 0.216955, 0.279419, 0.369912, 0.511521, 0.740784", \ + "0.132782, 0.254443, 0.310895, 0.388439, 0.497639, 0.655118, 0.899005", \ + "0.217031, 0.389342, 0.461392, 0.563028, 0.703417, 0.896138, 1.17432" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0254847, 0.117495, 0.179895, 0.282855, 0.455255, 0.742026, 1.22021", \ + "0.0412268, 0.148519, 0.211182, 0.314106, 0.486294, 0.773121, 1.25133", \ + "0.05189, 0.176114, 0.242467, 0.346402, 0.51831, 0.805037, 1.28294", \ + "0.0672221, 0.219331, 0.295449, 0.407916, 0.58335, 0.86954, 1.34674", \ + "0.0921326, 0.281504, 0.374673, 0.506751, 0.701061, 0.997897, 1.47559", \ + "0.12285, 0.365454, 0.484233, 0.648656, 0.883989, 1.22333, 1.73099", \ + "0.179659, 0.491164, 0.641723, 0.852871, 1.14739, 1.5615, 2.15531" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0208739, 0.148698, 0.238467, 0.387004, 0.635826, 1.05048, 1.74149", \ + "0.0348484, 0.154649, 0.24061, 0.388934, 0.635827, 1.05049, 1.7415", \ + "0.0445454, 0.169999, 0.251551, 0.392341, 0.639079, 1.0505, 1.74151", \ + "0.0619151, 0.201683, 0.283441, 0.417158, 0.650019, 1.05379, 1.74151", \ + "0.090304, 0.254564, 0.344132, 0.482576, 0.706146, 1.08776, 1.7527", \ + "0.129882, 0.343752, 0.448444, 0.601506, 0.836118, 1.20939, 1.83789", \ + "0.210394, 0.478947, 0.6114, 0.797205, 1.05996, 1.46198, 2.09026" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160871, 0.0548693, 0.0797105, 0.120754, 0.189459, 0.303868, 0.4948", \ + "0.0267038, 0.0884891, 0.118067, 0.162046, 0.23171, 0.346218, 0.53683", \ + "0.0326534, 0.111602, 0.147416, 0.197807, 0.272711, 0.389509, 0.580371", \ + "0.0364289, 0.140607, 0.186515, 0.249286, 0.337372, 0.46577, 0.662696", \ + "0.0379924, 0.177128, 0.238835, 0.321014, 0.432618, 0.587312, 0.809242", \ + "0.0379934, 0.221012, 0.302562, 0.41403, 0.563029, 0.762221, 1.03627", \ + "0.0379944, 0.263837, 0.375794, 0.522621, 0.725145, 0.995523, 1.3521" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00932121, 0.0553099, 0.0886633, 0.14438, 0.237505, 0.392896, 0.651706", \ + "0.022062, 0.0717673, 0.101909, 0.152614, 0.241114, 0.393641, 0.651707", \ + "0.0328356, 0.0898246, 0.121232, 0.170761, 0.254798, 0.40082, 0.653786", \ + "0.0497132, 0.120135, 0.156284, 0.209272, 0.29279, 0.431366, 0.671884", \ + "0.077969, 0.170827, 0.213821, 0.276147, 0.366961, 0.508027, 0.737825", \ + "0.125786, 0.251752, 0.309063, 0.386691, 0.494822, 0.652818, 0.894148", \ + "0.209947, 0.389904, 0.460917, 0.563901, 0.704922, 0.895108, 1.17129" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00668287, 0.0070897, 0.00708564, 0.007019, 0.00684918, 0.0065441, 0.00665622", \ + "0.00668055, 0.00683624, 0.00683242, 0.00686034, 0.00670183, 0.0065284, 0.00635782", \ + "0.00740701, 0.00696208, 0.00704349, 0.00701542, 0.0068458, 0.00639434, 0.00641405", \ + "0.00965454, 0.00803354, 0.00762739, 0.00745755, 0.00700451, 0.0066751, 0.00658944", \ + "0.0150928, 0.0115042, 0.0105367, 0.00959213, 0.00866209, 0.00819772, 0.00715054", \ + "0.0262467, 0.0202163, 0.0183681, 0.0163837, 0.0142661, 0.0118877, 0.0113087", \ + "0.0493691, 0.0403569, 0.0367902, 0.0330772, 0.0288643, 0.0243295, 0.019825" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00306048, 0.00323226, 0.00317758, 0.00311845, 0.00294884, 0.00272383, 0.00231665", \ + "0.00334176, 0.00324776, 0.0031664, 0.00322733, 0.00292809, 0.00278635, 0.00219522", \ + "0.00431011, 0.00361023, 0.00352422, 0.00329989, 0.00317528, 0.00281066, 0.00216328", \ + "0.00679822, 0.00484984, 0.00445958, 0.00411051, 0.00356097, 0.00316384, 0.00262879", \ + "0.0120218, 0.00846389, 0.00746563, 0.00645621, 0.00562131, 0.00473158, 0.00343464", \ + "0.0232299, 0.0173232, 0.0151241, 0.0128171, 0.0104402, 0.00866395, 0.00703847", \ + "0.0459244, 0.0377784, 0.0340744, 0.0297908, 0.0248366, 0.0199326, 0.016032" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00316074, 0.00381645, 0.00383396, 0.00379396, 0.00365141, 0.00332518, 0.0032319", \ + "0.00387016, 0.00360839, 0.0036802, 0.00374161, 0.00347911, 0.00320919, 0.00314322", \ + "0.00500994, 0.00403328, 0.00390753, 0.00389414, 0.00373529, 0.00323614, 0.00308528", \ + "0.00749003, 0.0054777, 0.00490045, 0.00456167, 0.0039862, 0.00346507, 0.00342141", \ + "0.0131481, 0.00953545, 0.00856955, 0.00736673, 0.00621629, 0.00498331, 0.00445066", \ + "0.0238871, 0.0179878, 0.0160859, 0.0139854, 0.0119016, 0.00954894, 0.00811738", \ + "0.0465943, 0.0377412, 0.0343797, 0.0308841, 0.0265219, 0.022117, 0.017269" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0028918, 0.00358547, 0.00367353, 0.00354939, 0.00339714, 0.00315108, 0.00279443", \ + "0.00322889, 0.00347463, 0.00347188, 0.00361404, 0.00339722, 0.0031648, 0.00273754", \ + "0.00425286, 0.00372167, 0.00370983, 0.00355001, 0.0035824, 0.0032278, 0.00275529", \ + "0.00669912, 0.00480134, 0.00453576, 0.00433378, 0.00388449, 0.0036132, 0.00366158", \ + "0.0120119, 0.00814168, 0.00722015, 0.00639327, 0.00570155, 0.00481039, 0.00374678", \ + "0.0229986, 0.0165335, 0.0143916, 0.0123297, 0.0101372, 0.00874653, 0.00691269", \ + "0.0453701, 0.0360517, 0.0322388, 0.0281123, 0.0235212, 0.0191203, 0.0153305" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00318219; + rise_capacitance : 0.00310621; + rise_capacitance_range (0.00310621, 0.00310621); + fall_capacitance : 0.00325817; + fall_capacitance_range (0.00325817, 0.00325817); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00303156; + rise_capacitance : 0.00314188; + rise_capacitance_range (0.00314188, 0.00314188); + fall_capacitance : 0.00292125; + fall_capacitance_range (0.00292125, 0.00292125); + } + } + cell (sg13g2_nor2_2) { + area : 10.8864; + cell_footprint : "nor2"; + cell_leakage_power : 508.933; + leakage_power () { + value : 442.206; + when : "A&!B"; + } + leakage_power () { + value : 375.59; + when : "!A&!B"; + } + leakage_power () { + value : 600.917; + when : "!A&B"; + } + leakage_power () { + value : 617.019; + when : "A&B"; + } + pin (Y) { + direction : "output"; + function : "!(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0281046, 0.0747178, 0.106349, 0.158381, 0.244977, 0.389639, 0.63026", \ + "0.0398226, 0.0956198, 0.128721, 0.18137, 0.268397, 0.413199, 0.654042", \ + "0.0463279, 0.111138, 0.147118, 0.202467, 0.290944, 0.435785, 0.676808", \ + "0.0552041, 0.134748, 0.176151, 0.23784, 0.332144, 0.480665, 0.722083", \ + "0.0714783, 0.171869, 0.221768, 0.293014, 0.400194, 0.561202, 0.812183", \ + "0.0899463, 0.21546, 0.27928, 0.369787, 0.498221, 0.684552, 0.963063", \ + "0.119907, 0.284203, 0.365927, 0.481778, 0.64409, 0.873918, 1.19986" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0167225, 0.0813304, 0.1266, 0.201541, 0.326903, 0.536061, 0.884598", \ + "0.0270513, 0.0883701, 0.131059, 0.203498, 0.327311, 0.536062, 0.884599", \ + "0.0372667, 0.0994316, 0.141514, 0.211364, 0.331697, 0.537204, 0.884685", \ + "0.0557572, 0.120793, 0.163677, 0.232796, 0.349534, 0.547943, 0.888386", \ + "0.0847172, 0.159558, 0.204019, 0.275934, 0.392824, 0.586997, 0.914616", \ + "0.123052, 0.218949, 0.274155, 0.356797, 0.479563, 0.678477, 0.999395", \ + "0.197743, 0.316552, 0.385686, 0.484361, 0.62848, 0.844959, 1.17653" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0175779, 0.0382605, 0.051019, 0.0717672, 0.106347, 0.163848, 0.259595", \ + "0.0314378, 0.0662014, 0.083836, 0.109181, 0.146997, 0.205961, 0.301905", \ + "0.0400146, 0.0840855, 0.106148, 0.136928, 0.180989, 0.245566, 0.344805", \ + "0.0480497, 0.105126, 0.133859, 0.173852, 0.228911, 0.306176, 0.417725", \ + "0.0577086, 0.13278, 0.170041, 0.223046, 0.295518, 0.393741, 0.530672", \ + "0.0666014, 0.167091, 0.216603, 0.284979, 0.381761, 0.5144, 0.690571", \ + "0.0708673, 0.204505, 0.271487, 0.362687, 0.488251, 0.663856, 0.903182" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112069, 0.0340493, 0.0504977, 0.0781312, 0.125047, 0.202923, 0.33313", \ + "0.0245446, 0.051623, 0.0674411, 0.0926135, 0.134903, 0.20804, 0.334882", \ + "0.0352323, 0.0671151, 0.0850118, 0.111636, 0.153672, 0.223557, 0.344211", \ + "0.0522433, 0.0935289, 0.114465, 0.145661, 0.191026, 0.262031, 0.378004", \ + "0.0798179, 0.134588, 0.162199, 0.200185, 0.254829, 0.334218, 0.454688", \ + "0.127138, 0.203569, 0.239058, 0.288652, 0.358429, 0.454881, 0.593959", \ + "0.209836, 0.322315, 0.369568, 0.434398, 0.524706, 0.649287, 0.821359" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0224335, 0.0699113, 0.101676, 0.153864, 0.240667, 0.385318, 0.625898", \ + "0.0365223, 0.0982495, 0.132135, 0.184915, 0.271813, 0.41651, 0.657357", \ + "0.0461288, 0.119784, 0.158283, 0.215233, 0.303952, 0.448418, 0.689228", \ + "0.0598452, 0.151072, 0.197922, 0.264616, 0.362663, 0.512798, 0.753428", \ + "0.0828668, 0.198454, 0.255608, 0.337028, 0.454915, 0.624335, 0.879705", \ + "0.111477, 0.257236, 0.331722, 0.436771, 0.584905, 0.791916, 1.09054", \ + "0.164947, 0.352548, 0.448279, 0.582036, 0.770309, 1.0326, 1.39943" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0177239, 0.081832, 0.126929, 0.201668, 0.326937, 0.536021, 0.884539", \ + "0.0303274, 0.0932548, 0.134197, 0.204858, 0.327436, 0.536317, 0.88454", \ + "0.0398011, 0.108804, 0.150253, 0.217569, 0.334629, 0.537887, 0.886131", \ + "0.055749, 0.135486, 0.180428, 0.249884, 0.362698, 0.555542, 0.890477", \ + "0.0826704, 0.178166, 0.229968, 0.308148, 0.426607, 0.616592, 0.933046", \ + "0.12092, 0.247561, 0.314018, 0.407778, 0.541394, 0.743582, 1.05962", \ + "0.197558, 0.358847, 0.441495, 0.558879, 0.724324, 0.955022, 1.30444" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014442, 0.0359183, 0.0486409, 0.0693596, 0.103953, 0.161573, 0.257629", \ + "0.0234282, 0.0620371, 0.0803739, 0.106234, 0.144472, 0.20368, 0.299899", \ + "0.0282126, 0.0781214, 0.101467, 0.133124, 0.177936, 0.243053, 0.342682", \ + "0.0304684, 0.0964127, 0.12706, 0.168494, 0.224693, 0.302986, 0.415279", \ + "0.0304694, 0.119007, 0.159083, 0.214311, 0.289147, 0.389293, 0.527497", \ + "0.0304704, 0.141999, 0.196632, 0.269931, 0.370386, 0.505729, 0.685464", \ + "0.0304714, 0.155164, 0.231107, 0.331686, 0.465572, 0.64689, 0.891948" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00799132, 0.0307283, 0.0471019, 0.0747805, 0.121706, 0.19992, 0.330389", \ + "0.0198899, 0.0481625, 0.0641291, 0.0894263, 0.131752, 0.204899, 0.331784", \ + "0.0300628, 0.0637101, 0.0814944, 0.108239, 0.150483, 0.220708, 0.341487", \ + "0.0461946, 0.0892223, 0.111, 0.142059, 0.188161, 0.258986, 0.375357", \ + "0.072679, 0.130354, 0.158591, 0.197442, 0.251428, 0.330982, 0.451659", \ + "0.118196, 0.200673, 0.235958, 0.286117, 0.356892, 0.452091, 0.591336", \ + "0.200071, 0.318384, 0.368161, 0.431946, 0.523658, 0.650191, 0.821294" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0135289, 0.0143084, 0.0144261, 0.0144776, 0.0143621, 0.0141061, 0.0136127", \ + "0.013538, 0.0136665, 0.0139105, 0.0139668, 0.0139086, 0.0137381, 0.0133551", \ + "0.0149845, 0.0142455, 0.0140752, 0.0141933, 0.0140605, 0.0138875, 0.0133313", \ + "0.0194818, 0.0169379, 0.0163507, 0.015658, 0.0151524, 0.0143421, 0.0136799", \ + "0.0304516, 0.0252763, 0.023517, 0.0217091, 0.0198459, 0.017827, 0.0169181", \ + "0.0529381, 0.0448608, 0.0415593, 0.0379536, 0.0336835, 0.02977, 0.0253828", \ + "0.0991424, 0.0879029, 0.0826456, 0.0762191, 0.068452, 0.0605463, 0.0517618" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00619196, 0.00658496, 0.00663849, 0.00651132, 0.00646856, 0.00613674, 0.00569774", \ + "0.00675651, 0.00657261, 0.00656632, 0.00647104, 0.00638341, 0.00605321, 0.00620683", \ + "0.00880131, 0.00759922, 0.00733948, 0.00716938, 0.00672692, 0.00630307, 0.00604336", \ + "0.0138425, 0.010909, 0.00998446, 0.00928053, 0.00852176, 0.00775525, 0.00652117", \ + "0.024323, 0.0196472, 0.0176121, 0.0156214, 0.0134999, 0.0117163, 0.0101487", \ + "0.0466843, 0.0392868, 0.0359596, 0.0319093, 0.0272431, 0.0226403, 0.0187216", \ + "0.0923094, 0.0821273, 0.0774997, 0.0707691, 0.0626065, 0.052932, 0.0435691" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00644519, 0.00767419, 0.00789945, 0.00796404, 0.00787765, 0.00774496, 0.0071019", \ + "0.00808741, 0.00744194, 0.00748572, 0.00750597, 0.00742331, 0.00736983, 0.00689553", \ + "0.0104193, 0.00884601, 0.0083846, 0.00818994, 0.00788443, 0.0074382, 0.00737169", \ + "0.0155853, 0.0124575, 0.01157, 0.0105226, 0.00968107, 0.00852611, 0.00807992", \ + "0.0265444, 0.0212485, 0.0194429, 0.0181583, 0.0158672, 0.0133258, 0.0115314", \ + "0.0487187, 0.0406487, 0.0374116, 0.0340111, 0.0298232, 0.0258042, 0.0204146", \ + "0.0941124, 0.0827141, 0.0776443, 0.0715007, 0.0644303, 0.0560094, 0.0473578" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00453811, 0.00598328, 0.00619419, 0.00613733, 0.00613571, 0.00590246, 0.00546725", \ + "0.00557014, 0.00568112, 0.00590646, 0.00592311, 0.00606507, 0.00594538, 0.00572318", \ + "0.00775206, 0.00655842, 0.00648315, 0.00646778, 0.00626648, 0.00611596, 0.00615319", \ + "0.0128442, 0.00957521, 0.00893999, 0.0082807, 0.00784152, 0.00702593, 0.00613321", \ + "0.0235509, 0.0181231, 0.0159522, 0.014171, 0.0121784, 0.0107612, 0.00947358", \ + "0.0457123, 0.0370659, 0.0333715, 0.0293565, 0.0252282, 0.0205423, 0.0171655", \ + "0.0904159, 0.0788874, 0.0733761, 0.0662964, 0.0580766, 0.0487902, 0.0405258" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00614047; + rise_capacitance : 0.00601455; + rise_capacitance_range (0.00601455, 0.00601455); + fall_capacitance : 0.00626639; + fall_capacitance_range (0.00626639, 0.00626639); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00586784; + rise_capacitance : 0.00611191; + rise_capacitance_range (0.00611191, 0.00611191); + fall_capacitance : 0.00562376; + fall_capacitance_range (0.00562376, 0.00562376); + } + } + cell (sg13g2_nor2b_1) { + area : 9.072; + cell_footprint : "nor2b"; + cell_leakage_power : 377.063; + leakage_power () { + value : 289.51; + when : "!A*!B_N"; + } + leakage_power () { + value : 364.64; + when : "!A*B_N"; + } + leakage_power () { + value : 376.857; + when : "A*!B_N"; + } + leakage_power () { + value : 477.245; + when : "A*B_N"; + } + pin (Y) { + direction : "output"; + function : "!(A+!B_N)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0253993, 0.117585, 0.180111, 0.28317, 0.455723, 0.742762, 1.22129", \ + "0.0412267, 0.148662, 0.211384, 0.314417, 0.486756, 0.774306, 1.25249", \ + "0.0519029, 0.176269, 0.242648, 0.34668, 0.518804, 0.805627, 1.28408", \ + "0.0672291, 0.219473, 0.295627, 0.408196, 0.583622, 0.870206, 1.34852", \ + "0.0922099, 0.28166, 0.374957, 0.506968, 0.701429, 0.998533, 1.47678", \ + "0.122974, 0.365653, 0.484478, 0.64899, 0.884719, 1.22397, 1.73198", \ + "0.179846, 0.491316, 0.642054, 0.853317, 1.14796, 1.56211, 2.15469" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0210044, 0.149052, 0.238894, 0.387551, 0.63658, 1.05141, 1.74306", \ + "0.0349507, 0.154956, 0.240975, 0.389357, 0.636581, 1.05145, 1.74307", \ + "0.0446019, 0.170311, 0.251911, 0.392832, 0.638081, 1.05146, 1.74308", \ + "0.0620151, 0.201902, 0.283762, 0.417571, 0.65053, 1.05712, 1.74324", \ + "0.0904571, 0.254756, 0.344708, 0.483132, 0.706412, 1.08886, 1.75421", \ + "0.130254, 0.343939, 0.4487, 0.601857, 0.837143, 1.21019, 1.83935", \ + "0.2105, 0.477035, 0.61166, 0.797555, 1.06052, 1.46264, 2.09224" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0160375, 0.0548696, 0.0797407, 0.120754, 0.189478, 0.303902, 0.494681", \ + "0.026646, 0.0885303, 0.118091, 0.162059, 0.231756, 0.346205, 0.536852", \ + "0.0326593, 0.111612, 0.147421, 0.197817, 0.2727, 0.389521, 0.580419", \ + "0.0363926, 0.140614, 0.186521, 0.249298, 0.33739, 0.465798, 0.662777", \ + "0.0378721, 0.177129, 0.238841, 0.321027, 0.432612, 0.587342, 0.809349", \ + "0.0378731, 0.221006, 0.302565, 0.413706, 0.563051, 0.762253, 1.03632", \ + "0.0378741, 0.263812, 0.375777, 0.522945, 0.725161, 0.99555, 1.35229" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00931385, 0.05532, 0.088696, 0.144395, 0.237528, 0.392911, 0.651666", \ + "0.0220733, 0.0717908, 0.101913, 0.152644, 0.241173, 0.393478, 0.651724", \ + "0.032808, 0.0898073, 0.121226, 0.170843, 0.254795, 0.400933, 0.65384", \ + "0.0496383, 0.120257, 0.156294, 0.209287, 0.292811, 0.431402, 0.671563", \ + "0.0779757, 0.170836, 0.213832, 0.276162, 0.366561, 0.508062, 0.737841", \ + "0.126255, 0.251769, 0.309081, 0.387085, 0.494849, 0.652842, 0.894229", \ + "0.210137, 0.389938, 0.460958, 0.563139, 0.704967, 0.895154, 1.17302" \ + ); + } + } + timing () { + related_pin : "B_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0506066, 0.141953, 0.204183, 0.307119, 0.479589, 0.766359, 1.24508", \ + "0.0710635, 0.162528, 0.224953, 0.328057, 0.500351, 0.787574, 1.26567", \ + "0.0843718, 0.176179, 0.238736, 0.341765, 0.514135, 0.801427, 1.27986", \ + "0.0999651, 0.19259, 0.255228, 0.358244, 0.530643, 0.817622, 1.29616", \ + "0.121824, 0.216549, 0.27911, 0.382172, 0.55453, 0.841629, 1.31972", \ + "0.150047, 0.249667, 0.312086, 0.415984, 0.588133, 0.875087, 1.35385", \ + "0.185224, 0.293549, 0.357072, 0.460821, 0.635093, 0.922394, 1.40111" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0203776, 0.149057, 0.238906, 0.38755, 0.636581, 1.05139, 1.74306", \ + "0.021617, 0.149058, 0.238907, 0.387831, 0.636582, 1.05169, 1.74307", \ + "0.0231333, 0.149114, 0.238956, 0.387832, 0.636583, 1.05172, 1.74308", \ + "0.0261083, 0.149275, 0.239067, 0.387833, 0.636584, 1.05173, 1.7458", \ + "0.0310732, 0.150302, 0.239562, 0.388057, 0.636585, 1.05174, 1.74581", \ + "0.039154, 0.153851, 0.241634, 0.389423, 0.637691, 1.05198, 1.74582", \ + "0.052294, 0.162852, 0.248178, 0.394088, 0.641475, 1.05454, 1.74583" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0419817, 0.0810803, 0.106272, 0.147685, 0.216945, 0.332208, 0.523988", \ + "0.0645109, 0.104826, 0.130174, 0.171693, 0.240894, 0.356085, 0.548113", \ + "0.0779973, 0.119106, 0.144235, 0.185715, 0.254919, 0.369943, 0.561829", \ + "0.0963754, 0.139779, 0.164895, 0.206134, 0.274913, 0.390011, 0.581959", \ + "0.121708, 0.169519, 0.195438, 0.23669, 0.305119, 0.42021, 0.611539", \ + "0.14683, 0.20168, 0.229123, 0.271832, 0.341865, 0.456633, 0.648261", \ + "0.184507, 0.251014, 0.282317, 0.32787, 0.401195, 0.520304, 0.716023" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134829, 0.0608784, 0.0944015, 0.150257, 0.24405, 0.400375, 0.660811", \ + "0.0161462, 0.0617418, 0.0949141, 0.150575, 0.244354, 0.400803, 0.661215", \ + "0.018486, 0.0627466, 0.0956951, 0.151079, 0.244492, 0.400804, 0.661323", \ + "0.0229651, 0.0648393, 0.097051, 0.151833, 0.24508, 0.400896, 0.661324", \ + "0.0298082, 0.0703195, 0.100788, 0.153955, 0.246392, 0.401804, 0.661682", \ + "0.038872, 0.079962, 0.10926, 0.161511, 0.252501, 0.406316, 0.664228", \ + "0.054421, 0.098922, 0.127106, 0.176847, 0.267012, 0.420596, 0.676445" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00315042, 0.0038082, 0.0038387, 0.0037928, 0.00365253, 0.00333226, 0.00285211", \ + "0.00387177, 0.00364225, 0.00362585, 0.00371858, 0.0034799, 0.00320793, 0.00283003", \ + "0.00501247, 0.00403387, 0.00399988, 0.00369044, 0.0035741, 0.00322101, 0.00278558", \ + "0.00748827, 0.00547439, 0.00490465, 0.00465814, 0.00397677, 0.00370875, 0.00299204", \ + "0.0131467, 0.0095281, 0.00859024, 0.00735327, 0.00621302, 0.0050647, 0.00390857", \ + "0.0238901, 0.017985, 0.016089, 0.0139808, 0.0119628, 0.00950193, 0.00852535", \ + "0.0465787, 0.0376647, 0.0343705, 0.0308721, 0.0265132, 0.0221255, 0.0170872" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00288908, 0.00358803, 0.00360268, 0.00355137, 0.00340008, 0.00316501, 0.00269311", \ + "0.00323316, 0.00345275, 0.00345475, 0.00361561, 0.0033957, 0.00312103, 0.00272557", \ + "0.00425655, 0.00371904, 0.00373767, 0.00357292, 0.00357647, 0.00321392, 0.00275753", \ + "0.00670841, 0.00483031, 0.00453719, 0.00433635, 0.00384535, 0.0035497, 0.00306649", \ + "0.0120027, 0.00814294, 0.00722118, 0.00640051, 0.00567091, 0.00485539, 0.00373613", \ + "0.0229682, 0.0165329, 0.0143914, 0.0123262, 0.0101385, 0.00875503, 0.00698227", \ + "0.0453342, 0.0360478, 0.0322345, 0.0280985, 0.0235179, 0.0191063, 0.0154203" \ + ); + } + } + internal_power () { + related_pin : "B_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0071288, 0.0074717, 0.0074504, 0.0073607, 0.0071895, 0.0068434, 0.0065551", \ + "0.00699802, 0.00740662, 0.00733552, 0.00731482, 0.00710662, 0.00682912, 0.00659322", \ + "0.00695349, 0.00723259, 0.00735259, 0.00724059, 0.00713819, 0.00680059, 0.00657089", \ + "0.00699587, 0.00725317, 0.00724867, 0.00725507, 0.00716567, 0.00717097, 0.00693817", \ + "0.0071134, 0.0071547, 0.0072055, 0.0072362, 0.0071229, 0.0075059, 0.0065769", \ + "0.0076262, 0.0071784, 0.0070968, 0.0071443, 0.0071419, 0.0068168, 0.0072294", \ + "0.009231, 0.0076014, 0.0074418, 0.0072268, 0.0071765, 0.0070727, 0.0069936" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00372201, 0.00396728, 0.00390263, 0.00377933, 0.00365939, 0.00340145, 0.00284553", \ + "0.0035841, 0.00379765, 0.00378384, 0.00394981, 0.00382309, 0.00337837, 0.00286641", \ + "0.00365823, 0.00381743, 0.00373503, 0.00354843, 0.00359773, 0.00309903, 0.00272546", \ + "0.0038474, 0.0037959, 0.0038444, 0.00379, 0.0032221, 0.0031888, 0.002861", \ + "0.0040411, 0.0035711, 0.0035299, 0.0033627, 0.0032438, 0.0029698, 0.0017188", \ + "0.0051989, 0.0040119, 0.0037917, 0.0036551, 0.0033356, 0.0030861, 0.0028883", \ + "0.006627, 0.0043954, 0.0040948, 0.0035009, 0.0030456, 0.0025464, 0.0022296" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0030334; + rise_capacitance : 0.00314388; + rise_capacitance_range (0.00314388, 0.00314388); + fall_capacitance : 0.00292291; + fall_capacitance_range (0.00292291, 0.00292291); + } + pin (B_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00240132; + rise_capacitance : 0.00244568; + rise_capacitance_range (0.00244568, 0.00244568); + fall_capacitance : 0.00235696; + fall_capacitance_range (0.00235696, 0.00235696); + internal_power () { + when : "A"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0061285, 0.00633718, 0.00710931, 0.00904853, 0.0131838, 0.0222113, 0.0407301" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0056263, 0.00606039, 0.00687477, 0.0088298, 0.0134102, 0.0221245, 0.0410885" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0061285, 0.00633718, 0.00710931, 0.00904853, 0.0131838, 0.0222113, 0.0407301" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0056263, 0.00606039, 0.00687477, 0.0088298, 0.0134102, 0.0221245, 0.0410885" \ + ); + } + } + } + } + cell (sg13g2_nor2b_2) { + area : 12.7008; + cell_footprint : "nor2b"; + cell_leakage_power : 644.35; + leakage_power () { + value : 514.859; + when : "!A*!B_N"; + } + leakage_power () { + value : 581.068; + when : "!A*B_N"; + } + leakage_power () { + value : 680.265; + when : "A*!B_N"; + } + leakage_power () { + value : 801.207; + when : "A*B_N"; + } + pin (Y) { + direction : "output"; + function : "!(A+!B_N)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0221889, 0.116894, 0.179409, 0.282409, 0.454903, 0.741917, 1.22005", \ + "0.0361145, 0.147864, 0.21063, 0.313649, 0.486008, 0.772846, 1.25132", \ + "0.0454781, 0.175426, 0.241944, 0.346019, 0.518143, 0.80502, 1.28324", \ + "0.0587171, 0.218349, 0.294569, 0.407266, 0.582802, 0.869317, 1.34741", \ + "0.0806054, 0.279645, 0.373258, 0.505704, 0.700141, 0.997499, 1.47549", \ + "0.107341, 0.362187, 0.48137, 0.646534, 0.882331, 1.22209, 1.73053", \ + "0.156926, 0.4837, 0.636653, 0.847288, 1.14322, 1.56041, 2.1525" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0175509, 0.14844, 0.238344, 0.387123, 0.636289, 1.05152, 1.7439", \ + "0.0303145, 0.154314, 0.240434, 0.389067, 0.63629, 1.05153, 1.74391", \ + "0.039827, 0.169618, 0.251388, 0.392407, 0.637077, 1.05154, 1.74392", \ + "0.0558361, 0.201333, 0.283193, 0.41716, 0.650274, 1.05658, 1.74393", \ + "0.0829969, 0.254293, 0.34419, 0.482564, 0.706178, 1.08892, 1.75495", \ + "0.120558, 0.343787, 0.448747, 0.602782, 0.836433, 1.21033, 1.83998", \ + "0.198745, 0.477784, 0.612533, 0.797876, 1.06244, 1.46637, 2.09299" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.01467, 0.0566989, 0.0827691, 0.125759, 0.197885, 0.3178, 0.517758", \ + "0.0239529, 0.0907276, 0.121383, 0.167153, 0.239965, 0.359873, 0.559676", \ + "0.0290986, 0.11422, 0.151272, 0.203433, 0.281229, 0.403277, 0.603183", \ + "0.0319211, 0.144048, 0.191279, 0.256033, 0.34702, 0.480221, 0.685594", \ + "0.0326793, 0.181945, 0.245312, 0.329302, 0.444447, 0.604012, 0.833995", \ + "0.0326803, 0.228152, 0.311629, 0.425684, 0.578842, 0.783248, 1.0656", \ + "0.0326813, 0.27629, 0.389599, 0.540191, 0.746925, 1.02406, 1.39" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00814393, 0.0575609, 0.0926131, 0.151194, 0.248846, 0.411513, 0.6831", \ + "0.0201127, 0.0738248, 0.105476, 0.158798, 0.251932, 0.412145, 0.683101", \ + "0.0303531, 0.0920306, 0.12475, 0.176689, 0.264974, 0.419049, 0.687942", \ + "0.0463923, 0.122749, 0.160121, 0.215052, 0.30288, 0.448319, 0.701389", \ + "0.0730324, 0.173812, 0.218231, 0.282706, 0.377288, 0.524858, 0.765842", \ + "0.118612, 0.25501, 0.314326, 0.394515, 0.507215, 0.669927, 0.923199", \ + "0.200628, 0.392725, 0.467285, 0.573016, 0.71873, 0.91577, 1.20103" \ + ); + } + } + timing () { + related_pin : "B_N"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0552863, 0.149542, 0.21193, 0.314992, 0.487237, 0.774298, 1.25264", \ + "0.079669, 0.174303, 0.236827, 0.339934, 0.512387, 0.799249, 1.27724", \ + "0.0956099, 0.190954, 0.253435, 0.35663, 0.528942, 0.815817, 1.29465", \ + "0.114977, 0.211999, 0.274377, 0.377402, 0.54974, 0.836796, 1.31513", \ + "0.142533, 0.242044, 0.30404, 0.406979, 0.579256, 0.866213, 1.34445", \ + "0.17931, 0.284571, 0.346747, 0.449943, 0.622059, 0.908929, 1.38726", \ + "0.226815, 0.341535, 0.405239, 0.5086, 0.681335, 0.968967, 1.44685" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0177456, 0.148367, 0.238279, 0.387143, 0.636259, 1.05175, 1.74353", \ + "0.0197342, 0.148457, 0.238365, 0.387509, 0.63626, 1.05176, 1.74354", \ + "0.0218772, 0.148549, 0.238366, 0.38751, 0.636261, 1.05177, 1.74355", \ + "0.0254778, 0.148979, 0.238601, 0.387511, 0.636262, 1.05178, 1.75361", \ + "0.0310201, 0.150356, 0.239043, 0.387512, 0.636263, 1.05179, 1.75362", \ + "0.04086, 0.154546, 0.241361, 0.388796, 0.637172, 1.0518, 1.75363", \ + "0.056227, 0.16519, 0.24906, 0.3934, 0.640817, 1.05453, 1.75364" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0495016, 0.0928662, 0.118874, 0.161421, 0.232256, 0.350241, 0.546639", \ + "0.0756639, 0.120278, 0.146346, 0.18884, 0.2597, 0.377676, 0.573987", \ + "0.0920413, 0.138033, 0.164138, 0.206686, 0.27758, 0.395432, 0.592073", \ + "0.114729, 0.163027, 0.188972, 0.231027, 0.301767, 0.419394, 0.615614", \ + "0.145575, 0.199204, 0.225839, 0.267971, 0.338142, 0.455237, 0.651212", \ + "0.177225, 0.238712, 0.267449, 0.311031, 0.382071, 0.500384, 0.696466", \ + "0.223956, 0.297248, 0.329901, 0.377239, 0.450874, 0.573082, 0.771963" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0149055, 0.0639805, 0.0981038, 0.15502, 0.250992, 0.410978, 0.67761", \ + "0.017786, 0.0651275, 0.0986752, 0.15534, 0.251079, 0.411494, 0.677611", \ + "0.0206497, 0.0665825, 0.0996034, 0.155947, 0.251422, 0.411495, 0.67827", \ + "0.0255477, 0.0694821, 0.101577, 0.157123, 0.252082, 0.411536, 0.678271", \ + "0.0333529, 0.0763266, 0.106389, 0.159793, 0.253503, 0.412521, 0.678527", \ + "0.043596, 0.088413, 0.116845, 0.168018, 0.2596, 0.416465, 0.68063", \ + "0.061134, 0.109616, 0.136995, 0.186309, 0.275128, 0.430959, 0.692527" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00631423, 0.00775034, 0.00782184, 0.00771881, 0.00741768, 0.00676957, 0.0059906", \ + "0.00794359, 0.0074474, 0.00738636, 0.00762001, 0.00710629, 0.00644717, 0.00572195", \ + "0.0103192, 0.00814366, 0.00788074, 0.00766914, 0.0071933, 0.00662215, 0.00566897", \ + "0.0153434, 0.0110175, 0.00985919, 0.00920616, 0.00805932, 0.00745055, 0.00595192", \ + "0.02665, 0.0189525, 0.0170187, 0.0146451, 0.0123419, 0.0100128, 0.00793812", \ + "0.0479632, 0.0355834, 0.0317646, 0.0276765, 0.0233766, 0.0185806, 0.0156385", \ + "0.0928507, 0.0742932, 0.068206, 0.0606316, 0.0520418, 0.0438061, 0.0337756" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00456779, 0.00622178, 0.0062089, 0.00623299, 0.00591684, 0.00543293, 0.00453348", \ + "0.00556756, 0.00597562, 0.00599502, 0.0063597, 0.00583116, 0.00525403, 0.00435311", \ + "0.0077344, 0.00645329, 0.00646163, 0.00623405, 0.0062312, 0.00581065, 0.00591042", \ + "0.0127409, 0.0085939, 0.00804533, 0.00758331, 0.00678563, 0.00621137, 0.00486771", \ + "0.0233687, 0.0151506, 0.013371, 0.0116413, 0.0103084, 0.00856283, 0.00637782", \ + "0.0451735, 0.0316028, 0.027304, 0.0233592, 0.0190932, 0.0159428, 0.0129537", \ + "0.0893306, 0.0699825, 0.062356, 0.054233, 0.0450905, 0.0366703, 0.029144" \ + ); + } + } + internal_power () { + related_pin : "B_N"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0135685, 0.0144149, 0.0144138, 0.0142646, 0.0139293, 0.0133278, 0.0127028", \ + "0.0133565, 0.0141452, 0.0141864, 0.0141635, 0.0138351, 0.0132279, 0.0125194", \ + "0.0133942, 0.0139516, 0.0142545, 0.014042, 0.0140417, 0.013219, 0.0127401", \ + "0.0135655, 0.013996, 0.0139313, 0.0140401, 0.0136786, 0.0140415, 0.0149552", \ + "0.0141985, 0.0139971, 0.0140877, 0.0141373, 0.0137796, 0.0148478, 0.0126893", \ + "0.0159414, 0.0142815, 0.0141807, 0.0140482, 0.0141443, 0.0135813, 0.0142988", \ + "0.0198367, 0.0151253, 0.0148357, 0.0144054, 0.0140859, 0.0141953, 0.0137295" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00682406, 0.00736966, 0.00730486, 0.00716256, 0.00678806, 0.00635356, 0.00541396", \ + "0.006818, 0.0070562, 0.0071093, 0.0073577, 0.0067132, 0.0064855, 0.0051453", \ + "0.0071455, 0.0072123, 0.007097, 0.0069685, 0.0075525, 0.0061417, 0.0055006", \ + "0.0077566, 0.0073083, 0.007128, 0.0069406, 0.0062761, 0.0060173, 0.0043688", \ + "0.0087333, 0.0071281, 0.0072095, 0.0069163, 0.0065143, 0.005572, 0.0036871", \ + "0.0114416, 0.0081512, 0.007796, 0.0074206, 0.0070852, 0.0070065, 0.0067812", \ + "0.015694, 0.0093162, 0.0085069, 0.0078187, 0.0066162, 0.0064344, 0.0057993" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00588356; + rise_capacitance : 0.00611164; + rise_capacitance_range (0.00611164, 0.00611164); + fall_capacitance : 0.00565548; + fall_capacitance_range (0.00565548, 0.00565548); + } + pin (B_N) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00282931; + rise_capacitance : 0.00288514; + rise_capacitance_range (0.00288514, 0.00288514); + fall_capacitance : 0.00277347; + fall_capacitance_range (0.00277347, 0.00277347); + internal_power () { + when : "A"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0111035, 0.0112386, 0.0119955, 0.014112, 0.018761, 0.0292327, 0.0512056" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00968334, 0.0100302, 0.0109006, 0.0130399, 0.018405, 0.0284101, 0.0508636" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0111035, 0.0112386, 0.0119955, 0.014112, 0.018761, 0.0292327, 0.0512056" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00968334, 0.0100302, 0.0109006, 0.0130399, 0.018405, 0.0284101, 0.0508636" \ + ); + } + } + } + } + cell (sg13g2_nor3_1) { + area : 9.072; + cell_footprint : "nor3"; + cell_leakage_power : 326.059; + leakage_power () { + value : 229.895; + when : "A&!B&!C"; + } + leakage_power () { + value : 286.476; + when : "!A&!B&!C"; + } + leakage_power () { + value : 286.828; + when : "!A&B&!C"; + } + leakage_power () { + value : 366.04; + when : "!A&!B&C"; + } + leakage_power () { + value : 319.138; + when : "A&B&!C"; + } + leakage_power () { + value : 460.243; + when : "B&C"; + } + leakage_power () { + value : 333.795; + when : "A&!B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0527875, 0.190002, 0.28398, 0.439193, 0.698744, 1.13182, 1.85161", \ + "0.0663687, 0.207093, 0.301455, 0.457023, 0.717546, 1.14964, 1.87102", \ + "0.0735004, 0.22121, 0.316105, 0.471576, 0.731975, 1.16536, 1.88564", \ + "0.0839786, 0.24523, 0.343275, 0.500569, 0.760619, 1.19344, 1.91427", \ + "0.104174, 0.287632, 0.39213, 0.556087, 0.820244, 1.25314, 1.97314", \ + "0.131498, 0.351745, 0.46887, 0.648364, 0.927092, 1.36993, 2.09245", \ + "0.180079, 0.456586, 0.598528, 0.804609, 1.1118, 1.58592, 2.3312" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0388196, 0.231348, 0.365451, 0.587162, 0.95756, 1.57638, 2.60766", \ + "0.0455349, 0.232291, 0.36668, 0.587163, 0.958235, 1.57689, 2.60834", \ + "0.0530495, 0.237216, 0.367995, 0.588827, 0.958633, 1.57691, 2.60835", \ + "0.0712634, 0.252254, 0.38021, 0.594511, 0.960249, 1.57692, 2.60836", \ + "0.105693, 0.285784, 0.411474, 0.621855, 0.977607, 1.58389, 2.61614", \ + "0.151675, 0.35326, 0.478368, 0.689937, 1.03895, 1.6269, 2.62957", \ + "0.235423, 0.468552, 0.606856, 0.820633, 1.17173, 1.7553, 2.72773" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0209412, 0.058359, 0.0825323, 0.122164, 0.188258, 0.298153, 0.481319", \ + "0.0391278, 0.0932853, 0.121313, 0.163467, 0.230411, 0.340236, 0.523162", \ + "0.049726, 0.117842, 0.151502, 0.199517, 0.271377, 0.383607, 0.56676", \ + "0.0601889, 0.14913, 0.19194, 0.251465, 0.335891, 0.459451, 0.648937", \ + "0.0718466, 0.18895, 0.246216, 0.323844, 0.430798, 0.579799, 0.794149", \ + "0.0816773, 0.236904, 0.312662, 0.417651, 0.560841, 0.75228, 1.01781", \ + "0.0832625, 0.290903, 0.391397, 0.528611, 0.720626, 0.980381, 1.32683" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0155262, 0.0605582, 0.0926546, 0.146042, 0.235323, 0.384377, 0.632484", \ + "0.0308546, 0.0766459, 0.105578, 0.154329, 0.239307, 0.385049, 0.632664", \ + "0.0431348, 0.0953215, 0.124768, 0.172432, 0.252926, 0.392959, 0.634933", \ + "0.0638417, 0.126483, 0.161075, 0.210788, 0.291098, 0.423708, 0.653489", \ + "0.0987776, 0.179519, 0.219376, 0.278459, 0.365526, 0.50086, 0.721009", \ + "0.157979, 0.265031, 0.316589, 0.389722, 0.493895, 0.644757, 0.879169", \ + "0.259591, 0.412569, 0.478501, 0.571597, 0.704355, 0.889432, 1.155" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0494465, 0.186672, 0.280734, 0.435845, 0.69542, 1.12786, 1.84851", \ + "0.0645127, 0.208032, 0.302458, 0.457925, 0.717924, 1.15059, 1.8715", \ + "0.0743707, 0.228837, 0.324075, 0.479641, 0.739896, 1.17355, 1.89344", \ + "0.0923322, 0.265909, 0.365688, 0.523944, 0.783855, 1.21638, 1.93734", \ + "0.125002, 0.327682, 0.43891, 0.607342, 0.874172, 1.30776, 2.02728", \ + "0.167558, 0.420615, 0.55182, 0.742438, 1.0325, 1.48334, 2.20835", \ + "0.246385, 0.568797, 0.730826, 0.961131, 1.29311, 1.79245, 2.55748" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0388639, 0.231333, 0.365475, 0.586866, 0.958329, 1.57616, 2.60666", \ + "0.0497425, 0.232879, 0.366584, 0.586867, 0.958416, 1.57691, 2.60802", \ + "0.0599204, 0.240032, 0.369302, 0.591346, 0.958417, 1.57692, 2.60803", \ + "0.0793928, 0.261086, 0.385837, 0.597144, 0.961004, 1.57693, 2.60804", \ + "0.11079, 0.302414, 0.427262, 0.634085, 0.983952, 1.58607, 2.60805", \ + "0.155852, 0.380749, 0.511997, 0.720232, 1.06218, 1.64291, 2.63553", \ + "0.237336, 0.508086, 0.656382, 0.881145, 1.23064, 1.80608, 2.76673" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0205631, 0.0571242, 0.081172, 0.120803, 0.187098, 0.2975, 0.481667", \ + "0.0362298, 0.0915454, 0.119759, 0.162098, 0.229318, 0.339712, 0.523628", \ + "0.0450301, 0.115412, 0.149487, 0.197865, 0.270179, 0.383002, 0.567134", \ + "0.052052, 0.145198, 0.188832, 0.249208, 0.334346, 0.45874, 0.649203", \ + "0.0573351, 0.182268, 0.241055, 0.320259, 0.428373, 0.578683, 0.794323", \ + "0.0573361, 0.224492, 0.302764, 0.410699, 0.556009, 0.749907, 1.01742", \ + "0.0573371, 0.26184, 0.369439, 0.512398, 0.710159, 0.975261, 1.32494" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0133167, 0.058098, 0.0903101, 0.143971, 0.233902, 0.384098, 0.633494", \ + "0.0277093, 0.0742557, 0.103443, 0.152451, 0.237668, 0.384694, 0.633518", \ + "0.0394042, 0.092459, 0.122516, 0.170542, 0.251581, 0.392373, 0.636241", \ + "0.059159, 0.123504, 0.158045, 0.208932, 0.289914, 0.423187, 0.654582", \ + "0.091779, 0.175229, 0.216448, 0.27642, 0.363988, 0.500219, 0.721817", \ + "0.145979, 0.25989, 0.313264, 0.387332, 0.491748, 0.644227, 0.880021", \ + "0.239502, 0.40561, 0.473108, 0.569157, 0.703117, 0.888444, 1.15658" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.038145, 0.176623, 0.27076, 0.426032, 0.685714, 1.1184, 1.83887", \ + "0.0580373, 0.203208, 0.297206, 0.452753, 0.713331, 1.1459, 1.86687", \ + "0.072067, 0.23149, 0.326305, 0.481065, 0.740931, 1.17309, 1.89403", \ + "0.0947458, 0.28013, 0.382115, 0.540351, 0.799092, 1.23061, 1.95098", \ + "0.132448, 0.354732, 0.472937, 0.646883, 0.915829, 1.34722, 2.06433", \ + "0.182417, 0.463006, 0.607328, 0.812228, 1.11848, 1.5788, 2.30408", \ + "0.27741, 0.63372, 0.810878, 1.06377, 1.42812, 1.96084, 2.75137" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0388209, 0.231323, 0.365297, 0.58705, 0.957854, 1.5763, 2.60666", \ + "0.0520972, 0.233478, 0.367728, 0.587051, 0.958265, 1.57631, 2.60834", \ + "0.0611987, 0.244154, 0.371146, 0.591725, 0.958266, 1.57632, 2.60835", \ + "0.0790301, 0.272765, 0.395305, 0.602423, 0.962135, 1.57633, 2.60836", \ + "0.108826, 0.324284, 0.452199, 0.655621, 0.998266, 1.59135, 2.61533", \ + "0.154589, 0.412921, 0.553946, 0.769036, 1.11038, 1.67803, 2.65245", \ + "0.238403, 0.557261, 0.720655, 0.957884, 1.33274, 1.90567, 2.84924" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0177634, 0.054653, 0.0786125, 0.118182, 0.184441, 0.294882, 0.478688", \ + "0.0298582, 0.0883842, 0.116952, 0.159417, 0.226799, 0.337137, 0.521004", \ + "0.0358632, 0.111324, 0.146006, 0.194902, 0.267497, 0.380408, 0.564583", \ + "0.0382135, 0.139441, 0.184169, 0.245413, 0.331179, 0.455979, 0.646569", \ + "0.0382145, 0.173175, 0.233885, 0.314592, 0.424131, 0.575166, 0.791306", \ + "0.0382155, 0.208946, 0.290949, 0.401422, 0.54968, 0.744953, 1.01366", \ + "0.0382165, 0.231818, 0.346064, 0.495076, 0.697157, 0.9662, 1.31871" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0105679, 0.0550176, 0.0872033, 0.140898, 0.230693, 0.380679, 0.629945", \ + "0.0238671, 0.0713468, 0.100508, 0.149374, 0.234498, 0.381698, 0.630114", \ + "0.0352777, 0.0892927, 0.119663, 0.167533, 0.248636, 0.389252, 0.632318", \ + "0.0541472, 0.120135, 0.154797, 0.205723, 0.286609, 0.420063, 0.651182", \ + "0.0854271, 0.172023, 0.214109, 0.273456, 0.360785, 0.497058, 0.719176", \ + "0.137943, 0.256219, 0.31067, 0.385371, 0.48927, 0.641153, 0.875076", \ + "0.228157, 0.402251, 0.47044, 0.567385, 0.703213, 0.885398, 1.15354" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.011532, 0.0119077, 0.0119158, 0.0118607, 0.0116515, 0.0113316, 0.0110969", \ + "0.0112823, 0.011575, 0.0116579, 0.0115932, 0.0115128, 0.011252, 0.0111793", \ + "0.0115065, 0.0115993, 0.0115096, 0.0115509, 0.0114547, 0.0112098, 0.0109421", \ + "0.0129452, 0.0120592, 0.0119788, 0.011756, 0.0115489, 0.0112135, 0.0111172", \ + "0.0176699, 0.0149184, 0.0141143, 0.0134165, 0.012904, 0.0124764, 0.0120369", \ + "0.0284596, 0.0230846, 0.021208, 0.0195441, 0.0175542, 0.0161422, 0.0142162", \ + "0.0510971, 0.0426334, 0.0393546, 0.0356584, 0.0317151, 0.0275902, 0.0233719" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00397555, 0.00400239, 0.00392738, 0.00384149, 0.00366162, 0.00342654, 0.00302061", \ + "0.00401898, 0.00393004, 0.00383598, 0.00387033, 0.00374951, 0.00329037, 0.00286125", \ + "0.00475071, 0.00428154, 0.00412492, 0.00391869, 0.00379725, 0.00335424, 0.00284585", \ + "0.00688192, 0.0053164, 0.0050621, 0.00469062, 0.00415612, 0.0036955, 0.00404145", \ + "0.0117303, 0.00863184, 0.00767008, 0.00681745, 0.00602878, 0.0051025, 0.00385655", \ + "0.0224458, 0.0168511, 0.0147782, 0.012677, 0.0105598, 0.00890833, 0.00743255", \ + "0.0445209, 0.0364441, 0.0328601, 0.0287348, 0.0239291, 0.0193414, 0.0155904" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00843081, 0.00881597, 0.00882901, 0.00874863, 0.00861208, 0.00823515, 0.00791554", \ + "0.00824886, 0.00848979, 0.00855813, 0.00850701, 0.00843501, 0.00819193, 0.00798249", \ + "0.0086978, 0.00850024, 0.00850728, 0.0086292, 0.00831104, 0.00814054, 0.00788811", \ + "0.0104656, 0.00925161, 0.00909063, 0.00886058, 0.00872748, 0.0081547, 0.00791386", \ + "0.0150221, 0.0121846, 0.0113513, 0.0105899, 0.0102438, 0.00925324, 0.00836326", \ + "0.0247264, 0.0196606, 0.0180491, 0.0163133, 0.0142872, 0.0130038, 0.0108893", \ + "0.0450393, 0.0369412, 0.0340595, 0.030923, 0.0270228, 0.0229679, 0.0191564" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00376434, 0.00394111, 0.00388409, 0.00379995, 0.00363724, 0.00345562, 0.00297723", \ + "0.00379054, 0.00389897, 0.00383119, 0.00392298, 0.003809, 0.00338488, 0.00293669", \ + "0.00448448, 0.00417126, 0.00410451, 0.00394545, 0.00388226, 0.00344011, 0.00299783", \ + "0.00653448, 0.00512328, 0.00489247, 0.00467767, 0.00430379, 0.00373262, 0.00346482", \ + "0.0109583, 0.0081484, 0.00735398, 0.00656948, 0.00591853, 0.00518027, 0.00400461", \ + "0.020604, 0.0156633, 0.0138706, 0.0119864, 0.0099166, 0.00857425, 0.00722487", \ + "0.0404681, 0.0332885, 0.0302308, 0.0265622, 0.0224633, 0.018426, 0.0149511" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00496016, 0.00558065, 0.00562337, 0.00560028, 0.00542682, 0.00507302, 0.00477687", \ + "0.00543578, 0.00536019, 0.00544361, 0.00533577, 0.00527878, 0.004971, 0.00482786", \ + "0.00641114, 0.0056938, 0.00556155, 0.00559167, 0.00523838, 0.0049754, 0.00462219", \ + "0.00851199, 0.00683177, 0.0065076, 0.00603507, 0.0059859, 0.00515591, 0.00480613", \ + "0.0134314, 0.0105644, 0.0095484, 0.00851853, 0.00774892, 0.00647307, 0.00591919", \ + "0.0229612, 0.0179166, 0.0163097, 0.0146929, 0.012429, 0.0107948, 0.00826732", \ + "0.0434901, 0.0355451, 0.0328031, 0.0295612, 0.0258373, 0.0212602, 0.017426" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00321936, 0.00375011, 0.0037771, 0.0037041, 0.00355142, 0.00330864, 0.002757", \ + "0.00339084, 0.00376537, 0.00373662, 0.00381581, 0.00375403, 0.00347139, 0.00291087", \ + "0.00417607, 0.00397752, 0.00399771, 0.00386672, 0.0038265, 0.00341817, 0.00285876", \ + "0.00620509, 0.00488762, 0.00465515, 0.00450233, 0.00417769, 0.00371652, 0.00371484", \ + "0.0108381, 0.0077253, 0.00702272, 0.00625489, 0.00574942, 0.00496095, 0.00392721", \ + "0.0204466, 0.0149903, 0.0131845, 0.0113857, 0.00948782, 0.0082765, 0.00680021", \ + "0.0403587, 0.0322806, 0.0289275, 0.0253165, 0.0213483, 0.0173502, 0.0142351" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00321181; + rise_capacitance : 0.00310951; + rise_capacitance_range (0.00310951, 0.00310951); + fall_capacitance : 0.00331411; + fall_capacitance_range (0.00331411, 0.00331411); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00319411; + rise_capacitance : 0.00317323; + rise_capacitance_range (0.00317323, 0.00317323); + fall_capacitance : 0.00321498; + fall_capacitance_range (0.00321498, 0.00321498); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00302911; + rise_capacitance : 0.00316357; + rise_capacitance_range (0.00316357, 0.00316357); + fall_capacitance : 0.00289464; + fall_capacitance_range (0.00289464, 0.00289464); + } + } + cell (sg13g2_nor3_2) { + area : 16.3296; + cell_footprint : "nor3"; + cell_leakage_power : 630.667; + leakage_power () { + value : 445.717; + when : "A&!B&!C"; + } + leakage_power () { + value : 563.383; + when : "!A&!B&!C"; + } + leakage_power () { + value : 559.535; + when : "!A&B&!C"; + } + leakage_power () { + value : 718.107; + when : "!A&!B&C"; + } + leakage_power () { + value : 610.078; + when : "A&B&!C"; + } + leakage_power () { + value : 878.311; + when : "B&C"; + } + leakage_power () { + value : 639.539; + when : "A&!B&C"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0486304, 0.190126, 0.284847, 0.441032, 0.702442, 1.13795, 1.86372", \ + "0.0619047, 0.207437, 0.302458, 0.459046, 0.720833, 1.15652, 1.883", \ + "0.0683978, 0.221478, 0.317026, 0.473731, 0.735858, 1.17235, 1.89834", \ + "0.0765633, 0.245, 0.343765, 0.502216, 0.764163, 1.20005, 1.92608", \ + "0.0929682, 0.285913, 0.391134, 0.556594, 0.822945, 1.25919, 1.984", \ + "0.116027, 0.347545, 0.466994, 0.645012, 0.928886, 1.37284, 2.10177", \ + "0.156617, 0.448234, 0.590894, 0.797378, 1.10838, 1.58152, 2.33751" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0322562, 0.23063, 0.365578, 0.588818, 0.962905, 1.58611, 2.62478", \ + "0.0390578, 0.23154, 0.367341, 0.588819, 0.962928, 1.58702, 2.62511", \ + "0.0464373, 0.236413, 0.36835, 0.592688, 0.963155, 1.58703, 2.62512", \ + "0.0648298, 0.251335, 0.380373, 0.596484, 0.965126, 1.58704, 2.62513", \ + "0.100155, 0.285083, 0.411598, 0.623511, 0.982474, 1.59354, 2.64339", \ + "0.145532, 0.351704, 0.480754, 0.690083, 1.04397, 1.63649, 2.64725", \ + "0.22947, 0.469998, 0.609196, 0.821866, 1.17635, 1.76054, 2.74451" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.019842, 0.0602285, 0.0854978, 0.126923, 0.196099, 0.310672, 0.501902", \ + "0.0374886, 0.0956492, 0.124644, 0.168386, 0.238132, 0.352821, 0.543712", \ + "0.0479859, 0.120728, 0.155436, 0.204938, 0.279413, 0.396215, 0.587323", \ + "0.0582279, 0.153061, 0.196828, 0.258138, 0.345095, 0.472893, 0.669641", \ + "0.0700662, 0.194644, 0.253252, 0.332462, 0.442349, 0.595609, 0.816871", \ + "0.0812609, 0.245612, 0.323068, 0.430053, 0.57583, 0.772906, 1.04511", \ + "0.0858647, 0.304196, 0.406823, 0.547271, 0.743778, 1.00924, 1.36322" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0145764, 0.0629156, 0.0965367, 0.152373, 0.245914, 0.401465, 0.661057", \ + "0.0296141, 0.0787409, 0.108906, 0.160122, 0.249084, 0.402171, 0.661058", \ + "0.0413897, 0.0974786, 0.128387, 0.177913, 0.26244, 0.409286, 0.663317", \ + "0.061662, 0.128794, 0.164663, 0.216536, 0.300299, 0.439278, 0.680475", \ + "0.0954527, 0.182312, 0.223327, 0.284664, 0.374682, 0.516002, 0.746383", \ + "0.152256, 0.268604, 0.321511, 0.396682, 0.504018, 0.661718, 0.904857", \ + "0.250403, 0.414905, 0.483466, 0.580762, 0.716715, 0.90719, 1.18236" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0451548, 0.186665, 0.281361, 0.437588, 0.69895, 1.1345, 1.86026", \ + "0.0592534, 0.2082, 0.303271, 0.459831, 0.721706, 1.15729, 1.8838", \ + "0.0679829, 0.228951, 0.324843, 0.48152, 0.743379, 1.18032, 1.90532", \ + "0.083154, 0.265631, 0.366193, 0.525585, 0.787489, 1.22323, 1.94926", \ + "0.112504, 0.326024, 0.438929, 0.608261, 0.877109, 1.31392, 2.03869", \ + "0.150593, 0.417347, 0.549211, 0.741654, 1.0343, 1.48899, 2.21922", \ + "0.221843, 0.561222, 0.724992, 0.956773, 1.29271, 1.79745, 2.5667" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0323179, 0.230582, 0.365687, 0.589018, 0.962807, 1.58611, 2.62477", \ + "0.0432279, 0.23228, 0.366774, 0.589019, 0.96302, 1.58612, 2.6251", \ + "0.0533356, 0.239283, 0.369497, 0.593347, 0.963021, 1.58679, 2.62602", \ + "0.0732307, 0.260409, 0.386011, 0.59916, 0.965872, 1.5868, 2.62603", \ + "0.104393, 0.301931, 0.428053, 0.636035, 0.98878, 1.59568, 2.63766", \ + "0.148132, 0.381697, 0.511784, 0.721519, 1.06791, 1.65218, 2.65376", \ + "0.228079, 0.509239, 0.655028, 0.88333, 1.2374, 1.81621, 2.78506" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.019531, 0.0586721, 0.0836144, 0.124749, 0.193552, 0.308082, 0.499124", \ + "0.0344016, 0.0934685, 0.122516, 0.166189, 0.235809, 0.350326, 0.541056", \ + "0.0428382, 0.117731, 0.152794, 0.202462, 0.276971, 0.393751, 0.584671", \ + "0.0493824, 0.148569, 0.193117, 0.254854, 0.342202, 0.470196, 0.667006", \ + "0.0543879, 0.186972, 0.24721, 0.327579, 0.438519, 0.592305, 0.813847", \ + "0.0543889, 0.231339, 0.31198, 0.421446, 0.570145, 0.768143, 1.04127", \ + "0.0543899, 0.274453, 0.383203, 0.529074, 0.730084, 1.00063, 1.35722" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0122553, 0.0598553, 0.093402, 0.149319, 0.242532, 0.398128, 0.657504", \ + "0.0260423, 0.0758855, 0.106088, 0.157138, 0.245902, 0.399117, 0.657505", \ + "0.0373733, 0.0944305, 0.125202, 0.175035, 0.259406, 0.406215, 0.659313", \ + "0.0562587, 0.125176, 0.161103, 0.213252, 0.297362, 0.436059, 0.677025", \ + "0.0874499, 0.177416, 0.219663, 0.281575, 0.371679, 0.512806, 0.743319", \ + "0.139532, 0.262438, 0.317399, 0.393803, 0.501269, 0.658322, 0.900682", \ + "0.228292, 0.407173, 0.477641, 0.576244, 0.715002, 0.903996, 1.17961" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.03225, 0.175274, 0.269857, 0.426237, 0.687782, 1.12378, 1.8491", \ + "0.0503089, 0.201725, 0.29647, 0.453171, 0.715379, 1.15042, 1.87706", \ + "0.0631956, 0.230044, 0.325643, 0.481534, 0.743231, 1.17968, 1.90448", \ + "0.0835144, 0.278102, 0.381033, 0.54048, 0.801041, 1.23623, 1.96121", \ + "0.117677, 0.352048, 0.471204, 0.646678, 0.917439, 1.35186, 2.07435", \ + "0.162776, 0.458449, 0.604079, 0.811564, 1.11973, 1.5828, 2.31375", \ + "0.249569, 0.625084, 0.804702, 1.06081, 1.42568, 1.96424, 2.75942" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0322357, 0.230899, 0.365649, 0.589279, 0.963376, 1.58629, 2.62478", \ + "0.0445132, 0.232866, 0.367998, 0.58928, 0.963377, 1.5863, 2.62646", \ + "0.0528781, 0.243431, 0.371486, 0.593819, 0.963378, 1.58668, 2.62647", \ + "0.0701389, 0.272414, 0.395592, 0.604436, 0.967176, 1.58669, 2.62648", \ + "0.0986286, 0.323612, 0.45256, 0.657873, 1.00346, 1.60041, 2.62649", \ + "0.140409, 0.412736, 0.55527, 0.771401, 1.11546, 1.68727, 2.67033", \ + "0.221876, 0.557079, 0.722717, 0.962604, 1.33329, 1.91538, 2.86646" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.016228, 0.0557954, 0.0806639, 0.121713, 0.190503, 0.305002, 0.496097", \ + "0.0267855, 0.0898771, 0.119262, 0.163177, 0.232865, 0.347395, 0.538084", \ + "0.0317199, 0.113122, 0.148864, 0.199083, 0.273908, 0.390831, 0.581811", \ + "0.0330022, 0.142038, 0.187861, 0.250627, 0.33865, 0.467021, 0.664084", \ + "0.0330032, 0.176874, 0.239316, 0.321857, 0.433696, 0.588574, 0.810622", \ + "0.0330042, 0.215299, 0.298913, 0.411827, 0.562696, 0.762511, 1.03713", \ + "0.0330052, 0.242454, 0.358644, 0.510369, 0.716602, 0.990979, 1.35" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00916108, 0.0563535, 0.0898564, 0.145646, 0.238989, 0.394606, 0.653934", \ + "0.0215694, 0.0725692, 0.102862, 0.153778, 0.242427, 0.395407, 0.653935", \ + "0.0324651, 0.0905723, 0.121988, 0.171767, 0.256108, 0.4026, 0.655659", \ + "0.0501036, 0.121604, 0.157354, 0.210188, 0.29393, 0.432878, 0.673545", \ + "0.0795891, 0.173636, 0.215939, 0.278148, 0.36833, 0.509622, 0.739817", \ + "0.129658, 0.25829, 0.313931, 0.390443, 0.497991, 0.654099, 0.897431", \ + "0.21667, 0.404812, 0.474064, 0.574411, 0.712971, 0.901536, 1.17809" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0224935, 0.0233005, 0.0232707, 0.0231146, 0.0228416, 0.0227334, 0.0224928", \ + "0.022072, 0.0226583, 0.0228676, 0.0226616, 0.0224517, 0.0219903, 0.0226741", \ + "0.022502, 0.0226741, 0.0225727, 0.0228246, 0.0223557, 0.0219799, 0.0226007", \ + "0.0253012, 0.0235002, 0.0233416, 0.0229835, 0.0225799, 0.021954, 0.0222039", \ + "0.0346197, 0.0288115, 0.0273793, 0.0260064, 0.0251935, 0.0237298, 0.0250792", \ + "0.0558717, 0.0444974, 0.0411659, 0.0374872, 0.0339361, 0.0310277, 0.0282609", \ + "0.100395, 0.0829058, 0.0763085, 0.068763, 0.0611956, 0.0525945, 0.0451693" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00772415, 0.00780129, 0.00766798, 0.00748694, 0.0072557, 0.00662318, 0.00587062", \ + "0.00782178, 0.00764432, 0.0074768, 0.00761783, 0.00687239, 0.00633872, 0.00537207", \ + "0.00929737, 0.00831852, 0.00807105, 0.0075806, 0.00744703, 0.00675895, 0.0056541", \ + "0.0135681, 0.0102777, 0.00980106, 0.00907414, 0.00811401, 0.00726177, 0.00624489", \ + "0.0231496, 0.0167588, 0.0149087, 0.0131651, 0.011552, 0.00995528, 0.00772243", \ + "0.0442885, 0.0328399, 0.0287494, 0.0244642, 0.0201413, 0.0175256, 0.0142684", \ + "0.0877168, 0.0710014, 0.0639629, 0.0558897, 0.0464777, 0.0375624, 0.0302479" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0162906, 0.0171018, 0.0171057, 0.0169331, 0.0166331, 0.0165478, 0.016286", \ + "0.0159876, 0.0164429, 0.0165963, 0.0164784, 0.0163401, 0.0157363, 0.0162851", \ + "0.016866, 0.0166531, 0.0165098, 0.0167303, 0.016215, 0.0157069, 0.0162212", \ + "0.0203593, 0.0178644, 0.0175922, 0.0171636, 0.0171588, 0.0158202, 0.0160844", \ + "0.0294541, 0.0233773, 0.0218757, 0.0203489, 0.0194232, 0.0178543, 0.0184237", \ + "0.0486393, 0.0379997, 0.0346574, 0.0312367, 0.027298, 0.0251156, 0.021774", \ + "0.0885106, 0.0716671, 0.0657392, 0.0595547, 0.0520928, 0.0439857, 0.0368861" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00706049, 0.00752002, 0.00735245, 0.00730256, 0.00687709, 0.00632316, 0.00560935", \ + "0.00713612, 0.00740092, 0.0072321, 0.00746782, 0.00680906, 0.00638282, 0.00537746", \ + "0.00855401, 0.00792558, 0.00780113, 0.0074112, 0.00731527, 0.0066077, 0.00537391", \ + "0.0125579, 0.00975932, 0.00929698, 0.00875039, 0.00806509, 0.0071795, 0.00601829", \ + "0.0214836, 0.0156112, 0.0140728, 0.0125828, 0.011269, 0.00971896, 0.00752608", \ + "0.0405801, 0.0302066, 0.0268256, 0.0229391, 0.0192482, 0.0168001, 0.0134234", \ + "0.0794644, 0.0646911, 0.0586608, 0.0514569, 0.0433862, 0.0351857, 0.0288928" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00919035, 0.0105679, 0.0105917, 0.0105249, 0.0102312, 0.00957211, 0.00988384", \ + "0.0103691, 0.0101023, 0.0102717, 0.0100214, 0.00990853, 0.00922009, 0.00997073", \ + "0.012336, 0.0108796, 0.0105277, 0.0105652, 0.0098215, 0.00937399, 0.00984464", \ + "0.0166274, 0.0129695, 0.0123575, 0.0114519, 0.0110402, 0.0103052, 0.0102316", \ + "0.0264845, 0.0202577, 0.0183801, 0.0163192, 0.0145511, 0.012416, 0.0111396", \ + "0.0453947, 0.0346419, 0.03147, 0.0279264, 0.0237759, 0.0199161, 0.0163269", \ + "0.0858763, 0.0691601, 0.0637282, 0.0573892, 0.0493129, 0.0410225, 0.0334358" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00512811, 0.00644157, 0.00647776, 0.00634138, 0.00606658, 0.00557253, 0.00485437", \ + "0.00572433, 0.0064044, 0.00643947, 0.00671451, 0.00621589, 0.00572989, 0.00476193", \ + "0.007427, 0.00685405, 0.00695066, 0.00664641, 0.00666039, 0.00595839, 0.00476608", \ + "0.0115621, 0.00865038, 0.0082141, 0.00795208, 0.00725799, 0.00651087, 0.00542498", \ + "0.0208207, 0.0141617, 0.0127481, 0.0114684, 0.0102189, 0.0088661, 0.00675364", \ + "0.0399042, 0.028457, 0.0247642, 0.021352, 0.0178079, 0.0153224, 0.0125911", \ + "0.0791819, 0.062315, 0.0555635, 0.0485002, 0.0405195, 0.0328266, 0.0270525" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00609914; + rise_capacitance : 0.00591216; + rise_capacitance_range (0.00591216, 0.00591216); + fall_capacitance : 0.00628612; + fall_capacitance_range (0.00628612, 0.00628612); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00602506; + rise_capacitance : 0.00600192; + rise_capacitance_range (0.00600192, 0.00600192); + fall_capacitance : 0.0060482; + fall_capacitance_range (0.0060482, 0.0060482); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0058007; + rise_capacitance : 0.0060866; + rise_capacitance_range (0.0060866, 0.0060866); + fall_capacitance : 0.0055148; + fall_capacitance_range (0.0055148, 0.0055148); + } + } + cell (sg13g2_nor4_1) { + area : 10.8864; + cell_footprint : "nor4"; + cell_leakage_power : 385.895; + leakage_power () { + value : 375.555; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 417.728; + when : "!A&!B&!C&D&!Y"; + } + leakage_power () { + value : 338.428; + when : "!A&!B&C&!D&!Y"; + } + leakage_power () { + value : 425.784; + when : "!A&!B&C&D&!Y"; + } + leakage_power () { + value : 281.526; + when : "!A&B&!C&!D&!Y"; + } + leakage_power () { + value : 378.452; + when : "!A&B&!C&D&!Y"; + } + leakage_power () { + value : 363.708; + when : "!A&B&C&!D&!Y"; + } + leakage_power () { + value : 497.838; + when : "!A&B&C&D&!Y"; + } + leakage_power () { + value : 225.537; + when : "A&!B&!C&!D&!Y"; + } + leakage_power () { + value : 326.81; + when : "A&!B&!C&D&!Y"; + } + leakage_power () { + value : 317.688; + when : "A&!B&C&!D&!Y"; + } + leakage_power () { + value : 459.7; + when : "A&!B&C&D&!Y"; + } + leakage_power () { + value : 308.506; + when : "A&B&!C&!D&!Y"; + } + leakage_power () { + value : 445.619; + when : "A&B&!C&D&!Y"; + } + leakage_power () { + value : 436.479; + when : "A&B&C&!D&!Y"; + } + leakage_power () { + value : 574.967; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0798666, 0.263374, 0.389159, 0.596802, 0.944065, 1.52268, 2.48683", \ + "0.0940376, 0.27874, 0.405146, 0.61306, 0.961696, 1.53975, 2.50505", \ + "0.100916, 0.289979, 0.416192, 0.624352, 0.972325, 1.55155, 2.51654", \ + "0.109634, 0.307764, 0.435764, 0.64422, 0.991914, 1.57086, 2.53692", \ + "0.125092, 0.339842, 0.472201, 0.683848, 1.03245, 1.61037, 2.57555", \ + "0.150645, 0.394634, 0.53649, 0.757491, 1.11246, 1.69184, 2.65281", \ + "0.202052, 0.49961, 0.658908, 0.898398, 1.27263, 1.8636, 2.83404" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.060137, 0.315856, 0.494054, 0.788965, 1.28245, 2.1049, 3.47765", \ + "0.0638063, 0.31614, 0.494327, 0.788966, 1.28295, 2.10615, 3.47766", \ + "0.0695968, 0.318667, 0.49536, 0.788967, 1.28296, 2.10616, 3.47767", \ + "0.0829954, 0.329156, 0.501954, 0.792228, 1.28365, 2.10617, 3.47768", \ + "0.118767, 0.355428, 0.5249, 0.809872, 1.29196, 2.10824, 3.47769", \ + "0.174684, 0.414353, 0.583861, 0.863205, 1.33364, 2.13242, 3.48441", \ + "0.269618, 0.533802, 0.700315, 0.977021, 1.44321, 2.2222, 3.54627" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0223856, 0.0624745, 0.0881921, 0.129973, 0.199343, 0.314156, 0.505341", \ + "0.0435526, 0.0986416, 0.127615, 0.171445, 0.241344, 0.35616, 0.547074", \ + "0.0559281, 0.12463, 0.159071, 0.208413, 0.282781, 0.399676, 0.590775", \ + "0.0684817, 0.158147, 0.201521, 0.262389, 0.348959, 0.476522, 0.673197", \ + "0.0828185, 0.201025, 0.259024, 0.337674, 0.447032, 0.599812, 0.820557", \ + "0.0954149, 0.251806, 0.328938, 0.436, 0.581598, 0.777779, 1.04921", \ + "0.0996184, 0.307119, 0.409501, 0.550369, 0.745988, 1.01292, 1.36719" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0182028, 0.066783, 0.101034, 0.15628, 0.249809, 0.40495, 0.664504", \ + "0.0348187, 0.0821427, 0.112327, 0.16364, 0.252718, 0.405601, 0.664505", \ + "0.0481754, 0.100685, 0.13181, 0.181316, 0.265967, 0.412627, 0.666286", \ + "0.0710098, 0.133627, 0.16854, 0.219881, 0.303561, 0.442308, 0.683537", \ + "0.110423, 0.188471, 0.22895, 0.290124, 0.378427, 0.519203, 0.748984", \ + "0.178088, 0.280272, 0.331014, 0.403951, 0.510374, 0.665006, 0.906691", \ + "0.296642, 0.43875, 0.504209, 0.59554, 0.729121, 0.914571, 1.18751" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0767442, 0.260262, 0.386068, 0.593658, 0.940957, 1.51956, 2.4838", \ + "0.091774, 0.277608, 0.404, 0.611982, 0.959504, 1.53961, 2.50421", \ + "0.0998698, 0.292358, 0.418669, 0.62674, 0.974705, 1.55401, 2.51869", \ + "0.113344, 0.318968, 0.447718, 0.656269, 1.00395, 1.5831, 2.54886", \ + "0.141797, 0.368472, 0.50392, 0.717449, 1.06639, 1.64435, 2.60912", \ + "0.185066, 0.453938, 0.600849, 0.829229, 1.18757, 1.77137, 2.73155", \ + "0.267493, 0.601038, 0.773453, 1.02749, 1.41686, 2.02276, 3.00015" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.060053, 0.316135, 0.494223, 0.789408, 1.28245, 2.1049, 3.47565", \ + "0.0661001, 0.316333, 0.494296, 0.789414, 1.28246, 2.10519, 3.47583", \ + "0.0742469, 0.319838, 0.495583, 0.789415, 1.283, 2.10602, 3.47764", \ + "0.0922961, 0.333806, 0.504586, 0.792926, 1.2831, 2.10612, 3.47765", \ + "0.128899, 0.365895, 0.534114, 0.815554, 1.29436, 2.10768, 3.47766", \ + "0.18184, 0.435103, 0.601388, 0.879511, 1.34472, 2.13763, 3.48572", \ + "0.274483, 0.561835, 0.734302, 1.01176, 1.47533, 2.24726, 3.55898" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0229948, 0.0620206, 0.0873767, 0.129004, 0.198462, 0.313694, 0.5059", \ + "0.0426616, 0.0976946, 0.126677, 0.170473, 0.240541, 0.355853, 0.547786", \ + "0.0538063, 0.12294, 0.157712, 0.207223, 0.28188, 0.399225, 0.591237", \ + "0.0641398, 0.15535, 0.199202, 0.260508, 0.347617, 0.475846, 0.673385", \ + "0.0738618, 0.195939, 0.255418, 0.335115, 0.445525, 0.599193, 0.821356", \ + "0.0762732, 0.241489, 0.321297, 0.430453, 0.577691, 0.775937, 1.04927", \ + "0.0762742, 0.284115, 0.392043, 0.536914, 0.738585, 1.00868, 1.36546" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0169532, 0.0645319, 0.0986912, 0.154399, 0.248415, 0.404537, 0.665184", \ + "0.03225, 0.0798847, 0.110388, 0.161852, 0.251289, 0.405328, 0.665185", \ + "0.0448429, 0.0984138, 0.129615, 0.179703, 0.264664, 0.41222, 0.668583", \ + "0.0665336, 0.130657, 0.165692, 0.21824, 0.302412, 0.441999, 0.684569", \ + "0.103469, 0.185359, 0.225638, 0.286726, 0.376748, 0.518523, 0.749938", \ + "0.166266, 0.273386, 0.32677, 0.401126, 0.508135, 0.665355, 0.907764", \ + "0.273169, 0.429166, 0.495602, 0.590896, 0.725941, 0.91424, 1.18861" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0668451, 0.250363, 0.376195, 0.583741, 0.931041, 1.50969, 2.47388", \ + "0.0824471, 0.269953, 0.396363, 0.604222, 0.952103, 1.5311, 2.49548", \ + "0.093355, 0.290373, 0.416707, 0.62471, 0.972629, 1.55192, 2.5166", \ + "0.114666, 0.329432, 0.458901, 0.667495, 1.01481, 1.59392, 2.55951", \ + "0.155235, 0.398919, 0.537901, 0.754283, 1.1039, 1.68142, 2.64603", \ + "0.211439, 0.50886, 0.66777, 0.904756, 1.2736, 1.85949, 2.82095", \ + "0.316431, 0.689499, 0.880335, 1.1559, 1.56709, 2.19361, 3.18304" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0597375, 0.316146, 0.494507, 0.789279, 1.28244, 2.1049, 3.47565", \ + "0.0694042, 0.31648, 0.494508, 0.78928, 1.28245, 2.10595, 3.47763", \ + "0.0796156, 0.321609, 0.496088, 0.789281, 1.28246, 2.10596, 3.4778", \ + "0.098519, 0.339941, 0.508137, 0.794431, 1.28266, 2.10609, 3.47781", \ + "0.130859, 0.380507, 0.546896, 0.823177, 1.29781, 2.10921, 3.47782", \ + "0.182333, 0.45845, 0.629346, 0.90298, 1.36336, 2.14713, 3.4886", \ + "0.27269, 0.592249, 0.775192, 1.06038, 1.52565, 2.28979, 3.58509" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0221531, 0.0599787, 0.0850225, 0.126369, 0.195687, 0.310625, 0.502759", \ + "0.0394336, 0.0952247, 0.124222, 0.168005, 0.237917, 0.353066, 0.544852", \ + "0.0486755, 0.119635, 0.154556, 0.204432, 0.279147, 0.396432, 0.588366", \ + "0.0556581, 0.150629, 0.195134, 0.257004, 0.344464, 0.472895, 0.670488", \ + "0.0595044, 0.188329, 0.249241, 0.33003, 0.441321, 0.595704, 0.818203", \ + "0.0595054, 0.228718, 0.310723, 0.422356, 0.57168, 0.770886, 1.04526", \ + "0.0595064, 0.258501, 0.371581, 0.521397, 0.726683, 1.00049, 1.35989" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0144695, 0.0614083, 0.0951146, 0.151219, 0.245093, 0.401271, 0.661779", \ + "0.0288838, 0.0771373, 0.107492, 0.158885, 0.248372, 0.40322, 0.66178", \ + "0.0407638, 0.0955892, 0.126707, 0.176726, 0.261493, 0.409034, 0.66349", \ + "0.0615203, 0.127123, 0.162576, 0.215211, 0.299525, 0.438874, 0.681261", \ + "0.0961141, 0.180539, 0.221849, 0.283519, 0.373579, 0.515687, 0.746756", \ + "0.154814, 0.268403, 0.322681, 0.39737, 0.505306, 0.661131, 0.904659", \ + "0.253037, 0.420602, 0.488785, 0.586449, 0.72214, 0.911993, 1.18622" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0475743, 0.232736, 0.358759, 0.566451, 0.913847, 1.49255, 2.45678", \ + "0.0692761, 0.256098, 0.382309, 0.590077, 0.938039, 1.51736, 2.48288", \ + "0.0857555, 0.283216, 0.4085, 0.615841, 0.962981, 1.54276, 2.50829", \ + "0.112638, 0.333937, 0.463524, 0.670655, 1.01654, 1.59427, 2.55923", \ + "0.157719, 0.417249, 0.560647, 0.779562, 1.12749, 1.70208, 2.66398", \ + "0.219827, 0.539691, 0.707927, 0.959697, 1.33627, 1.92548, 2.88273", \ + "0.337271, 0.737764, 0.941921, 1.23643, 1.6776, 2.32893, 3.32749" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0586308, 0.31621, 0.494476, 0.789411, 1.2832, 2.1049, 3.47568", \ + "0.0698679, 0.316459, 0.494477, 0.789412, 1.28321, 2.10603, 3.4761", \ + "0.0783858, 0.323312, 0.496409, 0.789413, 1.28322, 2.10604, 3.47611", \ + "0.0942038, 0.347504, 0.513486, 0.796623, 1.28336, 2.10605, 3.47612", \ + "0.124883, 0.396787, 0.564866, 0.837777, 1.30531, 2.10938, 3.47628", \ + "0.175036, 0.483491, 0.663289, 0.943031, 1.39903, 2.16932, 3.49692", \ + "0.266937, 0.630734, 0.824978, 1.12856, 1.60708, 2.36754, 3.64107" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0190161, 0.0570825, 0.0820625, 0.123344, 0.192484, 0.30752, 0.499403", \ + "0.0327143, 0.0916419, 0.120976, 0.164962, 0.234934, 0.350073, 0.541844", \ + "0.0393676, 0.11532, 0.150837, 0.20105, 0.276049, 0.393476, 0.585424", \ + "0.0418257, 0.144555, 0.190251, 0.25286, 0.341062, 0.469817, 0.667711", \ + "0.0418267, 0.178971, 0.241502, 0.324021, 0.436338, 0.591479, 0.814443", \ + "0.0418277, 0.213968, 0.298708, 0.41305, 0.564443, 0.765672, 1.04103", \ + "0.0418287, 0.231327, 0.35065, 0.50499, 0.714476, 0.991632, 1.35216" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115638, 0.0580556, 0.0917096, 0.147842, 0.241526, 0.397789, 0.658236", \ + "0.0250313, 0.0740555, 0.10445, 0.155697, 0.244837, 0.398847, 0.658237", \ + "0.0364918, 0.0921748, 0.123659, 0.173615, 0.258313, 0.405863, 0.660019", \ + "0.0560225, 0.123307, 0.159124, 0.211741, 0.29626, 0.435615, 0.677892", \ + "0.0889391, 0.176707, 0.218717, 0.280381, 0.370972, 0.512695, 0.743744", \ + "0.144913, 0.264148, 0.318514, 0.39518, 0.501537, 0.657729, 0.901315", \ + "0.240264, 0.415953, 0.484299, 0.583798, 0.721511, 0.908742, 1.18444" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0148591, 0.0151588, 0.0151386, 0.0150913, 0.0149057, 0.0145888, 0.0147451", \ + "0.0145535, 0.0148114, 0.0148793, 0.0148737, 0.0147936, 0.0145154, 0.0147535", \ + "0.0145806, 0.0147031, 0.0148946, 0.0147305, 0.0146331, 0.0145003, 0.0146028", \ + "0.0152654, 0.0149261, 0.0148414, 0.0147701, 0.0146237, 0.0143194, 0.0145482", \ + "0.0187368, 0.0167972, 0.0162406, 0.0159307, 0.0153824, 0.0149635, 0.0146372", \ + "0.0285099, 0.0236027, 0.0222936, 0.0208255, 0.0192713, 0.0176287, 0.0168589", \ + "0.0499101, 0.0418387, 0.0387911, 0.0354803, 0.0319708, 0.0276577, 0.0248926" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00517182, 0.00511492, 0.00518299, 0.00497447, 0.00486483, 0.00452843, 0.00417785", \ + "0.00528147, 0.00520124, 0.00509363, 0.00518346, 0.00482265, 0.00453079, 0.00400048", \ + "0.0058151, 0.0054537, 0.00539115, 0.00511016, 0.00512878, 0.00477042, 0.00418418", \ + "0.00755212, 0.00627669, 0.00611704, 0.00577424, 0.00535056, 0.0049351, 0.00442002", \ + "0.012045, 0.00913544, 0.00835642, 0.00773003, 0.00692215, 0.00609423, 0.00493787", \ + "0.0216798, 0.0166268, 0.0145938, 0.0127384, 0.0108935, 0.00940518, 0.0078601", \ + "0.0426664, 0.0345804, 0.0311759, 0.027315, 0.0227894, 0.0186216, 0.0153576" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122918, 0.0126018, 0.0126081, 0.0125328, 0.0123531, 0.0120317, 0.0121473", \ + "0.0119715, 0.0122374, 0.012302, 0.01232, 0.0121664, 0.0119303, 0.0121288", \ + "0.0120551, 0.0121642, 0.012286, 0.0121885, 0.0121093, 0.0119166, 0.0120705", \ + "0.0129526, 0.0124295, 0.0123167, 0.012229, 0.0120461, 0.0118155, 0.0120166", \ + "0.0166123, 0.0144547, 0.0138724, 0.0135397, 0.0129978, 0.0124915, 0.012083", \ + "0.0256112, 0.0210696, 0.0196437, 0.0182095, 0.0165834, 0.0153678, 0.0139549", \ + "0.0448002, 0.0373138, 0.0346119, 0.0315154, 0.028275, 0.024248, 0.0221364" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0047593, 0.00475619, 0.00478655, 0.00462273, 0.00448776, 0.00412096, 0.00375845", \ + "0.00465114, 0.00464414, 0.00460733, 0.00469788, 0.0043236, 0.00405625, 0.00362204", \ + "0.00513949, 0.00489571, 0.00481796, 0.00457428, 0.00462063, 0.00429566, 0.00398072", \ + "0.00678333, 0.00569901, 0.00549942, 0.00526736, 0.00482819, 0.00445029, 0.00420761", \ + "0.0107088, 0.00840404, 0.00752948, 0.00690196, 0.00632741, 0.00568586, 0.00459607", \ + "0.0196949, 0.0150927, 0.0134305, 0.0117622, 0.00996125, 0.00887247, 0.00739872", \ + "0.0383965, 0.0315615, 0.0284244, 0.0252641, 0.0211755, 0.0174188, 0.0143289" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00988594, 0.0102169, 0.010222, 0.0101658, 0.00997672, 0.00963327, 0.00975485", \ + "0.00961956, 0.00986623, 0.00990786, 0.0099136, 0.00979927, 0.00957785, 0.00973247", \ + "0.00991035, 0.00982072, 0.00995991, 0.00981402, 0.0096907, 0.00950223, 0.00978755", \ + "0.0112858, 0.0104082, 0.0102762, 0.00997236, 0.00977339, 0.00955366, 0.00967924", \ + "0.0150996, 0.0127234, 0.0119894, 0.0115359, 0.0106938, 0.0105594, 0.00978556", \ + "0.0234331, 0.0191255, 0.0177709, 0.0161413, 0.0146566, 0.0128984, 0.0121355", \ + "0.0410312, 0.0338414, 0.0313961, 0.0284902, 0.0254011, 0.0215269, 0.0195243" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00305203, 0.00319839, 0.0031408, 0.00307476, 0.00298282, 0.00260683, 0.00220121", \ + "0.00306601, 0.0032406, 0.00319715, 0.00330044, 0.00296571, 0.00302238, 0.00220186", \ + "0.00357504, 0.00345783, 0.00341016, 0.0032438, 0.00322463, 0.0029197, 0.00226354", \ + "0.00520974, 0.00423854, 0.00405999, 0.00383942, 0.00335427, 0.00311525, 0.00297998", \ + "0.00888162, 0.00667578, 0.00595954, 0.00541728, 0.00486938, 0.00427297, 0.00326561", \ + "0.0172611, 0.0129712, 0.0114816, 0.00998561, 0.00838923, 0.00721058, 0.00589158", \ + "0.034348, 0.0280155, 0.0254181, 0.0224098, 0.0186563, 0.0154138, 0.0126152" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00652962, 0.0071159, 0.00716066, 0.00709086, 0.00695812, 0.0066265, 0.0067574", \ + "0.0068601, 0.00684723, 0.00681876, 0.00682548, 0.00678095, 0.00655414, 0.00670032", \ + "0.00772032, 0.00711948, 0.00709625, 0.00688934, 0.0067505, 0.00649541, 0.00670993", \ + "0.00952517, 0.00810506, 0.00776461, 0.0073483, 0.00701919, 0.00667173, 0.00672624", \ + "0.0137063, 0.0111262, 0.0102206, 0.00956795, 0.00847638, 0.00786103, 0.00720358", \ + "0.0220762, 0.0176994, 0.0162513, 0.014529, 0.0128192, 0.0107817, 0.0102426", \ + "0.040232, 0.0331201, 0.0305829, 0.027781, 0.0244239, 0.0205099, 0.0182612" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0019674, 0.00244309, 0.00243123, 0.00241622, 0.00224909, 0.00195466, 0.00155078", \ + "0.00218299, 0.00251998, 0.00251753, 0.00266613, 0.00238363, 0.00221592, 0.00167771", \ + "0.00279797, 0.00273495, 0.00276562, 0.00262531, 0.0026311, 0.00236142, 0.00169189", \ + "0.00452153, 0.00348082, 0.00334035, 0.00316837, 0.00280445, 0.0025661, 0.00252663", \ + "0.00837528, 0.00587826, 0.00529391, 0.00473303, 0.00426713, 0.00368666, 0.00264776", \ + "0.016828, 0.0120532, 0.01049, 0.00908236, 0.00736493, 0.00645624, 0.00519764", \ + "0.0344208, 0.0271413, 0.0242377, 0.0211234, 0.0176358, 0.0142396, 0.0112848" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00315328; + rise_capacitance : 0.00308966; + rise_capacitance_range (0.00308966, 0.00308966); + fall_capacitance : 0.0032169; + fall_capacitance_range (0.0032169, 0.0032169); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000274387, -0.000466767, -0.000479957, -0.000480357, -0.000493743, -0.000474678, -0.000467066" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000499939, 0.00049419, 0.000479957, 0.000480357, 0.000493743, 0.000474678, 0.000467066" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000274387, -0.000466767, -0.000479957, -0.000480357, -0.000493743, -0.000474678, -0.000467066" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000499939, 0.00049419, 0.000479957, 0.000480357, 0.000493743, 0.000474678, 0.000467066" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00312412; + rise_capacitance : 0.00311802; + rise_capacitance_range (0.00311802, 0.00311802); + fall_capacitance : 0.00313023; + fall_capacitance_range (0.00313023, 0.00313023); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00270109; + rise_capacitance : 0.0026213; + rise_capacitance_range (0.0026213, 0.0026213); + fall_capacitance : 0.00278088; + fall_capacitance_range (0.00278088, 0.00278088); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.001028, 0.0010313, 0.00103151, 0.0010348, 0.00103824, 0.00104089, 0.00104041" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000634951, -0.000629639, -0.000628184, -0.000628471, -0.000626259, -0.000625049, -0.000625653" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.001028, 0.0010313, 0.00103151, 0.0010348, 0.00103824, 0.00104089, 0.00104041" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000634951, -0.000629639, -0.000628184, -0.000628471, -0.000626259, -0.000625049, -0.000625653" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00270887; + rise_capacitance : 0.00268437; + rise_capacitance_range (0.00268437, 0.00268437); + fall_capacitance : 0.00273338; + fall_capacitance_range (0.00273338, 0.00273338); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0013153, 0.00131294, 0.00131817, 0.00131814, 0.00131934, 0.00131904, 0.00131776" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0007566, -0.000746953, -0.000741494, -0.00073998, -0.000732383, -0.000729445, -0.000727325" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0013153, 0.00131294, 0.00131817, 0.00131814, 0.00131934, 0.00131904, 0.00131776" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.0007566, -0.000746953, -0.000741494, -0.00073998, -0.000732383, -0.000729445, -0.000727325" \ + ); + } + } + } + } + cell (sg13g2_nor4_2) { + area : 21.7728; + cell_footprint : "nor4"; + cell_leakage_power : 771.77; + leakage_power () { + value : 751.176; + when : "!A&!B&!C&!D&Y"; + } + leakage_power () { + value : 835.44; + when : "!A&!B&!C&D&!Y"; + } + leakage_power () { + value : 676.838; + when : "!A&!B&C&!D&!Y"; + } + leakage_power () { + value : 851.535; + when : "!A&!B&C&D&!Y"; + } + leakage_power () { + value : 563.044; + when : "!A&B&!C&!D&!Y"; + } + leakage_power () { + value : 756.881; + when : "!A&B&!C&D&!Y"; + } + leakage_power () { + value : 727.391; + when : "!A&B&C&!D&!Y"; + } + leakage_power () { + value : 995.635; + when : "!A&B&C&D&!Y"; + } + leakage_power () { + value : 451.065; + when : "A&!B&!C&!D&!Y"; + } + leakage_power () { + value : 653.596; + when : "A&!B&!C&D&!Y"; + } + leakage_power () { + value : 635.35; + when : "A&!B&C&!D&!Y"; + } + leakage_power () { + value : 919.359; + when : "A&!B&C&D&!Y"; + } + leakage_power () { + value : 616.995; + when : "A&B&!C&!D&!Y"; + } + leakage_power () { + value : 891.207; + when : "A&B&!C&D&!Y"; + } + leakage_power () { + value : 872.925; + when : "A&B&C&!D&!Y"; + } + leakage_power () { + value : 1149.89; + when : "A&B&C&D&!Y"; + } + pin (Y) { + direction : "output"; + function : "!(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0764236, 0.265816, 0.392792, 0.601876, 0.951949, 1.53517, 2.50709", \ + "0.0906338, 0.281316, 0.408594, 0.618368, 0.969201, 1.55247, 2.5246", \ + "0.0972859, 0.292671, 0.419889, 0.629786, 0.980407, 1.56432, 2.53701", \ + "0.105291, 0.310386, 0.43931, 0.649382, 0.99992, 1.58384, 2.55576", \ + "0.118891, 0.341671, 0.475152, 0.688797, 1.04037, 1.62226, 2.59351", \ + "0.142122, 0.395722, 0.538363, 0.759023, 1.11863, 1.70319, 2.67026", \ + "0.191713, 0.500467, 0.659929, 0.900724, 1.27673, 1.87681, 2.84811" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0534544, 0.317576, 0.497567, 0.794653, 1.29283, 2.12265, 3.50565", \ + "0.0575607, 0.317806, 0.497605, 0.794872, 1.29284, 2.12378, 3.50774", \ + "0.0632076, 0.320219, 0.498609, 0.794942, 1.29285, 2.12379, 3.50775", \ + "0.0766249, 0.330513, 0.505052, 0.797825, 1.29403, 2.12388, 3.50776", \ + "0.112727, 0.356286, 0.527876, 0.81525, 1.3022, 2.12589, 3.50777", \ + "0.169303, 0.415583, 0.586429, 0.867169, 1.34322, 2.14947, 3.51356", \ + "0.26499, 0.535, 0.702309, 0.98182, 1.45212, 2.2411, 3.57575" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0209533, 0.0624408, 0.0882613, 0.130141, 0.199658, 0.314536, 0.506098", \ + "0.0413163, 0.0986868, 0.127743, 0.171588, 0.241634, 0.356667, 0.547795", \ + "0.0533275, 0.124673, 0.159227, 0.208582, 0.283039, 0.400083, 0.591443", \ + "0.0652543, 0.158398, 0.201671, 0.26266, 0.349247, 0.476909, 0.673745", \ + "0.0786497, 0.201174, 0.259262, 0.33795, 0.447359, 0.600211, 0.821191", \ + "0.0899682, 0.251789, 0.32914, 0.436326, 0.581979, 0.778065, 1.04986", \ + "0.0925605, 0.307286, 0.409837, 0.550689, 0.747586, 1.01367, 1.36783" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0170096, 0.0669652, 0.101073, 0.156866, 0.250558, 0.406333, 0.665789", \ + "0.0334815, 0.0824649, 0.112654, 0.164122, 0.253613, 0.407313, 0.66579", \ + "0.0466453, 0.100897, 0.132075, 0.181744, 0.26663, 0.413747, 0.667825", \ + "0.0691144, 0.133797, 0.168216, 0.220382, 0.304042, 0.443411, 0.685277", \ + "0.107878, 0.189569, 0.229243, 0.29058, 0.379291, 0.520078, 0.750695", \ + "0.174814, 0.280528, 0.331497, 0.404279, 0.511021, 0.666481, 0.908587", \ + "0.290853, 0.439428, 0.504683, 0.595751, 0.728583, 0.915993, 1.18886" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.073091, 0.262507, 0.389318, 0.598609, 0.948627, 1.53187, 2.50378", \ + "0.0881534, 0.280087, 0.40738, 0.617095, 0.967955, 1.55127, 2.52337", \ + "0.0958813, 0.294913, 0.422201, 0.632392, 0.982713, 1.56662, 2.53932", \ + "0.108037, 0.321353, 0.451294, 0.661319, 1.01173, 1.59563, 2.56908", \ + "0.134461, 0.370572, 0.506842, 0.722603, 1.07386, 1.65638, 2.62741", \ + "0.175871, 0.455444, 0.603967, 0.831183, 1.19591, 1.78271, 2.75157", \ + "0.256141, 0.602606, 0.777415, 1.03376, 1.41965, 2.03305, 3.01325" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.05335, 0.317575, 0.497351, 0.794945, 1.29357, 2.12265, 3.50565", \ + "0.0599542, 0.317997, 0.497537, 0.794946, 1.29389, 2.12379, 3.50774", \ + "0.0679875, 0.32142, 0.498672, 0.795092, 1.2939, 2.1238, 3.50775", \ + "0.0859857, 0.335261, 0.507699, 0.79881, 1.29391, 2.12388, 3.50776", \ + "0.123385, 0.367599, 0.537279, 0.820547, 1.3044, 2.12521, 3.50777", \ + "0.17595, 0.436466, 0.605183, 0.882936, 1.35413, 2.15491, 3.51528", \ + "0.267507, 0.561888, 0.737157, 1.01812, 1.48113, 2.26296, 3.58882" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0215798, 0.0618161, 0.0872061, 0.128769, 0.19812, 0.312978, 0.504838", \ + "0.0404732, 0.0974901, 0.126417, 0.170154, 0.240082, 0.355181, 0.546708", \ + "0.0510545, 0.12278, 0.157485, 0.206923, 0.281468, 0.398537, 0.590187", \ + "0.0605899, 0.155147, 0.198934, 0.260172, 0.347131, 0.475157, 0.672258", \ + "0.0689887, 0.195939, 0.255118, 0.334631, 0.444752, 0.598414, 0.819929", \ + "0.0699533, 0.241019, 0.320765, 0.429887, 0.57733, 0.774849, 1.04782", \ + "0.0699543, 0.28309, 0.391028, 0.535987, 0.737531, 1.00796, 1.36393" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0158319, 0.0644601, 0.0985101, 0.154235, 0.24803, 0.404028, 0.664405", \ + "0.0307499, 0.0798137, 0.110248, 0.161718, 0.25117, 0.405557, 0.664628", \ + "0.0431002, 0.0985143, 0.129442, 0.17936, 0.264327, 0.411846, 0.66659", \ + "0.0643643, 0.130592, 0.165516, 0.217821, 0.302081, 0.441464, 0.683927", \ + "0.100669, 0.184905, 0.225403, 0.286911, 0.376552, 0.518077, 0.749278", \ + "0.161816, 0.273824, 0.326717, 0.400838, 0.50903, 0.664279, 0.906296", \ + "0.264639, 0.428366, 0.495706, 0.590558, 0.725161, 0.914211, 1.18753" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0623643, 0.251781, 0.37879, 0.587856, 0.937913, 1.52117, 2.49339", \ + "0.0772144, 0.271427, 0.398802, 0.608456, 0.959369, 1.54263, 2.51464", \ + "0.0874014, 0.291748, 0.419041, 0.629007, 0.979597, 1.56335, 2.53596", \ + "0.107398, 0.33041, 0.46105, 0.671333, 1.02163, 1.60515, 2.57856", \ + "0.146449, 0.399854, 0.539767, 0.757903, 1.11018, 1.69237, 2.66458", \ + "0.200367, 0.510464, 0.668395, 0.907644, 1.27883, 1.86975, 2.83871", \ + "0.303177, 0.690918, 0.882835, 1.16006, 1.57446, 2.20539, 3.20036" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0533717, 0.31787, 0.497559, 0.794928, 1.29283, 2.12265, 3.50576", \ + "0.0632955, 0.318234, 0.49756, 0.794929, 1.294, 2.12267, 3.5075", \ + "0.073188, 0.323207, 0.499204, 0.795094, 1.29401, 2.12375, 3.50766", \ + "0.0921055, 0.341486, 0.511243, 0.800116, 1.29402, 2.12386, 3.50767", \ + "0.124171, 0.381887, 0.550028, 0.828363, 1.3079, 2.12564, 3.50768", \ + "0.174278, 0.460078, 0.631189, 0.908358, 1.37339, 2.16395, 3.51844", \ + "0.262116, 0.593576, 0.7779, 1.06468, 1.53593, 2.30573, 3.61351" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0208093, 0.0597355, 0.084742, 0.126028, 0.195197, 0.309917, 0.501612", \ + "0.0370659, 0.0949151, 0.123861, 0.167591, 0.237398, 0.352272, 0.543613", \ + "0.0456708, 0.119362, 0.154368, 0.204042, 0.278654, 0.395726, 0.587308", \ + "0.0515784, 0.150215, 0.194735, 0.256545, 0.343909, 0.472149, 0.669322", \ + "0.0537266, 0.187772, 0.24871, 0.329505, 0.440413, 0.594721, 0.816663", \ + "0.0537276, 0.227867, 0.309708, 0.421479, 0.570422, 0.769757, 1.04376", \ + "0.0537286, 0.256498, 0.37056, 0.520076, 0.725256, 0.999008, 1.35755" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0133311, 0.0612005, 0.0948734, 0.150937, 0.24476, 0.400724, 0.66103", \ + "0.0273176, 0.0769392, 0.107285, 0.158597, 0.248, 0.401445, 0.661031", \ + "0.0388188, 0.09523, 0.126458, 0.176413, 0.261256, 0.408766, 0.663236", \ + "0.0589522, 0.126804, 0.1623, 0.2151, 0.299248, 0.438402, 0.680579", \ + "0.0926616, 0.180233, 0.221427, 0.282926, 0.373556, 0.515266, 0.746328", \ + "0.149117, 0.268081, 0.322038, 0.3971, 0.504631, 0.660403, 0.905061", \ + "0.244494, 0.421137, 0.488192, 0.585835, 0.721556, 0.910744, 1.18395" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0418401, 0.232867, 0.360144, 0.56952, 0.919437, 1.50276, 2.47468", \ + "0.063084, 0.256327, 0.383521, 0.593096, 0.943659, 1.52751, 2.5007", \ + "0.078682, 0.283629, 0.409946, 0.619178, 0.968916, 1.55264, 2.52518", \ + "0.104585, 0.33432, 0.464855, 0.673611, 1.02218, 1.6047, 2.57721", \ + "0.148076, 0.417733, 0.562201, 0.7827, 1.13353, 1.71237, 2.68217", \ + "0.207846, 0.540737, 0.710303, 0.96343, 1.34363, 1.93611, 2.90103", \ + "0.3224, 0.740134, 0.945748, 1.24193, 1.68409, 2.34054, 3.34603" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0516414, 0.31778, 0.497583, 0.794939, 1.29357, 2.12265, 3.50565", \ + "0.0625515, 0.318129, 0.498365, 0.79494, 1.29358, 2.12383, 3.50606", \ + "0.070585, 0.324856, 0.49969, 0.795084, 1.29359, 2.12384, 3.50607", \ + "0.0859577, 0.34895, 0.516479, 0.802401, 1.29443, 2.12385, 3.50608", \ + "0.115519, 0.397931, 0.567701, 0.843163, 1.31524, 2.12816, 3.50831", \ + "0.163944, 0.484031, 0.665996, 0.947824, 1.40841, 2.18639, 3.52603", \ + "0.251949, 0.631024, 0.827872, 1.13252, 1.6134, 2.38409, 3.6692" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.01755, 0.0566818, 0.0815549, 0.122629, 0.191419, 0.305967, 0.497018", \ + "0.0298159, 0.0911559, 0.120407, 0.164263, 0.233931, 0.348473, 0.539359", \ + "0.0354142, 0.114739, 0.150256, 0.200276, 0.274986, 0.391923, 0.582941", \ + "0.0362529, 0.143779, 0.189441, 0.251927, 0.339897, 0.468207, 0.665225", \ + "0.0362539, 0.17785, 0.240446, 0.323004, 0.434826, 0.58969, 0.811746", \ + "0.0362549, 0.212298, 0.297075, 0.41143, 0.562701, 0.763231, 1.03801", \ + "0.0362559, 0.228341, 0.347895, 0.502618, 0.711939, 0.988212, 1.34955" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0102757, 0.0575542, 0.0910659, 0.146899, 0.240273, 0.395918, 0.65548", \ + "0.0230365, 0.0735558, 0.10384, 0.154939, 0.243663, 0.396546, 0.655481", \ + "0.0341588, 0.091635, 0.122922, 0.172771, 0.257163, 0.403839, 0.657447", \ + "0.0529009, 0.12289, 0.15848, 0.211327, 0.295171, 0.434033, 0.675012", \ + "0.0845048, 0.175906, 0.218106, 0.279176, 0.369538, 0.510884, 0.741049", \ + "0.138452, 0.263394, 0.318225, 0.39358, 0.500319, 0.65591, 0.898812", \ + "0.230044, 0.415475, 0.483739, 0.58249, 0.716864, 0.90597, 1.17982" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0297779, 0.0304687, 0.0304426, 0.0302954, 0.0299713, 0.0293152, 0.0296155", \ + "0.0292051, 0.0297741, 0.0299064, 0.0298773, 0.0297015, 0.0292108, 0.0297596", \ + "0.0292807, 0.0295542, 0.0297567, 0.0296284, 0.0294514, 0.0290393, 0.0298594", \ + "0.0306054, 0.0299533, 0.0297614, 0.0295933, 0.0293788, 0.028981, 0.0292789", \ + "0.037558, 0.0335308, 0.0324878, 0.031961, 0.0309608, 0.0298021, 0.0299721", \ + "0.0571229, 0.04691, 0.0443031, 0.0412196, 0.0384063, 0.0358615, 0.0336583", \ + "0.100155, 0.0833475, 0.0771556, 0.0705918, 0.0634786, 0.055493, 0.0494951" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0105002, 0.0103806, 0.0103552, 0.0101142, 0.00985941, 0.00924146, 0.00827441", \ + "0.010733, 0.0104943, 0.0103576, 0.0105349, 0.00982859, 0.00948656, 0.00823415", \ + "0.0118505, 0.0111004, 0.0109489, 0.0103648, 0.0104623, 0.00996918, 0.00848521", \ + "0.015393, 0.0127784, 0.0122367, 0.0117702, 0.0109125, 0.0101901, 0.00958699", \ + "0.0240507, 0.0184728, 0.016839, 0.0155872, 0.0140933, 0.0124067, 0.0103171", \ + "0.0438807, 0.0332875, 0.0292801, 0.0255549, 0.0219464, 0.0192038, 0.015904", \ + "0.0855862, 0.0691672, 0.0624027, 0.0545337, 0.0460916, 0.037466, 0.0308258" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0249987, 0.0256896, 0.0256641, 0.0255471, 0.025239, 0.0245348, 0.0248374", \ + "0.0244207, 0.0249857, 0.0251119, 0.0251262, 0.0250004, 0.0244204, 0.024955", \ + "0.0245737, 0.0247655, 0.0249651, 0.0248352, 0.0246299, 0.024308, 0.025056", \ + "0.0263752, 0.0253186, 0.0251042, 0.0248319, 0.0245669, 0.0242685, 0.0240699", \ + "0.0337059, 0.0292588, 0.0280291, 0.027472, 0.0263413, 0.0250242, 0.0247525", \ + "0.0517937, 0.0422834, 0.0394924, 0.0363152, 0.0337141, 0.0304457, 0.0297122", \ + "0.090224, 0.0746062, 0.0692932, 0.0633048, 0.0561566, 0.0486105, 0.0442322" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00910775, 0.00911766, 0.00916349, 0.00886982, 0.0085749, 0.00799917, 0.00704731", \ + "0.00887553, 0.00894646, 0.00875379, 0.00889773, 0.00827776, 0.00806694, 0.00693608", \ + "0.00990364, 0.00942025, 0.00929853, 0.00880203, 0.00881812, 0.00785063, 0.0069853", \ + "0.0132895, 0.0109854, 0.0105668, 0.0100664, 0.00932429, 0.0085702, 0.00796584", \ + "0.0211544, 0.0160267, 0.0145496, 0.0134766, 0.012179, 0.0105381, 0.0086905", \ + "0.0392513, 0.0296513, 0.0264179, 0.0230204, 0.0197209, 0.0172999, 0.0139677", \ + "0.0765293, 0.0623903, 0.0562254, 0.049849, 0.0416507, 0.0345811, 0.0281132" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0195326, 0.0201812, 0.0201521, 0.0200389, 0.0196845, 0.0190172, 0.0196056", \ + "0.0189482, 0.019484, 0.0195496, 0.0195307, 0.0194925, 0.018817, 0.0192931", \ + "0.0195578, 0.0194539, 0.0194603, 0.0193893, 0.0192222, 0.0187387, 0.0194966", \ + "0.022369, 0.020497, 0.020077, 0.0196911, 0.0192721, 0.0188985, 0.0185965", \ + "0.0301048, 0.0251011, 0.0236143, 0.022629, 0.0213282, 0.0202714, 0.0196894", \ + "0.046897, 0.0378887, 0.0349434, 0.0317393, 0.028812, 0.0252312, 0.0246317", \ + "0.0822085, 0.0671371, 0.062253, 0.0564702, 0.0503779, 0.042827, 0.038837" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00567402, 0.00596928, 0.00587915, 0.00580143, 0.00552659, 0.00488085, 0.00412916", \ + "0.00572301, 0.00609865, 0.00597404, 0.00616523, 0.00552694, 0.00506816, 0.00400179", \ + "0.00680162, 0.00651038, 0.00646888, 0.006084, 0.00608494, 0.00533282, 0.00431845", \ + "0.01013, 0.00810337, 0.0077292, 0.00733994, 0.00647674, 0.00583078, 0.00543519", \ + "0.0175525, 0.0129554, 0.0114705, 0.0103365, 0.00929512, 0.00806981, 0.00604786", \ + "0.0341898, 0.0254258, 0.0225859, 0.0194838, 0.0161507, 0.0138948, 0.0117392", \ + "0.0687212, 0.0553435, 0.050367, 0.0443484, 0.0370289, 0.0302791, 0.0243799" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0125865, 0.013812, 0.0138658, 0.0137791, 0.0135091, 0.0128444, 0.013098", \ + "0.0133709, 0.0133557, 0.0133775, 0.0132718, 0.013173, 0.0126619, 0.0127886", \ + "0.0151525, 0.0136907, 0.0136119, 0.0133272, 0.0130653, 0.0126412, 0.0128642", \ + "0.0188816, 0.0158358, 0.0151258, 0.0142593, 0.0136827, 0.012942, 0.0132058", \ + "0.0273046, 0.0217705, 0.0200009, 0.0186747, 0.0164652, 0.0151432, 0.0145549", \ + "0.0442449, 0.0349354, 0.0320724, 0.0286521, 0.0253331, 0.0211168, 0.0193859", \ + "0.0805908, 0.0657156, 0.0606832, 0.0550518, 0.0485809, 0.0404909, 0.0363286" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.00304707, 0.00416201, 0.00410664, 0.00403672, 0.00375985, 0.00323171, 0.00241515", \ + "0.00364319, 0.00436282, 0.00432398, 0.00461409, 0.00410939, 0.00355547, 0.00265125", \ + "0.00497381, 0.00478057, 0.00479916, 0.00444251, 0.00449835, 0.00384211, 0.00271776", \ + "0.00859883, 0.00632131, 0.00597876, 0.00575301, 0.00497934, 0.00440017, 0.00365371", \ + "0.0164005, 0.0110295, 0.00993038, 0.00873278, 0.00774098, 0.0065037, 0.00450049", \ + "0.0333058, 0.0233868, 0.0204132, 0.0173195, 0.0141893, 0.0122126, 0.0099333", \ + "0.0683034, 0.0533806, 0.0474525, 0.0414363, 0.0341849, 0.0273792, 0.0222313" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.006064; + rise_capacitance : 0.00594011; + rise_capacitance_range (0.00594011, 0.00594011); + fall_capacitance : 0.0061879; + fall_capacitance_range (0.0061879, 0.0061879); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000788375, -0.00117497, -0.00125165, -0.00125106, -0.00126483, -0.00126267, -0.00123282" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00128047, 0.00127974, 0.00125165, 0.00125106, 0.00126483, 0.00126267, 0.00123282" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000788375, -0.00117497, -0.00125165, -0.00125106, -0.00126483, -0.00126267, -0.00123282" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00128047, 0.00127974, 0.00125165, 0.00125106, 0.00126483, 0.00126267, 0.00123282" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00593742; + rise_capacitance : 0.00592689; + rise_capacitance_range (0.00592689, 0.00592689); + fall_capacitance : 0.00594794; + fall_capacitance_range (0.00594794, 0.00594794); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00514722; + rise_capacitance : 0.00498879; + rise_capacitance_range (0.00498879, 0.00498879); + fall_capacitance : 0.00530566; + fall_capacitance_range (0.00530566, 0.00530566); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00171245, 0.00171458, 0.00173144, 0.0017287, 0.00173618, 0.00173373, 0.00173548" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000557249, -0.000548397, -0.000545584, -0.000548783, -0.000544439, -0.000542384, -0.000540359" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00171245, 0.00171458, 0.00173144, 0.0017287, 0.00173618, 0.00173373, 0.00173548" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000557249, -0.000548397, -0.000545584, -0.000548783, -0.000544439, -0.000542384, -0.000540359" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00521467; + rise_capacitance : 0.00517278; + rise_capacitance_range (0.00517278, 0.00517278); + fall_capacitance : 0.00525656; + fall_capacitance_range (0.00525656, 0.00525656); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00231016, 0.00231039, 0.00231712, 0.00231167, 0.00231862, 0.0023145, 0.00231902" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000765037, -0.000750664, -0.000721793, -0.000734365, -0.000714293, -0.000699002, -0.000705424" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00231016, 0.00231039, 0.00231712, 0.00231167, 0.00231862, 0.0023145, 0.00231902" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000765037, -0.000750664, -0.000721793, -0.000734365, -0.000714293, -0.000699002, -0.000705424" \ + ); + } + } + } + } + cell (sg13g2_o21ai_1) { + area : 9.072; + cell_footprint : "o21ai"; + cell_leakage_power : 444.606; + leakage_power () { + value : 214.51; + when : "!A1*!A2*!B1*Y"; + } + leakage_power () { + value : 211.929; + when : "!A1*!A2*B1*Y"; + } + leakage_power () { + value : 383.204; + when : "!A1*A2*!B1*Y"; + } + leakage_power () { + value : 602.126; + when : "!A1*A2*B1*!Y"; + } + leakage_power () { + value : 383.221; + when : "A1*!A2*!B1*Y"; + } + leakage_power () { + value : 527.076; + when : "A1*!A2*B1*!Y"; + } + leakage_power () { + value : 525.406; + when : "A1*A2*!B1*Y"; + } + leakage_power () { + value : 709.379; + when : "A1*A2*B1*!Y"; + } + pin (Y) { + direction : "output"; + function : "!((A1+A2)*B1)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0483751, 0.158036, 0.232866, 0.35667, 0.563643, 0.907942, 1.48231", \ + "0.0662537, 0.181632, 0.256766, 0.380607, 0.58759, 0.932517, 1.50715", \ + "0.0777621, 0.202694, 0.279314, 0.403365, 0.610498, 0.957779, 1.52988", \ + "0.0964724, 0.238391, 0.320051, 0.448041, 0.656096, 1.00066, 1.57516", \ + "0.128476, 0.295879, 0.38833, 0.527198, 0.744804, 1.09343, 1.66693", \ + "0.168834, 0.381179, 0.490489, 0.652048, 0.893458, 1.2636, 1.84869", \ + "0.242322, 0.514719, 0.652925, 0.848918, 1.13146, 1.54454, 2.18081" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0360361, 0.190591, 0.297884, 0.474967, 0.771577, 1.26554, 2.08857", \ + "0.0450548, 0.192579, 0.298378, 0.474968, 0.771691, 1.26571, 2.08906", \ + "0.0548419, 0.20047, 0.303045, 0.476739, 0.772066, 1.26884, 2.08924", \ + "0.0746, 0.221443, 0.321504, 0.488704, 0.776818, 1.26885, 2.09033", \ + "0.106007, 0.262895, 0.364586, 0.529, 0.806275, 1.28157, 2.09362", \ + "0.149274, 0.343595, 0.449715, 0.618125, 0.891999, 1.3504, 2.13401", \ + "0.225074, 0.468726, 0.596803, 0.778241, 1.0636, 1.52176, 2.28497" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0334373, 0.0998469, 0.144823, 0.21902, 0.34318, 0.55012, 0.894465", \ + "0.0483035, 0.124861, 0.171141, 0.245825, 0.370028, 0.576857, 0.921429", \ + "0.0571117, 0.145494, 0.195035, 0.272208, 0.397544, 0.604569, 0.949154", \ + "0.0643316, 0.173315, 0.229786, 0.314199, 0.445669, 0.655619, 1.0008", \ + "0.0714927, 0.210712, 0.280307, 0.378695, 0.525662, 0.749124, 1.10258", \ + "0.0757812, 0.25659, 0.344728, 0.468766, 0.644058, 0.899539, 1.28322", \ + "0.0757822, 0.307424, 0.421899, 0.579416, 0.803023, 1.11523, 1.55883" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0203935, 0.108116, 0.169161, 0.270323, 0.439832, 0.722139, 1.19255", \ + "0.0301721, 0.114113, 0.172924, 0.271823, 0.440135, 0.722148, 1.19256", \ + "0.0403843, 0.125509, 0.182485, 0.278803, 0.443504, 0.7233, 1.19257", \ + "0.0599679, 0.149018, 0.206318, 0.300143, 0.459459, 0.731525, 1.19528", \ + "0.0938827, 0.194104, 0.253642, 0.348722, 0.504647, 0.76797, 1.21657", \ + "0.147444, 0.271815, 0.339131, 0.440305, 0.60263, 0.862995, 1.30002", \ + "0.235704, 0.403533, 0.485076, 0.603278, 0.7834, 1.0546, 1.4919" \ + ); + } + } + timing () { + related_pin : "A2"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0421999, 0.152669, 0.227736, 0.35129, 0.55829, 0.902844, 1.47795", \ + "0.0654056, 0.184232, 0.259255, 0.383232, 0.590196, 0.934974, 1.50993", \ + "0.0816457, 0.214921, 0.29197, 0.415757, 0.622556, 0.967057, 1.54158", \ + "0.107726, 0.265083, 0.350722, 0.48068, 0.688534, 1.03244, 1.60827", \ + "0.14986, 0.341894, 0.444238, 0.592044, 0.81559, 1.16471, 1.73718", \ + "0.205477, 0.453704, 0.579747, 0.759128, 1.02215, 1.4088, 2.00185", \ + "0.309283, 0.628888, 0.78866, 1.01357, 1.33229, 1.79676, 2.46764" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.036183, 0.190532, 0.297732, 0.474835, 0.771523, 1.26557, 2.08932", \ + "0.0499508, 0.19384, 0.298467, 0.474848, 0.771524, 1.2657, 2.08933", \ + "0.0605236, 0.206482, 0.306286, 0.477494, 0.77156, 1.26571, 2.08934", \ + "0.0794037, 0.237524, 0.334699, 0.497072, 0.779963, 1.26616, 2.09099", \ + "0.109222, 0.292324, 0.395474, 0.557793, 0.826419, 1.29062, 2.09498", \ + "0.153451, 0.384629, 0.502047, 0.677152, 0.951796, 1.39824, 2.16039", \ + "0.23054, 0.529622, 0.671942, 0.874096, 1.18055, 1.64612, 2.39378" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.028123, 0.0945477, 0.139179, 0.212992, 0.336304, 0.542078, 0.884511", \ + "0.0395293, 0.119092, 0.16541, 0.239846, 0.363455, 0.569174, 0.912105", \ + "0.0452859, 0.138921, 0.188947, 0.266141, 0.391037, 0.59704, 0.939926", \ + "0.0470721, 0.165033, 0.222583, 0.307678, 0.438975, 0.648187, 0.991673", \ + "0.0470731, 0.198856, 0.270651, 0.370663, 0.518565, 0.741685, 1.09368", \ + "0.0470741, 0.236667, 0.328965, 0.456728, 0.634307, 0.890967, 1.27366", \ + "0.0470751, 0.266815, 0.389946, 0.555256, 0.785846, 1.10337, 1.54865" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0139812, 0.100596, 0.161325, 0.261852, 0.43017, 0.710633, 1.17794", \ + "0.0239283, 0.106915, 0.165101, 0.263359, 0.430478, 0.710657, 1.17808", \ + "0.0340489, 0.118348, 0.175017, 0.270349, 0.433686, 0.714355, 1.17809", \ + "0.0532702, 0.142107, 0.199002, 0.292, 0.450197, 0.720096, 1.18095", \ + "0.0861995, 0.186943, 0.246634, 0.340908, 0.496119, 0.757245, 1.20312", \ + "0.138132, 0.265091, 0.332192, 0.434757, 0.594965, 0.853402, 1.28714", \ + "0.222385, 0.400366, 0.479887, 0.60117, 0.776723, 1.04833, 1.48145" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b1 & A2 == 1'b0"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(A1 * !A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204415, 0.0769493, 0.114734, 0.176953, 0.280971, 0.453919, 0.741168", \ + "0.0366386, 0.113149, 0.152938, 0.215661, 0.319643, 0.492951, 0.779894", \ + "0.0472029, 0.140735, 0.186188, 0.253192, 0.358439, 0.531224, 0.818657", \ + "0.0607157, 0.181731, 0.238131, 0.316748, 0.432019, 0.608897, 0.896279", \ + "0.0823026, 0.240393, 0.312079, 0.412147, 0.550669, 0.749488, 1.04911", \ + "0.107132, 0.314225, 0.412393, 0.54388, 0.7225, 0.970898, 1.31852", \ + "0.152382, 0.419666, 0.549294, 0.725164, 0.964804, 1.28517, 1.7264" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0211913, 0.0993443, 0.154136, 0.244985, 0.397235, 0.651055, 1.074", \ + "0.0413177, 0.110164, 0.160127, 0.247101, 0.397302, 0.651173, 1.07412", \ + "0.0552703, 0.128959, 0.176528, 0.258358, 0.402446, 0.651658, 1.07413", \ + "0.0793906, 0.163161, 0.213284, 0.293086, 0.428035, 0.664898, 1.08004", \ + "0.117477, 0.22023, 0.278827, 0.365297, 0.501438, 0.7238, 1.11159", \ + "0.168987, 0.31407, 0.387686, 0.490546, 0.641469, 0.870242, 1.24355", \ + "0.26334, 0.456231, 0.555223, 0.691031, 0.871899, 1.13793, 1.53122" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0288997, 0.0963158, 0.141391, 0.215682, 0.339889, 0.546728, 0.891161", \ + "0.0463013, 0.12894, 0.175907, 0.250789, 0.375009, 0.582404, 0.926504", \ + "0.0572267, 0.155295, 0.207288, 0.286017, 0.411797, 0.618632, 0.962925", \ + "0.0694477, 0.190162, 0.252288, 0.341767, 0.476942, 0.688024, 1.03289", \ + "0.0853356, 0.237884, 0.314981, 0.42397, 0.581586, 0.813788, 1.17078", \ + "0.103562, 0.299312, 0.397929, 0.536394, 0.730551, 1.00826, 1.41357", \ + "0.121178, 0.378095, 0.502984, 0.679622, 0.930141, 1.27577, 1.76737" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0208948, 0.108044, 0.16924, 0.270597, 0.439878, 0.722145, 1.19254", \ + "0.0341421, 0.118134, 0.175315, 0.272918, 0.440448, 0.722376, 1.19255", \ + "0.0448852, 0.135159, 0.190809, 0.284345, 0.445917, 0.725738, 1.19256", \ + "0.0625148, 0.167071, 0.225161, 0.317367, 0.471618, 0.737902, 1.19635", \ + "0.0925514, 0.218395, 0.285885, 0.383932, 0.539735, 0.795632, 1.23273", \ + "0.143011, 0.301834, 0.382594, 0.497887, 0.669673, 0.935741, 1.36014", \ + "0.228472, 0.438621, 0.538274, 0.680144, 0.883732, 1.18451, 1.6331" \ + ); + } + } + timing () { + related_pin : "B1"; + sdf_cond : "A1 == 1'b0 & A2 == 1'b1"; + timing_sense : negative_unate; + timing_type : combinational; + when : "(!A1 * A2)"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0196503, 0.0759197, 0.113388, 0.175207, 0.278613, 0.451054, 0.738001", \ + "0.034895, 0.111912, 0.151543, 0.213833, 0.317266, 0.489689, 0.777086", \ + "0.0443097, 0.139178, 0.184522, 0.251291, 0.356091, 0.528286, 0.81519", \ + "0.0564134, 0.179362, 0.235962, 0.314482, 0.429494, 0.605878, 0.893057", \ + "0.074482, 0.236809, 0.308702, 0.408931, 0.547307, 0.746248, 1.04579", \ + "0.0934503, 0.307515, 0.406844, 0.538817, 0.71802, 0.967342, 1.31495", \ + "0.123338, 0.407935, 0.539594, 0.717524, 0.958989, 1.28121, 1.72338" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0133443, 0.0903764, 0.145376, 0.236311, 0.388804, 0.642601, 1.06607", \ + "0.0277328, 0.101321, 0.151394, 0.238417, 0.388879, 0.642602, 1.06608", \ + "0.0386071, 0.119501, 0.167762, 0.249683, 0.393935, 0.643426, 1.06609", \ + "0.0562644, 0.15264, 0.203833, 0.284297, 0.419562, 0.656468, 1.07259", \ + "0.0854418, 0.206581, 0.267586, 0.355567, 0.49234, 0.715331, 1.10318", \ + "0.125701, 0.295307, 0.375057, 0.47827, 0.631805, 0.861571, 1.23458", \ + "0.203492, 0.430172, 0.537324, 0.6778, 0.862187, 1.12871, 1.52312" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0220638, 0.0889061, 0.133573, 0.207385, 0.330705, 0.536425, 0.879177", \ + "0.0341148, 0.120822, 0.167868, 0.242375, 0.365823, 0.571457, 0.914317", \ + "0.0420293, 0.145905, 0.198495, 0.277341, 0.402543, 0.608316, 0.950626", \ + "0.049599, 0.178754, 0.241855, 0.332186, 0.467215, 0.677519, 1.02072", \ + "0.059017, 0.222975, 0.302166, 0.412158, 0.570405, 0.802527, 1.15822", \ + "0.0682779, 0.280529, 0.381246, 0.521467, 0.716916, 0.995648, 1.39961", \ + "0.073337, 0.354005, 0.482016, 0.660524, 0.912268, 1.25896, 1.7504" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0150186, 0.100899, 0.161424, 0.261855, 0.430167, 0.710691, 1.17809", \ + "0.0278522, 0.111708, 0.168099, 0.264632, 0.430681, 0.710692, 1.1781", \ + "0.038298, 0.128997, 0.184034, 0.276434, 0.436626, 0.715269, 1.17811", \ + "0.0551366, 0.160666, 0.218521, 0.31021, 0.463172, 0.72713, 1.18304", \ + "0.082633, 0.212603, 0.278493, 0.37659, 0.53209, 0.785352, 1.21933", \ + "0.129654, 0.294275, 0.375942, 0.489237, 0.660945, 0.924477, 1.34751", \ + "0.210926, 0.426303, 0.529156, 0.672216, 0.874662, 1.1733, 1.62122" \ + ); + } + } + timing () { + related_pin : "B1"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0204415, 0.0769493, 0.114734, 0.176953, 0.280971, 0.453919, 0.741168", \ + "0.0366386, 0.113149, 0.152938, 0.215661, 0.319643, 0.492951, 0.779894", \ + "0.0472029, 0.140735, 0.186188, 0.253192, 0.358439, 0.531224, 0.818657", \ + "0.0607157, 0.181731, 0.238131, 0.316748, 0.432019, 0.608897, 0.896279", \ + "0.0823026, 0.240393, 0.312079, 0.412147, 0.550669, 0.749488, 1.04911", \ + "0.107132, 0.314225, 0.412393, 0.54388, 0.7225, 0.970898, 1.31852", \ + "0.152382, 0.419666, 0.549294, 0.725164, 0.964804, 1.28517, 1.7264" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0211913, 0.0993443, 0.154136, 0.244985, 0.397235, 0.651055, 1.074", \ + "0.0413177, 0.110164, 0.160127, 0.247101, 0.397302, 0.651173, 1.07412", \ + "0.0552703, 0.128959, 0.176528, 0.258358, 0.402446, 0.651658, 1.07413", \ + "0.0793906, 0.163161, 0.213284, 0.293086, 0.428035, 0.664898, 1.08004", \ + "0.117477, 0.22023, 0.278827, 0.365297, 0.501438, 0.7238, 1.11159", \ + "0.168987, 0.31407, 0.387686, 0.490546, 0.641469, 0.870242, 1.24355", \ + "0.26334, 0.456231, 0.555223, 0.691031, 0.871899, 1.13793, 1.53122" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0288997, 0.0963158, 0.141391, 0.215682, 0.339889, 0.546728, 0.891161", \ + "0.0463013, 0.12894, 0.175907, 0.250789, 0.375009, 0.582404, 0.926504", \ + "0.0572267, 0.155295, 0.207288, 0.286017, 0.411797, 0.618632, 0.962925", \ + "0.0694477, 0.190162, 0.252288, 0.341767, 0.476942, 0.688024, 1.03289", \ + "0.0853356, 0.237884, 0.314981, 0.42397, 0.581586, 0.813788, 1.17078", \ + "0.103562, 0.299312, 0.397929, 0.536394, 0.730551, 1.00826, 1.41357", \ + "0.121178, 0.378095, 0.502984, 0.679622, 0.930141, 1.27577, 1.76737" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0208948, 0.108044, 0.16924, 0.270597, 0.439878, 0.722145, 1.19254", \ + "0.0341421, 0.118134, 0.175315, 0.272918, 0.440448, 0.722376, 1.19255", \ + "0.0448852, 0.135159, 0.190809, 0.284345, 0.445917, 0.725738, 1.19256", \ + "0.0625148, 0.167071, 0.225161, 0.317367, 0.471618, 0.737902, 1.19635", \ + "0.0925514, 0.218395, 0.285885, 0.383932, 0.539735, 0.795632, 1.23273", \ + "0.143011, 0.301834, 0.382594, 0.497887, 0.669673, 0.935741, 1.36014", \ + "0.228472, 0.438621, 0.538274, 0.680144, 0.883732, 1.18451, 1.6331" \ + ); + } + } + internal_power () { + related_pin : "A1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00762659, 0.00775302, 0.00770152, 0.00759555, 0.00742384, 0.00704129, 0.00661878", \ + "0.00752404, 0.00770615, 0.00779204, 0.00759686, 0.00747929, 0.007142, 0.00680034", \ + "0.00796358, 0.00781787, 0.00770709, 0.00765509, 0.00752908, 0.00749119, 0.00672184", \ + "0.00973391, 0.0086513, 0.00836799, 0.00813085, 0.00775854, 0.00729584, 0.00708334", \ + "0.0144772, 0.0116774, 0.010928, 0.00993308, 0.00936536, 0.00841063, 0.00845537", \ + "0.0245776, 0.0199, 0.0180501, 0.0162238, 0.014146, 0.0122899, 0.010404", \ + "0.0456306, 0.0388234, 0.0357819, 0.0320631, 0.0278401, 0.0233077, 0.0190955" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00795556, 0.00802151, 0.00795475, 0.00785306, 0.00769524, 0.00744129, 0.00686486", \ + "0.00751545, 0.007707, 0.00780844, 0.00761328, 0.00745058, 0.00717075, 0.00664919", \ + "0.0078735, 0.00780418, 0.00769245, 0.00775184, 0.00744866, 0.00719632, 0.00664525", \ + "0.00944556, 0.0085346, 0.00835218, 0.00809994, 0.00796371, 0.00746179, 0.00680836", \ + "0.0137802, 0.0111257, 0.010442, 0.00985558, 0.00920646, 0.00842882, 0.00754404", \ + "0.0235427, 0.0185678, 0.0167833, 0.0149031, 0.0134314, 0.0118416, 0.00991934", \ + "0.0437995, 0.0362836, 0.0331408, 0.0292299, 0.0254145, 0.0213473, 0.0179252" \ + ); + } + } + internal_power () { + related_pin : "A2"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00375664, 0.00408277, 0.00405859, 0.003965, 0.0038008, 0.00346533, 0.00303249", \ + "0.00409741, 0.00406439, 0.00407323, 0.00397855, 0.00384152, 0.00353818, 0.00315045", \ + "0.00501532, 0.00438722, 0.00423709, 0.00413712, 0.00390857, 0.00358369, 0.00313668", \ + "0.0071287, 0.00549938, 0.00512664, 0.00484366, 0.0043044, 0.00380077, 0.00357056", \ + "0.0120036, 0.00912393, 0.00813185, 0.00698274, 0.00627901, 0.00533372, 0.00494745", \ + "0.0214276, 0.0167941, 0.0150335, 0.0130409, 0.0108051, 0.0089092, 0.00674169", \ + "0.0417239, 0.0351723, 0.031946, 0.028425, 0.0243895, 0.0199012, 0.0156528" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0074066, 0.00815585, 0.00814148, 0.008088, 0.00792593, 0.00766532, 0.00710946", \ + "0.00694497, 0.00769457, 0.00795079, 0.00791197, 0.00772711, 0.00750418, 0.00702039", \ + "0.00740796, 0.00771897, 0.00774252, 0.00787774, 0.00775236, 0.00786773, 0.00686548", \ + "0.00906037, 0.00827211, 0.00823372, 0.0081267, 0.0080688, 0.00756746, 0.00725994", \ + "0.0132957, 0.0106315, 0.0101056, 0.00962405, 0.00911517, 0.00851068, 0.00816977", \ + "0.0226881, 0.0174711, 0.0158112, 0.0143352, 0.0129627, 0.0116695, 0.00984421", \ + "0.0419782, 0.0340892, 0.030863, 0.0275081, 0.0239332, 0.0204981, 0.0174677" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(A1 * !A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00476234, 0.0056317, 0.00565537, 0.00560266, 0.00544654, 0.0051653, 0.00460498", \ + "0.00536158, 0.00537203, 0.00547323, 0.00544002, 0.00529542, 0.0051398, 0.00452976", \ + "0.00650916, 0.00564048, 0.00561625, 0.00559838, 0.00550976, 0.00506909, 0.00456258", \ + "0.00904547, 0.00702614, 0.00656666, 0.00615393, 0.00605948, 0.00530777, 0.00512442", \ + "0.0147531, 0.0110631, 0.0101576, 0.00910651, 0.00772095, 0.00683139, 0.00579415", \ + "0.0258325, 0.0201191, 0.018005, 0.0159125, 0.0136473, 0.0112314, 0.00896205", \ + "0.0490879, 0.0407087, 0.0370724, 0.0330255, 0.0283923, 0.0239033, 0.019351" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00436196, 0.00479961, 0.00478602, 0.00474476, 0.00458894, 0.00433607, 0.00377934", \ + "0.00447445, 0.00458467, 0.00465146, 0.00464442, 0.00448549, 0.00433843, 0.00367915", \ + "0.00532392, 0.0048668, 0.00477646, 0.00487819, 0.00454009, 0.00452885, 0.00366608", \ + "0.00761709, 0.00604352, 0.0057335, 0.00534251, 0.00511984, 0.00457157, 0.00386457", \ + "0.0125861, 0.00949537, 0.00862141, 0.00770095, 0.00669988, 0.00593835, 0.0047812", \ + "0.0234632, 0.0178052, 0.0158504, 0.0137285, 0.0118556, 0.00992188, 0.00743128", \ + "0.0456961, 0.0375685, 0.0340729, 0.0298879, 0.0256557, 0.0213844, 0.0172505" \ + ); + } + } + internal_power () { + related_pin : "B1"; + when : "(!A1 * A2)"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00105228, 0.00193564, 0.00196844, 0.0019152, 0.00178604, 0.00148954, 0.00094767", \ + "0.00167916, 0.00166956, 0.00187129, 0.00173556, 0.00166471, 0.0014273, 0.00086766", \ + "0.00287115, 0.0019991, 0.00192202, 0.00201451, 0.0018312, 0.00140271, 0.00082373", \ + "0.00546856, 0.00337156, 0.00292786, 0.00247859, 0.0023501, 0.00166749, 0.00155254", \ + "0.0112545, 0.00741554, 0.00653586, 0.00547298, 0.00418227, 0.00318163, 0.00230709", \ + "0.0225103, 0.0165468, 0.0145434, 0.0122958, 0.0100921, 0.00766913, 0.00519529", \ + "0.0459484, 0.037223, 0.0336643, 0.0296897, 0.0251123, 0.0204856, 0.0160028" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00351567, 0.00424766, 0.00421363, 0.00416286, 0.0040173, 0.00375131, 0.00325182", \ + "0.00391954, 0.00397679, 0.00418626, 0.00403961, 0.00390633, 0.00362282, 0.00316501", \ + "0.00497724, 0.00428217, 0.00416977, 0.00430243, 0.00393269, 0.0040373, 0.00309978", \ + "0.00759504, 0.00555295, 0.00515752, 0.00478501, 0.00453785, 0.00405525, 0.00330951", \ + "0.0128407, 0.00916541, 0.00816507, 0.00719255, 0.00622553, 0.00523256, 0.004553", \ + "0.0239958, 0.017716, 0.0156627, 0.0133213, 0.0114033, 0.00948699, 0.0069094", \ + "0.0466405, 0.0374945, 0.0341181, 0.0298443, 0.025482, 0.0209158, 0.0169238" \ + ); + } + } + internal_power () { + related_pin : "B1"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00105228, 0.00193564, 0.00196844, 0.0019152, 0.00178604, 0.00148954, 0.00094767", \ + "0.00167916, 0.00166956, 0.00187129, 0.00173556, 0.00166471, 0.0014273, 0.00086766", \ + "0.00287115, 0.0019991, 0.00192202, 0.00201451, 0.0018312, 0.00140271, 0.00082373", \ + "0.00546856, 0.00337156, 0.00292786, 0.00247859, 0.0023501, 0.00166749, 0.00155254", \ + "0.0112545, 0.00741554, 0.00653586, 0.00547298, 0.00418227, 0.00318163, 0.00230709", \ + "0.0225103, 0.0165468, 0.0145434, 0.0122958, 0.0100921, 0.00766913, 0.00519529", \ + "0.0459484, 0.037223, 0.0336643, 0.0296897, 0.0251123, 0.0204856, 0.0160028" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00351567, 0.00424766, 0.00421363, 0.00416286, 0.0040173, 0.00375131, 0.00325182", \ + "0.00391954, 0.00397679, 0.00418626, 0.00403961, 0.00390633, 0.00362282, 0.00316501", \ + "0.00497724, 0.00428217, 0.00416977, 0.00430243, 0.00393269, 0.0040373, 0.00309978", \ + "0.00759504, 0.00555295, 0.00515752, 0.00478501, 0.00453785, 0.00405525, 0.00330951", \ + "0.0128407, 0.00916541, 0.00816507, 0.00719255, 0.00622553, 0.00523256, 0.004553", \ + "0.0239958, 0.017716, 0.0156627, 0.0133213, 0.0114033, 0.00948699, 0.0069094", \ + "0.0466405, 0.0374945, 0.0341181, 0.0298443, 0.025482, 0.0209158, 0.0169238" \ + ); + } + } + } + pin (A1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0034844; + rise_capacitance : 0.00353772; + rise_capacitance_range (0.00353772, 0.00353772); + fall_capacitance : 0.00343108; + fall_capacitance_range (0.00343108, 0.00343108); + internal_power () { + when : "(!A2 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00046934, -0.000324123, -0.000290895, -0.000270388, -0.000242515, -0.000230846, -0.000212988" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00046934, 0.000324123, 0.000290895, 0.000270388, 0.000242515, 0.000230846, 0.000212988" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.00046934, -0.000324123, -0.000290895, -0.000270388, -0.000242515, -0.000230846, -0.000212988" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00046934, 0.000324123, 0.000290895, 0.000270388, 0.000242515, 0.000230846, 0.000212988" \ + ); + } + } + } + pin (A2) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0034988; + rise_capacitance : 0.00360702; + rise_capacitance_range (0.00360702, 0.00360702); + fall_capacitance : 0.00339059; + fall_capacitance_range (0.00339059, 0.00339059); + internal_power () { + when : "(!A1 * !B1)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000374208, -0.000227744, -0.00019547, -0.000171835, -0.000152578, -0.000138087, -0.000122336" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000374208, 0.000227744, 0.00019547, 0.000171835, 0.000152578, 0.000138087, 0.000122336" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000374208, -0.000227744, -0.00019547, -0.000171835, -0.000152578, -0.000138087, -0.000122336" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000374208, 0.000227744, 0.00019547, 0.000171835, 0.000152578, 0.000138087, 0.000122336" \ + ); + } + } + } + pin (B1) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0031637; + rise_capacitance : 0.00329125; + rise_capacitance_range (0.00329125, 0.00329125); + fall_capacitance : 0.00303616; + fall_capacitance_range (0.00303616, 0.00303616); + internal_power () { + when : "(!A1 * !A2)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000123516, 0.000142351, 0.000147079, 0.000147881, 0.000140412, 0.000145022, 0.000141851" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00153866, 0.00153959, 0.00153604, 0.00154263, 0.00154909, 0.00154715, 0.00154896" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000123516, 0.000142351, 0.000147079, 0.000147881, 0.000140412, 0.000145022, 0.000141851" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00153866, 0.00153959, 0.00153604, 0.00154263, 0.00154909, 0.00154715, 0.00154896" \ + ); + } + } + } + } + cell (sg13g2_or2_1) { + area : 9.072; + cell_footprint : "or2"; + cell_leakage_power : 314.087; + leakage_power () { + value : 378.567; + when : "!A&!B"; + } + leakage_power () { + value : 255.646; + when : "A&!B"; + } + leakage_power () { + value : 313.627; + when : "!A&B"; + } + leakage_power () { + value : 308.508; + when : "A&B"; + } + pin (X) { + direction : "output"; + function : "(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0407333, 0.0877414, 0.118743, 0.169672, 0.255051, 0.396771, 0.632749", \ + "0.0644119, 0.112061, 0.143045, 0.194046, 0.279254, 0.421091, 0.657397", \ + "0.0793015, 0.128125, 0.159206, 0.210058, 0.295345, 0.437024, 0.673345", \ + "0.096659, 0.147698, 0.178286, 0.229347, 0.31434, 0.456133, 0.692066", \ + "0.119265, 0.174941, 0.205514, 0.256092, 0.340948, 0.482345, 0.718576", \ + "0.147955, 0.212424, 0.243139, 0.294592, 0.379925, 0.521785, 0.757821", \ + "0.18077, 0.258155, 0.29265, 0.344936, 0.43162, 0.575887, 0.814353" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0116674, 0.0751576, 0.120818, 0.19647, 0.323519, 0.534419, 0.886512", \ + "0.0147947, 0.0755798, 0.121083, 0.196597, 0.32352, 0.53442, 0.886513", \ + "0.0174143, 0.0761743, 0.121365, 0.196836, 0.323521, 0.534421, 0.88822", \ + "0.0212554, 0.0777738, 0.12206, 0.19728, 0.323737, 0.534648, 0.888221", \ + "0.0275218, 0.0813915, 0.124306, 0.198565, 0.32477, 0.535401, 0.888222", \ + "0.037365, 0.090377, 0.130481, 0.202783, 0.327916, 0.537851, 0.888415", \ + "0.053028, 0.108694, 0.14565, 0.213781, 0.336663, 0.546246, 0.89548" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0625993, 0.109163, 0.135016, 0.176547, 0.245556, 0.360051, 0.551016", \ + "0.0812077, 0.128811, 0.15485, 0.196468, 0.265389, 0.379916, 0.570575", \ + "0.0934934, 0.142646, 0.168926, 0.210764, 0.279855, 0.394405, 0.585276", \ + "0.112414, 0.163983, 0.190541, 0.232406, 0.301446, 0.416239, 0.607002", \ + "0.141793, 0.198539, 0.226154, 0.268377, 0.33779, 0.452117, 0.642843", \ + "0.174309, 0.238598, 0.268819, 0.314301, 0.385495, 0.501895, 0.692725", \ + "0.227361, 0.302475, 0.336811, 0.38604, 0.461574, 0.584069, 0.780175" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0154281, 0.0617226, 0.0938122, 0.148252, 0.24101, 0.395967, 0.654666", \ + "0.0170969, 0.0627046, 0.0945053, 0.148684, 0.241116, 0.396457, 0.654667", \ + "0.0189813, 0.064192, 0.0956987, 0.14948, 0.241439, 0.396458, 0.65549", \ + "0.0226793, 0.066968, 0.097759, 0.150928, 0.242314, 0.396679, 0.655491", \ + "0.0289424, 0.0729876, 0.102793, 0.154001, 0.243978, 0.397772, 0.655686", \ + "0.037512, 0.083967, 0.113348, 0.164442, 0.252348, 0.403086, 0.658429", \ + "0.052388, 0.10237, 0.131744, 0.182243, 0.271366, 0.422419, 0.675301" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0376334, 0.0844307, 0.115344, 0.166217, 0.251447, 0.393082, 0.629144", \ + "0.0584177, 0.106036, 0.137089, 0.187797, 0.272827, 0.414781, 0.650928", \ + "0.0712753, 0.119916, 0.15081, 0.201532, 0.286743, 0.428695, 0.664716", \ + "0.0852386, 0.13653, 0.166751, 0.217489, 0.301951, 0.443696, 0.680141", \ + "0.101473, 0.15843, 0.189014, 0.239722, 0.324495, 0.464522, 0.700433", \ + "0.116766, 0.183619, 0.215595, 0.267243, 0.352283, 0.494046, 0.730283", \ + "0.123234, 0.203319, 0.240224, 0.293557, 0.381327, 0.526496, 0.764523" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0111689, 0.074934, 0.120659, 0.196303, 0.323212, 0.534301, 0.886333", \ + "0.0145198, 0.0754474, 0.120947, 0.196458, 0.323213, 0.534302, 0.886374", \ + "0.0172554, 0.0761478, 0.121267, 0.196747, 0.323306, 0.534315, 0.888172", \ + "0.0214808, 0.0779108, 0.122088, 0.197186, 0.323659, 0.534578, 0.888173", \ + "0.0285415, 0.0823704, 0.12492, 0.198959, 0.324859, 0.535384, 0.888174", \ + "0.0396519, 0.093411, 0.13304, 0.204805, 0.329251, 0.538763, 0.888909", \ + "0.057334, 0.115934, 0.152509, 0.219268, 0.340936, 0.549912, 0.898195" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0580942, 0.104685, 0.130588, 0.172152, 0.241019, 0.355655, 0.546431", \ + "0.082709, 0.130289, 0.156433, 0.198069, 0.267045, 0.381564, 0.572355", \ + "0.0993913, 0.148377, 0.174563, 0.21654, 0.285674, 0.400431, 0.59127", \ + "0.124396, 0.175015, 0.201091, 0.242864, 0.312063, 0.426711, 0.617571", \ + "0.162224, 0.217746, 0.244575, 0.286638, 0.355514, 0.469704, 0.660714", \ + "0.206721, 0.270383, 0.299966, 0.343401, 0.414049, 0.52992, 0.720927", \ + "0.286358, 0.360853, 0.393836, 0.441922, 0.515283, 0.635249, 0.830767" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153844, 0.0616949, 0.0938445, 0.148299, 0.240908, 0.395967, 0.654679", \ + "0.0178935, 0.0631445, 0.0948302, 0.14886, 0.24108, 0.396461, 0.654695", \ + "0.0204741, 0.0645674, 0.0961353, 0.14995, 0.241779, 0.396462, 0.655485", \ + "0.024765, 0.0671336, 0.0979813, 0.151384, 0.243074, 0.397327, 0.655486", \ + "0.0320295, 0.072941, 0.101821, 0.153616, 0.244119, 0.398712, 0.656705", \ + "0.042968, 0.085246, 0.113329, 0.162566, 0.250644, 0.402272, 0.658833", \ + "0.059935, 0.106291, 0.133275, 0.182152, 0.268336, 0.418958, 0.672583" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00950623, 0.0100713, 0.0100976, 0.0100608, 0.00998395, 0.00965195, 0.00914869", \ + "0.00974998, 0.00993116, 0.010089, 0.0100143, 0.0101006, 0.00957293, 0.00912835", \ + "0.0105816, 0.0105605, 0.0105845, 0.0105844, 0.0105247, 0.0101923, 0.0100311", \ + "0.0125525, 0.0121534, 0.0121302, 0.0122107, 0.0120351, 0.0124233, 0.0118552", \ + "0.0167889, 0.0158591, 0.0157456, 0.0156688, 0.0155879, 0.0149707, 0.015156", \ + "0.026228, 0.0242602, 0.0239744, 0.0236869, 0.023487, 0.023354, 0.023", \ + "0.0451548, 0.0416735, 0.0410559, 0.0403894, 0.0400366, 0.0396349, 0.0394175" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0120792, 0.0125133, 0.0125584, 0.0125291, 0.0124549, 0.0121969, 0.0117592", \ + "0.0120744, 0.0122504, 0.0123305, 0.0125345, 0.0122044, 0.0121288, 0.0114014", \ + "0.0125803, 0.0126558, 0.012666, 0.0126099, 0.0127528, 0.0126629, 0.0120919", \ + "0.0142772, 0.0139681, 0.0139219, 0.0139436, 0.0136261, 0.0136618, 0.0134725", \ + "0.0186231, 0.0175163, 0.0176301, 0.0175207, 0.0175503, 0.0171838, 0.0162418", \ + "0.0275319, 0.0255668, 0.0253682, 0.0254235, 0.025224, 0.0253605, 0.0251261", \ + "0.0462041, 0.0427311, 0.0422738, 0.0417792, 0.0414243, 0.0412629, 0.0410417" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00921305, 0.00991676, 0.00991692, 0.0098503, 0.00972209, 0.00937511, 0.0088736", \ + "0.00932416, 0.00960498, 0.00976657, 0.00965164, 0.00960187, 0.00921931, 0.00875395", \ + "0.0101716, 0.0102161, 0.0102413, 0.0101965, 0.0103174, 0.00984192, 0.00965524", \ + "0.011995, 0.0117664, 0.0116868, 0.0116964, 0.0114527, 0.0116821, 0.0107732", \ + "0.0163315, 0.0154087, 0.0153123, 0.0152541, 0.015188, 0.0145524, 0.0146516", \ + "0.0255538, 0.0235468, 0.0233474, 0.0231965, 0.022845, 0.0227508, 0.0224779", \ + "0.0443326, 0.0407249, 0.0401388, 0.0395436, 0.039251, 0.0388998, 0.0383856" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00959568, 0.0100738, 0.0101188, 0.0101021, 0.00996041, 0.00977089, 0.0092831", \ + "0.0100839, 0.0101335, 0.0102082, 0.0103827, 0.0101481, 0.00998515, 0.00943581", \ + "0.0110222, 0.0109025, 0.0109009, 0.0108255, 0.0111678, 0.0106544, 0.0101633", \ + "0.0132458, 0.0125236, 0.0124114, 0.0124692, 0.0121296, 0.0120276, 0.0112766", \ + "0.0177373, 0.0162947, 0.0162149, 0.0162138, 0.0161459, 0.0157661, 0.0148558", \ + "0.0268084, 0.0243135, 0.0241795, 0.0239968, 0.0238642, 0.023982, 0.0238061", \ + "0.0454825, 0.0413937, 0.04089, 0.040595, 0.0400194, 0.0396054, 0.0397456" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0026204; + rise_capacitance : 0.00259524; + rise_capacitance_range (0.00259524, 0.00259524); + fall_capacitance : 0.00264556; + fall_capacitance_range (0.00264556, 0.00264556); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00242884; + rise_capacitance : 0.00254835; + rise_capacitance_range (0.00254835, 0.00254835); + fall_capacitance : 0.00230934; + fall_capacitance_range (0.00230934, 0.00230934); + } + } + cell (sg13g2_or2_2) { + area : 10.8864; + cell_footprint : "or2"; + cell_leakage_power : 444.793; + leakage_power () { + value : 620.155; + when : "!A&!B"; + } + leakage_power () { + value : 349.392; + when : "A&!B"; + } + leakage_power () { + value : 407.373; + when : "!A&B"; + } + leakage_power () { + value : 402.254; + when : "A&B"; + } + pin (X) { + direction : "output"; + function : "(A+B)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0479099, 0.0989112, 0.129971, 0.181166, 0.266294, 0.408305, 0.644582", \ + "0.0760803, 0.128188, 0.159173, 0.210161, 0.295443, 0.437372, 0.673837", \ + "0.0943286, 0.148188, 0.179008, 0.229999, 0.315148, 0.45706, 0.693497", \ + "0.116528, 0.174022, 0.204891, 0.255373, 0.340348, 0.482076, 0.718442", \ + "0.145838, 0.208973, 0.239528, 0.290087, 0.375376, 0.516657, 0.752788", \ + "0.185524, 0.256981, 0.289204, 0.339567, 0.423818, 0.564842, 0.800661", \ + "0.233825, 0.317732, 0.354137, 0.404247, 0.489974, 0.63243, 0.870138" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0127641, 0.0763322, 0.121606, 0.197457, 0.324136, 0.53567, 0.888226", \ + "0.0162407, 0.0768557, 0.121904, 0.197458, 0.324183, 0.535689, 0.888365", \ + "0.0194775, 0.0779532, 0.122492, 0.197701, 0.324325, 0.535898, 0.892272", \ + "0.024656, 0.0806906, 0.123982, 0.198319, 0.324786, 0.536026, 0.892273", \ + "0.0323446, 0.0868603, 0.127611, 0.200251, 0.325762, 0.536681, 0.892274", \ + "0.045426, 0.098003, 0.13578, 0.205733, 0.329107, 0.539036, 0.892275", \ + "0.06662, 0.121962, 0.155844, 0.220348, 0.338889, 0.546974, 0.896555" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0813837, 0.136678, 0.164002, 0.206522, 0.275911, 0.390837, 0.581792", \ + "0.102302, 0.158076, 0.185522, 0.228132, 0.297615, 0.412434, 0.603397", \ + "0.117656, 0.17466, 0.202483, 0.24526, 0.314911, 0.429617, 0.620712", \ + "0.1415, 0.20095, 0.229029, 0.272048, 0.341874, 0.456677, 0.64754", \ + "0.178736, 0.242242, 0.271134, 0.314849, 0.384721, 0.49942, 0.690268", \ + "0.222028, 0.293183, 0.32526, 0.371734, 0.443075, 0.558904, 0.74963", \ + "0.288917, 0.369713, 0.405841, 0.456103, 0.531246, 0.652492, 0.84696" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0210173, 0.0695573, 0.101287, 0.154493, 0.245997, 0.400561, 0.659615", \ + "0.022371, 0.0702007, 0.101546, 0.154722, 0.246025, 0.400562, 0.659662", \ + "0.0246025, 0.0718926, 0.10291, 0.15567, 0.246478, 0.400971, 0.660509", \ + "0.0285969, 0.0757328, 0.105722, 0.157691, 0.247559, 0.401333, 0.66051", \ + "0.0364346, 0.0820991, 0.110948, 0.161539, 0.250215, 0.402925, 0.660511", \ + "0.048769, 0.095391, 0.123998, 0.172727, 0.258391, 0.407699, 0.663017", \ + "0.067833, 0.117287, 0.145873, 0.193894, 0.278694, 0.42631, 0.677864" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0450894, 0.0957699, 0.12681, 0.177871, 0.263069, 0.405319, 0.641335", \ + "0.071144, 0.123174, 0.154134, 0.205189, 0.290374, 0.432369, 0.66872", \ + "0.0876942, 0.141704, 0.1725, 0.223344, 0.308548, 0.450252, 0.686751", \ + "0.107026, 0.164581, 0.195402, 0.246224, 0.330948, 0.472589, 0.709003", \ + "0.132015, 0.195502, 0.226444, 0.276403, 0.360884, 0.501803, 0.737756", \ + "0.159668, 0.232681, 0.265413, 0.316596, 0.400499, 0.54069, 0.776105", \ + "0.184653, 0.270874, 0.308536, 0.361453, 0.448189, 0.591183, 0.828355" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0122323, 0.0759903, 0.121623, 0.197197, 0.324172, 0.535689, 0.888194", \ + "0.0160277, 0.0767221, 0.121785, 0.197318, 0.324173, 0.53569, 0.888299", \ + "0.0193615, 0.0778706, 0.122434, 0.19762, 0.324315, 0.535691, 0.8883", \ + "0.0247296, 0.0808357, 0.123995, 0.198353, 0.324761, 0.535893, 0.888301", \ + "0.0332324, 0.0876013, 0.128211, 0.200506, 0.325869, 0.536747, 0.888757", \ + "0.048113, 0.101595, 0.138295, 0.207354, 0.330273, 0.539705, 0.890343", \ + "0.071586, 0.128273, 0.162288, 0.225943, 0.342364, 0.549751, 0.898835" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.077107, 0.132369, 0.159824, 0.202236, 0.271752, 0.386706, 0.577565", \ + "0.105571, 0.161252, 0.188711, 0.231406, 0.300866, 0.415657, 0.606563", \ + "0.12687, 0.183699, 0.211426, 0.254084, 0.323693, 0.438437, 0.629488", \ + "0.15847, 0.217426, 0.245284, 0.288224, 0.357659, 0.472512, 0.66332", \ + "0.20597, 0.268954, 0.297514, 0.34047, 0.409823, 0.523742, 0.714078", \ + "0.26511, 0.336516, 0.367381, 0.41238, 0.482874, 0.597263, 0.787848", \ + "0.360584, 0.44223, 0.477875, 0.527854, 0.601488, 0.718728, 0.912138" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0210174, 0.0695485, 0.101126, 0.154528, 0.245965, 0.400596, 0.659552", \ + "0.0231503, 0.0705729, 0.101729, 0.154812, 0.246044, 0.400597, 0.659588", \ + "0.0265512, 0.0726227, 0.10334, 0.156005, 0.246862, 0.400901, 0.659886", \ + "0.0323218, 0.0767164, 0.106561, 0.15837, 0.248371, 0.401909, 0.660546", \ + "0.0419588, 0.0835178, 0.111515, 0.161669, 0.250475, 0.40367, 0.661429", \ + "0.057887, 0.099998, 0.125517, 0.172107, 0.257561, 0.406722, 0.663728", \ + "0.081676, 0.126125, 0.151973, 0.195382, 0.276275, 0.422696, 0.674201" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0159702, 0.0170015, 0.017018, 0.0170533, 0.0167032, 0.0160839, 0.0151532", \ + "0.0168155, 0.0166864, 0.016974, 0.0166795, 0.0175167, 0.0158993, 0.0149626", \ + "0.0179181, 0.0171671, 0.0171188, 0.0172107, 0.0168205, 0.016811, 0.0170226", \ + "0.0208402, 0.0188609, 0.0189783, 0.018696, 0.0184113, 0.0188745, 0.0182697", \ + "0.0265168, 0.0227555, 0.0223992, 0.0222116, 0.0222005, 0.0213717, 0.0219875", \ + "0.0382274, 0.0314385, 0.0308833, 0.0302943, 0.0293195, 0.0291401, 0.0279342", \ + "0.0612859, 0.0503252, 0.0488688, 0.0478844, 0.0466841, 0.0457078, 0.0453625" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0199229, 0.0191699, 0.0192133, 0.0191903, 0.0187748, 0.0184719, 0.017404", \ + "0.020169, 0.0188215, 0.0190708, 0.0191103, 0.0193485, 0.0183374, 0.0173624", \ + "0.0210763, 0.0191216, 0.0191021, 0.0191135, 0.0197574, 0.0184897, 0.0180848", \ + "0.0234547, 0.0205699, 0.0204195, 0.0203839, 0.0199214, 0.0202124, 0.0186555", \ + "0.029083, 0.024113, 0.0238053, 0.0237083, 0.0235729, 0.0225859, 0.0211058", \ + "0.040083, 0.0323635, 0.0320221, 0.0319038, 0.0315067, 0.0318347, 0.0313777", \ + "0.062066, 0.0506231, 0.0493557, 0.0482522, 0.047299, 0.0465226, 0.0464221" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0156939, 0.016928, 0.0170674, 0.0169497, 0.0166348, 0.0161981, 0.015119", \ + "0.0163551, 0.0163984, 0.0167087, 0.0165875, 0.0168425, 0.0157284, 0.0147527", \ + "0.0173979, 0.0169096, 0.0168196, 0.0170135, 0.0167265, 0.016481, 0.0152393", \ + "0.0202458, 0.0183546, 0.0185137, 0.018495, 0.0179784, 0.0178287, 0.0179993", \ + "0.0258687, 0.0222219, 0.0219761, 0.0216792, 0.0215681, 0.0203481, 0.0212746", \ + "0.0377279, 0.0309022, 0.0301703, 0.0295188, 0.0287472, 0.0281382, 0.0272763", \ + "0.060737, 0.0492624, 0.0477913, 0.0469782, 0.0456051, 0.0446683, 0.0440003" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0175434, 0.0167409, 0.0169222, 0.0166341, 0.016495, 0.0161814, 0.0144102", \ + "0.0182395, 0.0166286, 0.0168678, 0.0168612, 0.0170851, 0.0161546, 0.0151483", \ + "0.0198012, 0.0173452, 0.0172543, 0.0171949, 0.0173588, 0.0166821, 0.0156877", \ + "0.0232739, 0.0191951, 0.0190266, 0.0188586, 0.0181077, 0.01855, 0.0169354", \ + "0.0292423, 0.0229933, 0.0226142, 0.0224327, 0.022208, 0.0213895, 0.0193165", \ + "0.0412166, 0.0317252, 0.0309036, 0.0301807, 0.0302745, 0.0300069, 0.0295049", \ + "0.0639262, 0.0502048, 0.0487524, 0.0473602, 0.0464003, 0.0453521, 0.0453727" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00260441; + rise_capacitance : 0.00257069; + rise_capacitance_range (0.00257069, 0.00257069); + fall_capacitance : 0.00263814; + fall_capacitance_range (0.00263814, 0.00263814); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00240189; + rise_capacitance : 0.00250693; + rise_capacitance_range (0.00250693, 0.00250693); + fall_capacitance : 0.00229685; + fall_capacitance_range (0.00229685, 0.00229685); + } + } + cell (sg13g2_or3_1) { + area : 12.7008; + cell_footprint : "or3"; + cell_leakage_power : 354.783; + leakage_power () { + value : 474.019; + when : "!A&!B&!C"; + } + leakage_power () { + value : 386.571; + when : "!A&!B&C"; + } + leakage_power () { + value : 316.392; + when : "!A&B&!C"; + } + leakage_power () { + value : 363.768; + when : "!A&B&C"; + } + leakage_power () { + value : 266.541; + when : "A&!B&!C"; + } + leakage_power () { + value : 322.188; + when : "A&!B&C"; + } + leakage_power () { + value : 309.36; + when : "A&B&!C"; + } + leakage_power () { + value : 399.421; + when : "A&B&C"; + } + pin (X) { + direction : "output"; + function : "(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0465441, 0.0948486, 0.125942, 0.177054, 0.262236, 0.404115, 0.639993", \ + "0.0739706, 0.122937, 0.154013, 0.205113, 0.290389, 0.432095, 0.668238", \ + "0.0917307, 0.142012, 0.173023, 0.224004, 0.309146, 0.451039, 0.687087", \ + "0.113175, 0.166371, 0.197324, 0.247995, 0.333147, 0.474797, 0.710863", \ + "0.141976, 0.199681, 0.230554, 0.28095, 0.366117, 0.507698, 0.743691", \ + "0.180494, 0.246791, 0.278586, 0.32943, 0.415056, 0.555318, 0.790553", \ + "0.233299, 0.312508, 0.347683, 0.399894, 0.48655, 0.629576, 0.867639" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0129066, 0.07576, 0.121228, 0.196606, 0.323142, 0.534028, 0.885536", \ + "0.0157766, 0.0761015, 0.121338, 0.196702, 0.323143, 0.534029, 0.885722", \ + "0.0182979, 0.0767205, 0.121683, 0.196918, 0.323274, 0.534205, 0.886185", \ + "0.0222671, 0.0785045, 0.122591, 0.197329, 0.323649, 0.534254, 0.886186", \ + "0.0280645, 0.0825228, 0.124995, 0.198796, 0.324523, 0.534956, 0.886187", \ + "0.037656, 0.091093, 0.131098, 0.202816, 0.327677, 0.537516, 0.887809", \ + "0.052263, 0.109159, 0.145734, 0.213551, 0.33668, 0.545589, 0.894713" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0887048, 0.14191, 0.169434, 0.212265, 0.281936, 0.397296, 0.589388", \ + "0.104823, 0.158636, 0.18631, 0.229189, 0.299049, 0.414371, 0.606329", \ + "0.114441, 0.169634, 0.197527, 0.240689, 0.310694, 0.426106, 0.618179", \ + "0.128201, 0.185625, 0.214429, 0.257783, 0.327941, 0.443623, 0.635577", \ + "0.15051, 0.213255, 0.242905, 0.287538, 0.358146, 0.473731, 0.666086", \ + "0.176401, 0.246776, 0.279394, 0.327779, 0.400846, 0.517991, 0.710583", \ + "0.217318, 0.299094, 0.3365, 0.38944, 0.469248, 0.59334, 0.793726" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194879, 0.0678838, 0.0998896, 0.152771, 0.244486, 0.399832, 0.660048", \ + "0.0202874, 0.0683829, 0.0998906, 0.153103, 0.244692, 0.399883, 0.660049", \ + "0.0215815, 0.0698759, 0.101061, 0.154072, 0.245199, 0.399999, 0.662107", \ + "0.0243611, 0.072772, 0.103764, 0.1559, 0.24651, 0.400755, 0.662108", \ + "0.0303127, 0.0790642, 0.1086, 0.159918, 0.248765, 0.402058, 0.662109", \ + "0.038621, 0.090441, 0.120425, 0.171663, 0.258304, 0.408038, 0.664644", \ + "0.053063, 0.109118, 0.140294, 0.19251, 0.280757, 0.430788, 0.682687" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0445617, 0.0920789, 0.123041, 0.173956, 0.259256, 0.400984, 0.636581", \ + "0.0697978, 0.118213, 0.149198, 0.200168, 0.285239, 0.426958, 0.662908", \ + "0.0856816, 0.135471, 0.166478, 0.217357, 0.302494, 0.444157, 0.680309", \ + "0.103921, 0.156635, 0.187229, 0.238096, 0.323124, 0.464908, 0.701012", \ + "0.127608, 0.184717, 0.21576, 0.266276, 0.350987, 0.492724, 0.728706", \ + "0.154745, 0.222104, 0.253539, 0.30528, 0.38981, 0.53198, 0.767678", \ + "0.181692, 0.26284, 0.298702, 0.351335, 0.436788, 0.580112, 0.820709" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121278, 0.0750346, 0.120743, 0.196151, 0.322969, 0.53382, 0.885426", \ + "0.0151793, 0.0755776, 0.120898, 0.196322, 0.32297, 0.533821, 0.885427", \ + "0.017748, 0.0762279, 0.12125, 0.196577, 0.322971, 0.533882, 0.886994", \ + "0.0217418, 0.0780434, 0.122084, 0.197054, 0.323382, 0.534069, 0.886995", \ + "0.0279187, 0.0821138, 0.124728, 0.198471, 0.324225, 0.534753, 0.886996", \ + "0.038054, 0.092372, 0.131384, 0.202927, 0.327496, 0.53739, 0.887391", \ + "0.053822, 0.111483, 0.147867, 0.214952, 0.336895, 0.545943, 0.894895" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.084981, 0.138075, 0.165659, 0.20849, 0.278261, 0.393667, 0.585682", \ + "0.103685, 0.157777, 0.185506, 0.228512, 0.298313, 0.413673, 0.605582", \ + "0.116946, 0.172443, 0.200667, 0.243911, 0.313989, 0.429473, 0.621551", \ + "0.138606, 0.196288, 0.224988, 0.268313, 0.338737, 0.454536, 0.6465", \ + "0.174306, 0.236533, 0.265678, 0.30978, 0.380189, 0.495941, 0.688186", \ + "0.217941, 0.28854, 0.320689, 0.368186, 0.440218, 0.557613, 0.749711", \ + "0.288813, 0.370579, 0.407153, 0.458534, 0.537194, 0.65878, 0.85684" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194918, 0.0677623, 0.0997664, 0.15289, 0.244621, 0.399841, 0.660048", \ + "0.0207771, 0.0687188, 0.100173, 0.153305, 0.244767, 0.399888, 0.660049", \ + "0.0226401, 0.0704819, 0.101776, 0.154549, 0.245593, 0.400291, 0.660974", \ + "0.025954, 0.0735468, 0.104195, 0.156466, 0.246905, 0.401439, 0.660975", \ + "0.0323412, 0.0789971, 0.108451, 0.15948, 0.249143, 0.402768, 0.662129", \ + "0.041846, 0.090763, 0.119586, 0.16982, 0.256591, 0.407166, 0.664205", \ + "0.056826, 0.110605, 0.139554, 0.189685, 0.277255, 0.426502, 0.679421" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0405698, 0.0877308, 0.118633, 0.169456, 0.254457, 0.396235, 0.63199", \ + "0.0631727, 0.111406, 0.142153, 0.193065, 0.278205, 0.419775, 0.655703", \ + "0.0768093, 0.126502, 0.15738, 0.208264, 0.293189, 0.434822, 0.670847", \ + "0.0915443, 0.144097, 0.174994, 0.225373, 0.31025, 0.451602, 0.68813", \ + "0.109313, 0.167976, 0.198277, 0.248977, 0.332621, 0.474946, 0.710407", \ + "0.124828, 0.194912, 0.226787, 0.278063, 0.363303, 0.505101, 0.741039", \ + "0.129059, 0.213334, 0.250759, 0.304522, 0.393138, 0.536396, 0.775352" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115617, 0.074834, 0.120482, 0.19607, 0.322687, 0.533665, 0.88532", \ + "0.0148624, 0.0753849, 0.120763, 0.196207, 0.322696, 0.535262, 0.885321", \ + "0.0175395, 0.0762131, 0.121093, 0.196479, 0.322823, 0.535263, 0.886455", \ + "0.0216936, 0.078149, 0.122191, 0.196919, 0.323266, 0.535264, 0.886456", \ + "0.0286874, 0.0830165, 0.125149, 0.198747, 0.324403, 0.535265, 0.886457", \ + "0.0397313, 0.095087, 0.133722, 0.204531, 0.328832, 0.538153, 0.887833", \ + "0.057497, 0.118038, 0.154107, 0.220049, 0.340639, 0.549456, 0.897751" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0744653, 0.127688, 0.155326, 0.198226, 0.26792, 0.383319, 0.575314", \ + "0.0982854, 0.152263, 0.180099, 0.222984, 0.292786, 0.408212, 0.600092", \ + "0.116285, 0.170929, 0.199101, 0.242453, 0.312736, 0.428207, 0.620148", \ + "0.143333, 0.199621, 0.227683, 0.270841, 0.341402, 0.457298, 0.649173", \ + "0.185524, 0.245429, 0.274246, 0.317658, 0.387781, 0.503236, 0.69591", \ + "0.237069, 0.305551, 0.336402, 0.381745, 0.453418, 0.569897, 0.762851", \ + "0.326972, 0.40677, 0.442575, 0.492441, 0.568213, 0.689409, 0.884996" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0194648, 0.0677964, 0.0997183, 0.153171, 0.244472, 0.399803, 0.660047", \ + "0.0210076, 0.068967, 0.100352, 0.153321, 0.244993, 0.399975, 0.660048", \ + "0.0232935, 0.0705625, 0.101884, 0.154948, 0.245867, 0.40062, 0.660958", \ + "0.0270291, 0.0733758, 0.10386, 0.156647, 0.247491, 0.401992, 0.661056", \ + "0.0340535, 0.07772, 0.107294, 0.158716, 0.249192, 0.403297, 0.662822", \ + "0.045372, 0.090235, 0.118268, 0.167371, 0.254944, 0.406812, 0.66494", \ + "0.062656, 0.111793, 0.13871, 0.186934, 0.273013, 0.423214, 0.677745" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0101028, 0.0105903, 0.0106112, 0.0105548, 0.0104205, 0.0101407, 0.00953991", \ + "0.0103254, 0.0103876, 0.010475, 0.0104467, 0.0103754, 0.0099705, 0.00956815", \ + "0.0109846, 0.0109077, 0.0108569, 0.0108423, 0.0108095, 0.0104402, 0.0100639", \ + "0.0129639, 0.0124938, 0.0125165, 0.0123929, 0.0122071, 0.0125309, 0.0117243", \ + "0.0172739, 0.0162329, 0.0161499, 0.0159335, 0.0158492, 0.0152997, 0.0155484", \ + "0.0271932, 0.025116, 0.0248452, 0.0244355, 0.0242238, 0.023763, 0.0232843", \ + "0.0470871, 0.0438015, 0.0430416, 0.0423891, 0.0420762, 0.0413635, 0.0409866" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0173333, 0.0174989, 0.017506, 0.0175353, 0.0173564, 0.0170984, 0.016696", \ + "0.0171953, 0.0171925, 0.0173131, 0.017388, 0.0174597, 0.0169637, 0.016423", \ + "0.0174641, 0.0173554, 0.0172633, 0.017304, 0.0175151, 0.0169405, 0.0170577", \ + "0.018651, 0.0182107, 0.0182647, 0.0182797, 0.0179265, 0.0180813, 0.0173199", \ + "0.0225815, 0.0214419, 0.0213681, 0.0213924, 0.0213646, 0.0210257, 0.0203563", \ + "0.0317426, 0.0297379, 0.0293971, 0.0294977, 0.029204, 0.0291575, 0.0290804", \ + "0.0515215, 0.0478945, 0.0473131, 0.0468707, 0.0464592, 0.0459066, 0.046186" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00971662, 0.0103192, 0.0103752, 0.0103332, 0.0102382, 0.00995853, 0.00939894", \ + "0.00985993, 0.0100678, 0.0101903, 0.0101326, 0.0101547, 0.00971333, 0.00920852", \ + "0.0104771, 0.0105264, 0.0105489, 0.0105732, 0.0106137, 0.0102767, 0.00993566", \ + "0.0123593, 0.0119857, 0.0120052, 0.0120358, 0.0117768, 0.0121653, 0.011347", \ + "0.0164747, 0.01548, 0.0154272, 0.015316, 0.0152016, 0.0147443, 0.0152441", \ + "0.0256346, 0.0237043, 0.0233425, 0.0230138, 0.0227549, 0.0228096, 0.0224648", \ + "0.0440806, 0.0406233, 0.0400001, 0.0393243, 0.0389313, 0.0383954, 0.0381391" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0146323, 0.0147649, 0.0147933, 0.0147921, 0.0146874, 0.0144148, 0.0139928", \ + "0.0146, 0.0144983, 0.0146366, 0.0146408, 0.0147161, 0.0143141, 0.0137767", \ + "0.0150789, 0.0147935, 0.0147798, 0.0147957, 0.0151084, 0.0144151, 0.0142367", \ + "0.0167296, 0.0160591, 0.0160712, 0.0160073, 0.0157384, 0.0158461, 0.0150956", \ + "0.0207849, 0.0194061, 0.0194146, 0.0193621, 0.0192845, 0.0189874, 0.018127", \ + "0.0295451, 0.0271381, 0.0269151, 0.0270177, 0.0268693, 0.0269902, 0.026555", \ + "0.0477978, 0.0438054, 0.0431965, 0.0427879, 0.0427478, 0.041907, 0.0421098" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00941984, 0.0101065, 0.0101305, 0.0100727, 0.00991692, 0.00959711, 0.00914182", \ + "0.00952814, 0.00981929, 0.00994535, 0.00987964, 0.0101528, 0.00984762, 0.00898682", \ + "0.0101941, 0.0103534, 0.0103603, 0.0103992, 0.0103889, 0.00996193, 0.00973579", \ + "0.0120531, 0.0117148, 0.0117794, 0.0117301, 0.0115729, 0.0114367, 0.0113873", \ + "0.0161971, 0.0153369, 0.0151843, 0.0151358, 0.0148316, 0.0144128, 0.0144262", \ + "0.0254524, 0.0233535, 0.0231268, 0.0227666, 0.0226933, 0.0224861, 0.022267", \ + "0.0440536, 0.0403707, 0.0397982, 0.0392572, 0.0389447, 0.0384079, 0.0378988" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0116068, 0.0117595, 0.0118356, 0.0117866, 0.0117735, 0.0115252, 0.0109494", \ + "0.0119815, 0.0118246, 0.011952, 0.0119891, 0.0123595, 0.0115729, 0.0111113", \ + "0.0129217, 0.0125006, 0.0124365, 0.0124747, 0.0126805, 0.0121452, 0.011919", \ + "0.0149993, 0.0142809, 0.0141045, 0.0140226, 0.0136887, 0.0138532, 0.0133113", \ + "0.0194276, 0.0177581, 0.017727, 0.017669, 0.0175745, 0.0171091, 0.0162926", \ + "0.028609, 0.0257341, 0.0255329, 0.0252632, 0.025172, 0.0251124, 0.0250453", \ + "0.0469915, 0.0426819, 0.041958, 0.0415611, 0.0411367, 0.0406836, 0.040545" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00274741; + rise_capacitance : 0.00271487; + rise_capacitance_range (0.00271487, 0.00271487); + fall_capacitance : 0.00277996; + fall_capacitance_range (0.00277996, 0.00277996); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00268616; + rise_capacitance : 0.00273686; + rise_capacitance_range (0.00273686, 0.00273686); + fall_capacitance : 0.00263546; + fall_capacitance_range (0.00263546, 0.00263546); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0025576; + rise_capacitance : 0.00274636; + rise_capacitance_range (0.00274636, 0.00274636); + fall_capacitance : 0.00236883; + fall_capacitance_range (0.00236883, 0.00236883); + } + } + cell (sg13g2_or3_2) { + area : 14.5152; + cell_footprint : "or3"; + cell_leakage_power : 467.217; + leakage_power () { + value : 715.818; + when : "!A&!B&!C"; + } + leakage_power () { + value : 480.525; + when : "!A&!B&C"; + } + leakage_power () { + value : 410.346; + when : "!A&B&!C"; + } + leakage_power () { + value : 457.722; + when : "!A&B&C"; + } + leakage_power () { + value : 360.495; + when : "A&!B&!C"; + } + leakage_power () { + value : 416.142; + when : "A&!B&C"; + } + leakage_power () { + value : 403.314; + when : "A&B&!C"; + } + leakage_power () { + value : 493.375; + when : "A&B&C"; + } + pin (X) { + direction : "output"; + function : "(A+B+C)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.053634, 0.106227, 0.137441, 0.188644, 0.27389, 0.415847, 0.652245", \ + "0.0844735, 0.138084, 0.169156, 0.22029, 0.305582, 0.447539, 0.683953", \ + "0.105238, 0.160606, 0.191652, 0.242669, 0.327881, 0.469631, 0.705955", \ + "0.131078, 0.190159, 0.220985, 0.272041, 0.356986, 0.498618, 0.734847", \ + "0.165902, 0.230937, 0.262117, 0.312768, 0.39742, 0.539109, 0.775103", \ + "0.214489, 0.287805, 0.320429, 0.370616, 0.455083, 0.59615, 0.832084", \ + "0.277482, 0.364909, 0.400092, 0.453523, 0.539178, 0.680373, 0.916217" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0138669, 0.0767108, 0.121925, 0.197455, 0.324064, 0.535385, 0.887753", \ + "0.0167835, 0.0773956, 0.122228, 0.197524, 0.32415, 0.535424, 0.887758", \ + "0.0198484, 0.0786373, 0.122847, 0.197769, 0.324302, 0.53546, 0.892712", \ + "0.0250815, 0.0813011, 0.124257, 0.198527, 0.324726, 0.535682, 0.892713", \ + "0.0328034, 0.0875144, 0.128198, 0.200434, 0.325755, 0.536371, 0.892714", \ + "0.044581, 0.098628, 0.137132, 0.206128, 0.32934, 0.538808, 0.892715", \ + "0.065111, 0.121864, 0.157353, 0.21974, 0.338729, 0.546635, 0.896157" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.112256, 0.175098, 0.204565, 0.249201, 0.320013, 0.43543, 0.627166", \ + "0.129381, 0.192263, 0.222067, 0.266581, 0.337501, 0.45313, 0.645251", \ + "0.140823, 0.20457, 0.234577, 0.279355, 0.350308, 0.466015, 0.657905", \ + "0.157957, 0.223695, 0.254339, 0.299575, 0.370906, 0.486624, 0.678559", \ + "0.186248, 0.255868, 0.287332, 0.333341, 0.405128, 0.521069, 0.71318", \ + "0.220786, 0.297751, 0.33218, 0.380901, 0.456269, 0.572644, 0.765095", \ + "0.276097, 0.362432, 0.401125, 0.455224, 0.535092, 0.658857, 0.856746" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0263076, 0.0781299, 0.109352, 0.161595, 0.251535, 0.405142, 0.664032", \ + "0.0269211, 0.0781309, 0.109426, 0.161753, 0.251601, 0.405245, 0.664901", \ + "0.0284089, 0.0793935, 0.110495, 0.162515, 0.252192, 0.405315, 0.664902", \ + "0.0312685, 0.0824644, 0.113367, 0.164616, 0.253741, 0.406129, 0.664939", \ + "0.0378296, 0.0885053, 0.118798, 0.168893, 0.256695, 0.408141, 0.665383", \ + "0.048967, 0.101825, 0.131591, 0.180532, 0.266579, 0.413859, 0.668115", \ + "0.066452, 0.121913, 0.15279, 0.203208, 0.288254, 0.435548, 0.684794" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0514221, 0.10318, 0.134302, 0.185433, 0.270667, 0.412485, 0.648771", \ + "0.0806096, 0.133733, 0.164751, 0.215809, 0.300937, 0.442919, 0.679111", \ + "0.0998139, 0.154751, 0.185558, 0.236498, 0.321652, 0.463462, 0.699819", \ + "0.122988, 0.181927, 0.212902, 0.263566, 0.348406, 0.489944, 0.726267", \ + "0.153372, 0.218027, 0.24893, 0.299274, 0.383722, 0.525336, 0.761339", \ + "0.191963, 0.266064, 0.298308, 0.348521, 0.433069, 0.57387, 0.810467", \ + "0.234165, 0.321714, 0.357724, 0.412025, 0.497359, 0.638909, 0.876605" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0131091, 0.0760823, 0.121439, 0.197073, 0.323872, 0.535162, 0.887584", \ + "0.0162853, 0.0769258, 0.121781, 0.197194, 0.323877, 0.535492, 0.887594", \ + "0.0194725, 0.0781306, 0.122421, 0.197463, 0.324032, 0.535493, 0.888484", \ + "0.0246815, 0.0809934, 0.124058, 0.198154, 0.324554, 0.535494, 0.888485", \ + "0.0324217, 0.0877877, 0.128145, 0.200247, 0.325492, 0.536317, 0.888486", \ + "0.045415, 0.099662, 0.137599, 0.206166, 0.329279, 0.538621, 0.889674", \ + "0.067004, 0.124631, 0.159335, 0.222434, 0.339668, 0.546731, 0.896233" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.108649, 0.171193, 0.201162, 0.245427, 0.31621, 0.431762, 0.623462", \ + "0.129062, 0.19202, 0.221831, 0.266434, 0.337335, 0.452945, 0.64506", \ + "0.145339, 0.209293, 0.23939, 0.284258, 0.355248, 0.47105, 0.662818", \ + "0.171523, 0.237736, 0.268186, 0.313675, 0.384854, 0.500923, 0.692809", \ + "0.214731, 0.284033, 0.315439, 0.361363, 0.432657, 0.548614, 0.740656", \ + "0.270801, 0.347776, 0.381784, 0.430409, 0.504193, 0.620066, 0.812398", \ + "0.357696, 0.44496, 0.483312, 0.536141, 0.614617, 0.735238, 0.931098" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0263202, 0.0779798, 0.109479, 0.161845, 0.251541, 0.405083, 0.664258", \ + "0.0273359, 0.0782584, 0.10959, 0.161846, 0.251648, 0.405242, 0.664898", \ + "0.0293332, 0.0800148, 0.111012, 0.162862, 0.252408, 0.405763, 0.664899", \ + "0.03333, 0.0834163, 0.113909, 0.165313, 0.254315, 0.406507, 0.665358", \ + "0.040925, 0.0894598, 0.119446, 0.16949, 0.257073, 0.40868, 0.666003", \ + "0.05446, 0.103447, 0.13179, 0.180428, 0.265347, 0.412838, 0.668576", \ + "0.075046, 0.126698, 0.15588, 0.202333, 0.28534, 0.431072, 0.681094" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0476229, 0.0990054, 0.130055, 0.181032, 0.266198, 0.407904, 0.644193", \ + "0.0749519, 0.127804, 0.158791, 0.209751, 0.295067, 0.436839, 0.673187", \ + "0.0922923, 0.147162, 0.177959, 0.228831, 0.314053, 0.455844, 0.691903", \ + "0.112252, 0.171589, 0.202176, 0.252828, 0.337689, 0.479155, 0.715322", \ + "0.138394, 0.203354, 0.234169, 0.285094, 0.369253, 0.510671, 0.746238", \ + "0.166382, 0.241411, 0.27527, 0.325888, 0.410505, 0.55114, 0.785893", \ + "0.190782, 0.278317, 0.318766, 0.373222, 0.458882, 0.600936, 0.838425" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0124762, 0.075974, 0.121299, 0.196957, 0.323702, 0.535087, 0.887405", \ + "0.016054, 0.0767277, 0.121606, 0.197085, 0.323784, 0.535088, 0.887584", \ + "0.01932, 0.0780178, 0.12232, 0.197372, 0.323941, 0.535089, 0.889126", \ + "0.0246635, 0.0814882, 0.124096, 0.19803, 0.324383, 0.535414, 0.889127", \ + "0.0328688, 0.0887671, 0.128618, 0.20047, 0.325481, 0.536252, 0.889128", \ + "0.04774, 0.102832, 0.1398, 0.207683, 0.330131, 0.539178, 0.889689", \ + "0.070978, 0.130239, 0.16476, 0.226981, 0.343422, 0.549623, 0.898479" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0983952, 0.160992, 0.190929, 0.235275, 0.306008, 0.421809, 0.613466", \ + "0.124653, 0.187429, 0.217148, 0.26192, 0.3327, 0.448364, 0.640485", \ + "0.146702, 0.210102, 0.239944, 0.284913, 0.355901, 0.471606, 0.663349", \ + "0.18045, 0.24539, 0.275669, 0.320797, 0.391905, 0.508132, 0.70004", \ + "0.231235, 0.299201, 0.329992, 0.375145, 0.446458, 0.562075, 0.754324", \ + "0.296652, 0.372508, 0.405268, 0.452346, 0.524516, 0.640703, 0.832262", \ + "0.405665, 0.491848, 0.529382, 0.580781, 0.656538, 0.775558, 0.969751" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0263519, 0.0782332, 0.109517, 0.161662, 0.251788, 0.40512, 0.664092", \ + "0.0276789, 0.0783694, 0.109567, 0.161903, 0.251789, 0.405839, 0.664716", \ + "0.0304922, 0.0804077, 0.111239, 0.163109, 0.252596, 0.405852, 0.664717", \ + "0.0361057, 0.0839295, 0.114194, 0.16567, 0.25467, 0.407176, 0.665451", \ + "0.0449731, 0.0900505, 0.119406, 0.169146, 0.257205, 0.409197, 0.666732", \ + "0.061317, 0.105859, 0.131915, 0.178898, 0.263492, 0.412492, 0.668925", \ + "0.085045, 0.131628, 0.158238, 0.202157, 0.28289, 0.427975, 0.679305" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0166853, 0.0173272, 0.0174057, 0.0174194, 0.0170969, 0.0165956, 0.0156985", \ + "0.0173556, 0.0170089, 0.0172641, 0.0170543, 0.0168923, 0.016206, 0.0153554", \ + "0.0185053, 0.0175337, 0.0174939, 0.0175925, 0.0171873, 0.0172481, 0.01754", \ + "0.021238, 0.0190711, 0.0190079, 0.018916, 0.0184719, 0.0188129, 0.0175693", \ + "0.0272554, 0.0232436, 0.0230187, 0.022789, 0.0225368, 0.0217336, 0.0225525", \ + "0.0391428, 0.032421, 0.0319977, 0.0310889, 0.0301493, 0.0297786, 0.0288941", \ + "0.063446, 0.0530246, 0.0518851, 0.0499636, 0.048748, 0.0476966, 0.0462944" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0264406, 0.024245, 0.0241476, 0.0240187, 0.0240795, 0.023074, 0.0218613", \ + "0.0263011, 0.0238493, 0.0241903, 0.0240566, 0.0238804, 0.0235184, 0.0227992", \ + "0.0268988, 0.0239355, 0.0239116, 0.0239905, 0.0245246, 0.0244182, 0.0223497", \ + "0.0286002, 0.0248261, 0.0249584, 0.0249189, 0.0243514, 0.0246212, 0.0231322", \ + "0.0333584, 0.0282728, 0.0280322, 0.0276708, 0.027373, 0.0265901, 0.0250381", \ + "0.0446531, 0.0368409, 0.0362172, 0.0356665, 0.0362612, 0.0355069, 0.0354406", \ + "0.0671086, 0.0558384, 0.0543636, 0.0533633, 0.05232, 0.0514964, 0.051711" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0162374, 0.0171311, 0.0172511, 0.017269, 0.0169883, 0.0163617, 0.0154008", \ + "0.0168336, 0.0167202, 0.0170285, 0.0170147, 0.0167698, 0.0161599, 0.0152009", \ + "0.0179262, 0.0171769, 0.0170851, 0.0172139, 0.0169668, 0.0169929, 0.0158992", \ + "0.020725, 0.0187076, 0.0188317, 0.0186043, 0.0182108, 0.018465, 0.0172984", \ + "0.0265461, 0.0226928, 0.0223412, 0.0220614, 0.0217996, 0.0209184, 0.0212511", \ + "0.0378539, 0.0309726, 0.0306706, 0.0297258, 0.0292557, 0.0289657, 0.0280161", \ + "0.0607894, 0.0499122, 0.0485044, 0.0473773, 0.0459499, 0.0445921, 0.0442775" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0237313, 0.0214466, 0.0216721, 0.0211921, 0.0211315, 0.0206245, 0.0193416", \ + "0.023758, 0.0211584, 0.0214702, 0.0212718, 0.0212027, 0.020831, 0.0201644", \ + "0.0246679, 0.0213969, 0.021291, 0.02141, 0.0217604, 0.0222916, 0.0198161", \ + "0.0269423, 0.0226852, 0.0226692, 0.0225155, 0.02204, 0.022508, 0.0207698", \ + "0.0322425, 0.0264386, 0.0260104, 0.0257225, 0.0253342, 0.0245682, 0.0226637", \ + "0.0432804, 0.0343898, 0.0337932, 0.0333551, 0.0337799, 0.0332865, 0.0329816", \ + "0.0653903, 0.0525085, 0.0509427, 0.0495956, 0.048997, 0.047654, 0.0476039" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0159271, 0.0170966, 0.0171752, 0.0171271, 0.0167985, 0.0161263, 0.0152523", \ + "0.0165822, 0.0166358, 0.0169033, 0.0166766, 0.016724, 0.0158498, 0.0150563", \ + "0.0176606, 0.0169752, 0.0170268, 0.0170586, 0.0167461, 0.0165714, 0.0158507", \ + "0.0203294, 0.0185129, 0.0185201, 0.0183719, 0.0179456, 0.0185946, 0.0181044", \ + "0.0259584, 0.0221458, 0.0218669, 0.0217376, 0.0212572, 0.0202056, 0.0212181", \ + "0.0377886, 0.0309186, 0.0302919, 0.0293923, 0.0285344, 0.0282142, 0.0273134", \ + "0.0607825, 0.0492161, 0.048199, 0.0469327, 0.0456207, 0.0443189, 0.0432438" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0207309, 0.0184077, 0.0186772, 0.0185308, 0.017845, 0.0178512, 0.0164345", \ + "0.0211742, 0.0184144, 0.0185983, 0.0185813, 0.0183206, 0.0182006, 0.0173728", \ + "0.0226905, 0.0190796, 0.0188677, 0.0190206, 0.0195211, 0.0198668, 0.0171954", \ + "0.0261245, 0.0209105, 0.0208798, 0.0207225, 0.0200036, 0.0204645, 0.0186701", \ + "0.031725, 0.024811, 0.0244002, 0.0239149, 0.023822, 0.0226862, 0.021546", \ + "0.043648, 0.0332903, 0.032278, 0.0315208, 0.0314453, 0.0313284, 0.0306494", \ + "0.0666029, 0.0519404, 0.0501935, 0.0487491, 0.0479241, 0.0466004, 0.0462463" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00273836; + rise_capacitance : 0.00270087; + rise_capacitance_range (0.00270087, 0.00270087); + fall_capacitance : 0.00277585; + fall_capacitance_range (0.00277585, 0.00277585); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00267073; + rise_capacitance : 0.00271033; + rise_capacitance_range (0.00271033, 0.00271033); + fall_capacitance : 0.00263112; + fall_capacitance_range (0.00263112, 0.00263112); + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00253281; + rise_capacitance : 0.00270598; + rise_capacitance_range (0.00270598, 0.00270598); + fall_capacitance : 0.00235964; + fall_capacitance_range (0.00235964, 0.00235964); + } + } + cell (sg13g2_or4_1) { + area : 14.5152; + cell_footprint : "or4"; + cell_leakage_power : 388.973; + leakage_power () { + value : 551.503; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 438.618; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 368.399; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 415.799; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 318.459; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 374.123; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 361.256; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 451.341; + when : "!A&B&C&D"; + } + leakage_power () { + value : 269.293; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 328.728; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 320.721; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 418.121; + when : "A&!B&C&D"; + } + leakage_power () { + value : 312.846; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 405.41; + when : "A&B&!C&D"; + } + leakage_power () { + value : 397.43; + when : "A&B&C&!D"; + } + leakage_power () { + value : 491.523; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.048483, 0.0981028, 0.1296, 0.181331, 0.266697, 0.409248, 0.645972", \ + "0.0778716, 0.127939, 0.159338, 0.210799, 0.296458, 0.438888, 0.675686", \ + "0.0969858, 0.148355, 0.179601, 0.230911, 0.316541, 0.458896, 0.695739", \ + "0.119852, 0.174136, 0.205424, 0.256507, 0.342132, 0.484311, 0.721122", \ + "0.149902, 0.209307, 0.23975, 0.290797, 0.376302, 0.518582, 0.755118", \ + "0.189495, 0.256268, 0.288157, 0.33983, 0.425403, 0.566041, 0.803264", \ + "0.23686, 0.318376, 0.354008, 0.40707, 0.49406, 0.637234, 0.873071" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.013811, 0.0770888, 0.122365, 0.198067, 0.324495, 0.535921, 0.888359", \ + "0.0164077, 0.0770898, 0.122369, 0.198068, 0.324519, 0.536208, 0.88854", \ + "0.0189688, 0.0777068, 0.12274, 0.198069, 0.324666, 0.536209, 0.892453", \ + "0.0231157, 0.0794734, 0.123562, 0.198476, 0.325087, 0.53621, 0.892454", \ + "0.0290881, 0.0837017, 0.126304, 0.200033, 0.32602, 0.536952, 0.892455", \ + "0.03884, 0.092599, 0.132198, 0.204226, 0.32913, 0.539479, 0.892456", \ + "0.054718, 0.111742, 0.148025, 0.215187, 0.337642, 0.547318, 0.897633" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.122804, 0.183155, 0.213412, 0.258028, 0.329083, 0.444926, 0.636929", \ + "0.138474, 0.199036, 0.229058, 0.274289, 0.345174, 0.461365, 0.653175", \ + "0.147485, 0.208961, 0.239195, 0.284413, 0.355611, 0.47161, 0.663733", \ + "0.158527, 0.22185, 0.252805, 0.298545, 0.37021, 0.486314, 0.678707", \ + "0.17704, 0.244526, 0.276741, 0.323405, 0.395762, 0.512523, 0.705017", \ + "0.201762, 0.277669, 0.313464, 0.364242, 0.43971, 0.558862, 0.75156", \ + "0.247036, 0.334211, 0.374999, 0.431474, 0.515967, 0.642543, 0.843624" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0245051, 0.0761843, 0.107747, 0.159899, 0.249683, 0.402985, 0.662158", \ + "0.024919, 0.0761853, 0.107748, 0.160229, 0.249754, 0.403217, 0.662602", \ + "0.0258886, 0.0773336, 0.108682, 0.160825, 0.250307, 0.403514, 0.662603", \ + "0.0278083, 0.0798963, 0.11102, 0.162825, 0.25165, 0.404117, 0.662604", \ + "0.0329926, 0.0855581, 0.116482, 0.166876, 0.254746, 0.406106, 0.663494", \ + "0.041876, 0.097913, 0.129776, 0.180672, 0.265564, 0.413206, 0.666995", \ + "0.056552, 0.117339, 0.151558, 0.204532, 0.293024, 0.440101, 0.688498" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0480032, 0.0966986, 0.127933, 0.179442, 0.264551, 0.407021, 0.643524", \ + "0.0757368, 0.125058, 0.156243, 0.207527, 0.293068, 0.435138, 0.671983", \ + "0.0934267, 0.144046, 0.175196, 0.226386, 0.311883, 0.45417, 0.690958", \ + "0.113778, 0.167662, 0.198628, 0.249623, 0.335063, 0.477246, 0.713969", \ + "0.140053, 0.199103, 0.229994, 0.279396, 0.364421, 0.507267, 0.74454", \ + "0.168876, 0.237055, 0.268403, 0.319802, 0.40538, 0.547008, 0.783276", \ + "0.197638, 0.27924, 0.314982, 0.367569, 0.453198, 0.597293, 0.835417" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0130762, 0.0758997, 0.121493, 0.197412, 0.32391, 0.535495, 0.888198", \ + "0.0158201, 0.0763262, 0.121678, 0.197413, 0.324012, 0.535496, 0.888199", \ + "0.0183604, 0.0769751, 0.122047, 0.197464, 0.324153, 0.535497, 0.888208", \ + "0.0224425, 0.0787791, 0.122842, 0.197885, 0.324539, 0.535727, 0.888209", \ + "0.0283808, 0.0830851, 0.125401, 0.199335, 0.325448, 0.536395, 0.888464", \ + "0.038558, 0.092889, 0.131818, 0.203459, 0.328485, 0.538941, 0.89012", \ + "0.054109, 0.111819, 0.147891, 0.214905, 0.337428, 0.546832, 0.897333" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.119163, 0.179697, 0.209519, 0.254406, 0.32545, 0.441174, 0.633294", \ + "0.136171, 0.196862, 0.227042, 0.271943, 0.343049, 0.458852, 0.651131", \ + "0.146909, 0.208913, 0.239264, 0.284484, 0.355843, 0.471891, 0.664105", \ + "0.163586, 0.227771, 0.258658, 0.304496, 0.3765, 0.492848, 0.68519", \ + "0.195033, 0.263345, 0.295235, 0.342181, 0.414339, 0.531099, 0.724091", \ + "0.240957, 0.317899, 0.353173, 0.403325, 0.478768, 0.595912, 0.789501", \ + "0.317813, 0.406192, 0.446193, 0.502082, 0.583973, 0.708878, 0.908094" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0245132, 0.0763707, 0.107478, 0.159833, 0.249692, 0.403009, 0.662465", \ + "0.0251933, 0.0764536, 0.107927, 0.160206, 0.249942, 0.40301, 0.662466", \ + "0.0265391, 0.0779942, 0.109276, 0.161196, 0.250587, 0.403453, 0.662467", \ + "0.02912, 0.081095, 0.111949, 0.16345, 0.252502, 0.404532, 0.663312", \ + "0.0351261, 0.0866544, 0.11703, 0.167692, 0.255282, 0.406886, 0.664076", \ + "0.04493, 0.099443, 0.129796, 0.179624, 0.264795, 0.412081, 0.66715", \ + "0.060239, 0.119797, 0.151595, 0.202267, 0.288032, 0.434364, 0.683928" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0456191, 0.0933953, 0.124456, 0.175538, 0.26092, 0.402896, 0.639454", \ + "0.0714378, 0.120111, 0.151232, 0.202318, 0.287747, 0.42987, 0.666565", \ + "0.0873387, 0.137563, 0.168444, 0.219576, 0.304962, 0.447101, 0.683923", \ + "0.104903, 0.15792, 0.188834, 0.2399, 0.325097, 0.467021, 0.703959", \ + "0.125678, 0.184264, 0.214917, 0.265724, 0.350784, 0.492713, 0.729054", \ + "0.145715, 0.214162, 0.245217, 0.296524, 0.382692, 0.524926, 0.760275", \ + "0.153684, 0.236569, 0.272862, 0.325326, 0.412981, 0.55619, 0.795703" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123319, 0.0755253, 0.120961, 0.196754, 0.323786, 0.535111, 0.887666", \ + "0.0152889, 0.075817, 0.12122, 0.196885, 0.323787, 0.535199, 0.887923", \ + "0.0178798, 0.0764889, 0.121595, 0.1971, 0.323838, 0.535293, 0.889989", \ + "0.0219095, 0.0783952, 0.122441, 0.197557, 0.324247, 0.535571, 0.88999", \ + "0.0283757, 0.0829466, 0.125008, 0.199004, 0.325148, 0.536284, 0.889991", \ + "0.038827, 0.093287, 0.132344, 0.203532, 0.328391, 0.538712, 0.889992", \ + "0.055328, 0.113966, 0.149854, 0.216003, 0.337843, 0.547117, 0.896893" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.109251, 0.169729, 0.199565, 0.244516, 0.315643, 0.431283, 0.62347", \ + "0.127523, 0.188565, 0.218621, 0.263685, 0.334802, 0.450626, 0.643183", \ + "0.141829, 0.204047, 0.234406, 0.279843, 0.351315, 0.46735, 0.65951", \ + "0.166636, 0.230763, 0.261543, 0.307482, 0.379432, 0.496074, 0.688582", \ + "0.210883, 0.278162, 0.309512, 0.355952, 0.4282, 0.544892, 0.738065", \ + "0.270323, 0.346035, 0.380291, 0.429805, 0.50337, 0.620738, 0.814301", \ + "0.373109, 0.460268, 0.499259, 0.552779, 0.632605, 0.756499, 0.953187" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0244897, 0.0763144, 0.107572, 0.160105, 0.249651, 0.402866, 0.662062", \ + "0.0255326, 0.076654, 0.108078, 0.160171, 0.250139, 0.403102, 0.662353", \ + "0.0271641, 0.0785706, 0.109653, 0.16176, 0.250782, 0.403602, 0.662354", \ + "0.0302787, 0.0815295, 0.112272, 0.163908, 0.253013, 0.405093, 0.663227", \ + "0.0364486, 0.0861393, 0.11615, 0.167069, 0.255283, 0.407165, 0.664758", \ + "0.047371, 0.098687, 0.12775, 0.17748, 0.262081, 0.410987, 0.667381", \ + "0.063831, 0.119446, 0.149029, 0.197482, 0.282232, 0.429552, 0.680376" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.041366, 0.0887424, 0.119718, 0.170734, 0.256175, 0.397976, 0.63454", \ + "0.0646893, 0.113073, 0.144165, 0.195157, 0.280518, 0.422567, 0.659251", \ + "0.0783889, 0.128478, 0.159397, 0.210411, 0.29568, 0.437616, 0.674586", \ + "0.0923583, 0.145489, 0.176505, 0.227348, 0.312455, 0.454311, 0.691075", \ + "0.107478, 0.166908, 0.197728, 0.247967, 0.333056, 0.474573, 0.710887", \ + "0.116739, 0.187103, 0.220183, 0.271223, 0.357368, 0.499218, 0.735855", \ + "0.11674, 0.190189, 0.228136, 0.282395, 0.370067, 0.514646, 0.753511" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0117429, 0.0750421, 0.120829, 0.19671, 0.323771, 0.535119, 0.8877", \ + "0.0149589, 0.0756102, 0.121107, 0.196738, 0.323772, 0.535139, 0.887997", \ + "0.0176423, 0.0764494, 0.121424, 0.197001, 0.323773, 0.53514, 0.889199", \ + "0.0218758, 0.0785526, 0.122549, 0.197422, 0.324117, 0.535367, 0.8892", \ + "0.029021, 0.0834998, 0.1256, 0.199249, 0.325176, 0.53615, 0.889201", \ + "0.0403727, 0.095839, 0.134834, 0.20489, 0.329487, 0.539381, 0.890152", \ + "0.058705, 0.119908, 0.155628, 0.220858, 0.341337, 0.549811, 0.899603" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0916332, 0.152014, 0.182209, 0.226795, 0.298184, 0.414114, 0.606234", \ + "0.115233, 0.175927, 0.205959, 0.251127, 0.322307, 0.438173, 0.630369", \ + "0.135147, 0.196072, 0.226391, 0.271925, 0.343469, 0.459458, 0.651597", \ + "0.166518, 0.227942, 0.258393, 0.304253, 0.376255, 0.492924, 0.685444", \ + "0.216442, 0.280745, 0.311245, 0.357136, 0.42825, 0.544654, 0.737681", \ + "0.282993, 0.355273, 0.387598, 0.43506, 0.507924, 0.625675, 0.818362", \ + "0.399895, 0.483598, 0.520596, 0.572165, 0.649426, 0.771109, 0.968805" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0245336, 0.0760557, 0.107846, 0.160131, 0.249627, 0.40296, 0.662082", \ + "0.0253541, 0.0767551, 0.10815, 0.160217, 0.249924, 0.403441, 0.662257", \ + "0.0270911, 0.0781933, 0.109695, 0.161873, 0.250979, 0.403718, 0.665183", \ + "0.0307378, 0.0803426, 0.111862, 0.163862, 0.253513, 0.405583, 0.665184", \ + "0.0374115, 0.0842713, 0.114829, 0.166094, 0.255243, 0.407828, 0.665626", \ + "0.049701, 0.09677, 0.124455, 0.173992, 0.260671, 0.410739, 0.668113", \ + "0.068232, 0.118622, 0.146314, 0.19418, 0.278819, 0.426936, 0.679974" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0116218, 0.012078, 0.0120718, 0.0121098, 0.0118843, 0.0116212, 0.0111874", \ + "0.0118009, 0.0118118, 0.0119119, 0.0117881, 0.0119144, 0.011415, 0.0109433", \ + "0.0123469, 0.0121883, 0.012163, 0.0121443, 0.0120493, 0.0119931, 0.0120034", \ + "0.0140959, 0.0135411, 0.0135626, 0.0134507, 0.0133064, 0.0135638, 0.0131897", \ + "0.0183229, 0.0171356, 0.0169832, 0.0168371, 0.016748, 0.016305, 0.016302", \ + "0.0277514, 0.0255163, 0.0251405, 0.0247925, 0.024352, 0.0238962, 0.0232633", \ + "0.0474088, 0.043812, 0.0430553, 0.0422323, 0.0416955, 0.0409933, 0.040066" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0178435, 0.0174692, 0.0175952, 0.0175096, 0.0173436, 0.0170663, 0.0164356", \ + "0.0176272, 0.0172067, 0.0173606, 0.0174226, 0.0172115, 0.0170747, 0.0165677", \ + "0.0178363, 0.017178, 0.0171632, 0.0172479, 0.0174432, 0.0176091, 0.0163601", \ + "0.0185214, 0.0176589, 0.017737, 0.0177472, 0.0173956, 0.0176019, 0.0169154", \ + "0.0215823, 0.0201154, 0.0200923, 0.0199866, 0.019928, 0.0197175, 0.0188211", \ + "0.029999, 0.0276086, 0.0274793, 0.0274412, 0.0272101, 0.0272876, 0.0271956", \ + "0.0492348, 0.0452807, 0.0447678, 0.0442359, 0.0440668, 0.0432276, 0.0435476" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107966, 0.0113307, 0.0113795, 0.0114409, 0.011213, 0.0109876, 0.0104279", \ + "0.0108862, 0.011005, 0.0111683, 0.0110708, 0.0111649, 0.0106804, 0.010261", \ + "0.0114066, 0.0113669, 0.0113355, 0.0114224, 0.011346, 0.0112363, 0.0105255", \ + "0.0130811, 0.0126374, 0.0126889, 0.0126177, 0.0124859, 0.0129394, 0.0125467", \ + "0.0169172, 0.0159548, 0.0158751, 0.0156509, 0.0154805, 0.015103, 0.0153763", \ + "0.0257267, 0.0237778, 0.0233602, 0.0229566, 0.0227648, 0.0224486, 0.0219288", \ + "0.0438487, 0.0402888, 0.0395672, 0.0389014, 0.0382973, 0.037821, 0.0371783" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0180375, 0.0177058, 0.0177033, 0.0177002, 0.0175113, 0.0171456, 0.0167602", \ + "0.0178819, 0.0174203, 0.0175698, 0.0175663, 0.0174783, 0.0171349, 0.016733", \ + "0.0181745, 0.0174355, 0.0174283, 0.0174534, 0.0176906, 0.0173738, 0.0166243", \ + "0.0191729, 0.0181266, 0.0181559, 0.0181081, 0.0178609, 0.0179407, 0.0172252", \ + "0.0225501, 0.0209052, 0.0207691, 0.0207583, 0.0205967, 0.0203148, 0.0196988", \ + "0.0309098, 0.0282959, 0.0281007, 0.0279666, 0.0280942, 0.0276766, 0.0277866", \ + "0.0486284, 0.044418, 0.0438596, 0.0433688, 0.0431631, 0.0424894, 0.0425936" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00909774, 0.0097372, 0.0097439, 0.0097005, 0.0095823, 0.0092256, 0.00870493", \ + "0.00926535, 0.00944375, 0.00960595, 0.00956054, 0.00943414, 0.00914226, 0.0086474", \ + "0.0097987, 0.0098367, 0.0098229, 0.0098738, 0.0098784, 0.0095944, 0.0094691", \ + "0.0113721, 0.0110321, 0.0110565, 0.0110995, 0.0108618, 0.0113452, 0.0103756", \ + "0.0151162, 0.0141565, 0.0139811, 0.0139133, 0.0138395, 0.0133801, 0.0137905", \ + "0.0234483, 0.0214408, 0.0210622, 0.0207112, 0.0205415, 0.0204379, 0.0200287", \ + "0.0402503, 0.0365964, 0.0359703, 0.035272, 0.0349414, 0.0344082, 0.0341055" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0161233, 0.0157841, 0.015794, 0.015706, 0.0157116, 0.0153483, 0.0147055", \ + "0.0160059, 0.0155222, 0.0156405, 0.0155845, 0.0155277, 0.0152879, 0.0149174", \ + "0.0164841, 0.0156741, 0.0156114, 0.0156757, 0.0156878, 0.0159208, 0.0148421", \ + "0.0179159, 0.0167689, 0.0167578, 0.0167159, 0.0163858, 0.0166634, 0.015774", \ + "0.0217, 0.0198331, 0.0195754, 0.0195709, 0.0194263, 0.0190885, 0.0183166", \ + "0.0297356, 0.0267549, 0.0264974, 0.02644, 0.0262707, 0.0262093, 0.0262599", \ + "0.0464286, 0.041824, 0.041187, 0.0406268, 0.0403914, 0.0401697, 0.0400089" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.00843393, 0.0091106, 0.0091465, 0.0090928, 0.0089747, 0.00858212, 0.00803981", \ + "0.00864427, 0.00892208, 0.00908732, 0.00900492, 0.00905152, 0.00856512, 0.00816299", \ + "0.00927189, 0.00937729, 0.00937899, 0.00944489, 0.00932359, 0.00898379, 0.00880916", \ + "0.0109044, 0.0105431, 0.0106109, 0.0106446, 0.0103624, 0.0107857, 0.0103559", \ + "0.0146389, 0.0136547, 0.0135509, 0.0133906, 0.0133359, 0.0127348, 0.0130816", \ + "0.0230672, 0.0209442, 0.0207843, 0.0204014, 0.0202929, 0.0200942, 0.0198964", \ + "0.0403973, 0.0365626, 0.0358967, 0.0352356, 0.0349842, 0.0344172, 0.0339933" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121327, 0.0117997, 0.0118835, 0.0117415, 0.0118055, 0.0115518, 0.01093", \ + "0.0123893, 0.0118138, 0.0119199, 0.0118435, 0.0118559, 0.0116531, 0.011184", \ + "0.0132706, 0.0124001, 0.0123201, 0.0123688, 0.0126111, 0.0124676, 0.0124222", \ + "0.0153024, 0.0139505, 0.0138984, 0.0137817, 0.013587, 0.0137167, 0.0128829", \ + "0.0194069, 0.0175021, 0.0172955, 0.0172496, 0.0169636, 0.0163959, 0.0157102", \ + "0.027715, 0.0245115, 0.0241009, 0.0237963, 0.0238102, 0.0237955, 0.0233883", \ + "0.0450066, 0.0399355, 0.0392436, 0.0387565, 0.0383942, 0.0378985, 0.038063" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00274857; + rise_capacitance : 0.0026769; + rise_capacitance_range (0.0026769, 0.0026769); + fall_capacitance : 0.00282025; + fall_capacitance_range (0.00282025, 0.00282025); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000734811, -0.000753162, -0.000756873, -0.000761258, -0.000762773, -0.000765201, -0.00076747" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00315251, 0.00316456, 0.00316217, 0.00313814, 0.00313465, 0.00316738, 0.00313937" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000734811, -0.000753162, -0.000756873, -0.000761258, -0.000762773, -0.000765201, -0.00076747" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00315251, 0.00316456, 0.00316217, 0.00313814, 0.00313465, 0.00316738, 0.00313937" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00270643; + rise_capacitance : 0.00266017; + rise_capacitance_range (0.00266017, 0.00266017); + fall_capacitance : 0.00275269; + fall_capacitance_range (0.00275269, 0.00275269); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000278001, -0.00027899, -0.000283187, -0.000276354, -0.000280659, -0.000284401, -0.000279248" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000278001, 0.00027899, 0.000283187, 0.000276354, 0.000280659, 0.000284401, 0.000279248" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000278001, -0.00027899, -0.000283187, -0.000276354, -0.000280659, -0.000284401, -0.000279248" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000278001, 0.00027899, 0.000283187, 0.000276354, 0.000280659, 0.000284401, 0.000279248" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00224457; + rise_capacitance : 0.00222411; + rise_capacitance_range (0.00222411, 0.00222411); + fall_capacitance : 0.00226504; + fall_capacitance_range (0.00226504, 0.00226504); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000821499, 0.000821355, 0.0008257, 0.000824069, 0.00082817, 0.000828617, 0.000828779" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000492089, -0.000488386, -0.000486593, -0.000486751, -0.000486322, -0.000484096, -0.000484655" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000821499, 0.000821355, 0.0008257, 0.000824069, 0.00082817, 0.000828617, 0.000828779" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000492089, -0.000488386, -0.000486593, -0.000486751, -0.000486322, -0.000484096, -0.000484655" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00227523; + rise_capacitance : 0.0022929; + rise_capacitance_range (0.0022929, 0.0022929); + fall_capacitance : 0.00225757; + fall_capacitance_range (0.00225757, 0.00225757); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0010525, 0.00104968, 0.00105161, 0.0010513, 0.00105429, 0.00105461, 0.00105445" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000446119, 0.0004568, 0.000474957, 0.000478579, 0.00048502, 0.000471559, 0.000477726" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0010525, 0.00104968, 0.00105161, 0.0010513, 0.00105429, 0.00105461, 0.00105445" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000446119, 0.0004568, 0.000474957, 0.000478579, 0.00048502, 0.000471559, 0.000477726" \ + ); + } + } + } + } + cell (sg13g2_or4_2) { + area : 16.3296; + cell_footprint : "or4"; + cell_leakage_power : 491.98; + leakage_power () { + value : 793.241; + when : "!A&!B&!C&!D"; + } + leakage_power () { + value : 532.376; + when : "!A&!B&!C&D"; + } + leakage_power () { + value : 462.157; + when : "!A&!B&C&!D"; + } + leakage_power () { + value : 509.557; + when : "!A&!B&C&D"; + } + leakage_power () { + value : 412.217; + when : "!A&B&!C&!D"; + } + leakage_power () { + value : 467.881; + when : "!A&B&!C&D"; + } + leakage_power () { + value : 455.013; + when : "!A&B&C&!D"; + } + leakage_power () { + value : 545.098; + when : "!A&B&C&D"; + } + leakage_power () { + value : 363.051; + when : "A&!B&!C&!D"; + } + leakage_power () { + value : 422.486; + when : "A&!B&!C&D"; + } + leakage_power () { + value : 414.479; + when : "A&!B&C&!D"; + } + leakage_power () { + value : 511.879; + when : "A&!B&C&D"; + } + leakage_power () { + value : 406.603; + when : "A&B&!C&!D"; + } + leakage_power () { + value : 499.167; + when : "A&B&!C&D"; + } + leakage_power () { + value : 491.187; + when : "A&B&C&!D"; + } + leakage_power () { + value : 585.28; + when : "A&B&C&D"; + } + pin (X) { + direction : "output"; + function : "(A+B+C+D)"; + min_capacitance : 0.001; + max_capacitance : 0.6; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0556937, 0.109591, 0.141214, 0.192643, 0.278323, 0.420688, 0.657592", \ + "0.0880716, 0.14269, 0.173984, 0.225516, 0.311114, 0.453382, 0.690356", \ + "0.110039, 0.166736, 0.197626, 0.248929, 0.334387, 0.476469, 0.713345", \ + "0.13741, 0.197328, 0.228545, 0.279502, 0.364776, 0.506824, 0.743738", \ + "0.173326, 0.239137, 0.270579, 0.321522, 0.406405, 0.548381, 0.784713", \ + "0.222187, 0.296664, 0.329097, 0.380165, 0.465563, 0.606555, 0.842309", \ + "0.282141, 0.370224, 0.408391, 0.461623, 0.547094, 0.689653, 0.924505" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0147051, 0.0777701, 0.123074, 0.198413, 0.325262, 0.536687, 0.889362", \ + "0.0173663, 0.0782788, 0.123199, 0.19847, 0.325263, 0.537244, 0.889632", \ + "0.0203362, 0.0797727, 0.123744, 0.198727, 0.325345, 0.537245, 0.889691", \ + "0.0258077, 0.0821814, 0.125162, 0.199504, 0.325749, 0.537246, 0.889692", \ + "0.0337651, 0.0887302, 0.129215, 0.201513, 0.326866, 0.537698, 0.889822", \ + "0.04566, 0.101062, 0.137928, 0.20691, 0.330418, 0.540136, 0.891741", \ + "0.066826, 0.123792, 0.157851, 0.220539, 0.339995, 0.547839, 0.898165" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.154496, 0.225194, 0.257866, 0.305694, 0.378362, 0.495391, 0.688201", \ + "0.170442, 0.241004, 0.273658, 0.321723, 0.394707, 0.511846, 0.704105", \ + "0.18064, 0.251719, 0.28456, 0.332339, 0.405609, 0.522779, 0.715001", \ + "0.194085, 0.266664, 0.299844, 0.348082, 0.422006, 0.539372, 0.731729", \ + "0.217062, 0.29244, 0.326862, 0.3765, 0.450508, 0.568526, 0.760903", \ + "0.249894, 0.332191, 0.369592, 0.42227, 0.49989, 0.618681, 0.811999", \ + "0.308051, 0.400027, 0.441794, 0.499421, 0.584693, 0.710592, 0.910722" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0341488, 0.089349, 0.12144, 0.1733, 0.261358, 0.411973, 0.66899", \ + "0.0343796, 0.08935, 0.121441, 0.173301, 0.261359, 0.412326, 0.668991", \ + "0.0353399, 0.0899238, 0.121601, 0.173417, 0.26136, 0.412511, 0.66971", \ + "0.0376855, 0.0924451, 0.123825, 0.175259, 0.262813, 0.413126, 0.669886", \ + "0.0428135, 0.0973921, 0.129207, 0.180275, 0.266497, 0.415371, 0.670702", \ + "0.054011, 0.110677, 0.142066, 0.192577, 0.276988, 0.422109, 0.67396", \ + "0.071896, 0.132072, 0.165768, 0.217373, 0.304149, 0.447463, 0.693249" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0548928, 0.107773, 0.139018, 0.190347, 0.275886, 0.418221, 0.65481", \ + "0.0858843, 0.139856, 0.171033, 0.222188, 0.307712, 0.449867, 0.686695", \ + "0.106506, 0.162484, 0.193327, 0.244305, 0.32966, 0.471769, 0.708619", \ + "0.131747, 0.191207, 0.222204, 0.273137, 0.358339, 0.500407, 0.737091", \ + "0.164455, 0.229325, 0.260618, 0.311779, 0.395733, 0.538935, 0.775216", \ + "0.204198, 0.278224, 0.311147, 0.361804, 0.446436, 0.587349, 0.823253", \ + "0.246243, 0.334799, 0.371742, 0.423546, 0.509076, 0.650676, 0.888804" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0139914, 0.0769121, 0.122145, 0.19777, 0.324671, 0.536365, 0.889113", \ + "0.0167738, 0.0776084, 0.122487, 0.197883, 0.324672, 0.536393, 0.889162", \ + "0.0198766, 0.0791334, 0.123082, 0.198168, 0.324833, 0.536394, 0.894427", \ + "0.0251496, 0.0815781, 0.124541, 0.19889, 0.325276, 0.536573, 0.894428", \ + "0.0330055, 0.0884987, 0.12862, 0.201079, 0.326322, 0.537261, 0.894429", \ + "0.045588, 0.100564, 0.138037, 0.206839, 0.329816, 0.539694, 0.89443", \ + "0.066934, 0.124576, 0.159093, 0.221489, 0.339547, 0.547587, 0.897697" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.150886, 0.22161, 0.254172, 0.301706, 0.375125, 0.491902, 0.684018", \ + "0.168347, 0.238872, 0.271663, 0.319398, 0.392716, 0.509939, 0.701994", \ + "0.181059, 0.252286, 0.285143, 0.332949, 0.406362, 0.523594, 0.715811", \ + "0.201153, 0.274088, 0.30747, 0.356037, 0.430017, 0.547454, 0.739901", \ + "0.238239, 0.313889, 0.348384, 0.398048, 0.472322, 0.590358, 0.783228", \ + "0.293304, 0.37612, 0.412932, 0.464685, 0.542532, 0.66061, 0.854049", \ + "0.385792, 0.478683, 0.520102, 0.577299, 0.660329, 0.786824, 0.98356" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0341215, 0.0891572, 0.121576, 0.172681, 0.261038, 0.412022, 0.669015", \ + "0.0345789, 0.0891582, 0.121577, 0.172904, 0.261045, 0.412073, 0.669192", \ + "0.0359592, 0.0902763, 0.12194, 0.173464, 0.261588, 0.412608, 0.669732", \ + "0.0390679, 0.0934192, 0.124587, 0.176123, 0.263357, 0.413644, 0.669895", \ + "0.0451375, 0.0988438, 0.130261, 0.181112, 0.267162, 0.41631, 0.67129", \ + "0.058272, 0.112699, 0.142691, 0.191965, 0.276435, 0.421732, 0.674575", \ + "0.078921, 0.135886, 0.167296, 0.216213, 0.299632, 0.444283, 0.689503" \ + ); + } + } + timing () { + related_pin : "C"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0522491, 0.104322, 0.135407, 0.186586, 0.271968, 0.414088, 0.650752", \ + "0.08191, 0.135218, 0.166277, 0.217382, 0.302767, 0.444878, 0.681535", \ + "0.101112, 0.15627, 0.187185, 0.238226, 0.323644, 0.465546, 0.702196", \ + "0.123693, 0.182936, 0.213701, 0.264695, 0.349764, 0.491653, 0.728264", \ + "0.151664, 0.216661, 0.247912, 0.298196, 0.382997, 0.524896, 0.76112", \ + "0.182452, 0.257424, 0.291231, 0.341251, 0.426026, 0.567388, 0.803634", \ + "0.209081, 0.298282, 0.335961, 0.387952, 0.472381, 0.615649, 0.853719" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0132354, 0.076295, 0.121684, 0.197423, 0.324385, 0.536032, 0.889006", \ + "0.0163324, 0.0771295, 0.122039, 0.197529, 0.324414, 0.536131, 0.889008", \ + "0.0194916, 0.0783412, 0.122677, 0.197815, 0.324568, 0.536225, 0.893636", \ + "0.024791, 0.0812564, 0.124164, 0.198497, 0.325001, 0.536385, 0.893637", \ + "0.0327352, 0.0879322, 0.12858, 0.200625, 0.326009, 0.537033, 0.893638", \ + "0.046552, 0.100917, 0.137883, 0.206911, 0.329816, 0.539517, 0.893639", \ + "0.068635, 0.12674, 0.16104, 0.223839, 0.340391, 0.547318, 0.897506" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.141008, 0.211599, 0.244341, 0.292093, 0.364832, 0.481576, 0.674067", \ + "0.160402, 0.231087, 0.263731, 0.311553, 0.38489, 0.501746, 0.694214", \ + "0.177519, 0.24887, 0.281781, 0.329714, 0.403042, 0.520368, 0.712495", \ + "0.207058, 0.279867, 0.313409, 0.3618, 0.43576, 0.553231, 0.74601", \ + "0.258118, 0.333452, 0.367896, 0.416451, 0.490974, 0.608727, 0.801499", \ + "0.330198, 0.412175, 0.448694, 0.499299, 0.575909, 0.693332, 0.886104", \ + "0.451993, 0.543675, 0.584164, 0.640334, 0.720307, 0.842697, 1.03791" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0342043, 0.0892813, 0.121273, 0.17311, 0.261248, 0.412148, 0.669004", \ + "0.0347276, 0.0892823, 0.121274, 0.173111, 0.261249, 0.412149, 0.669005", \ + "0.0365299, 0.090791, 0.122153, 0.173963, 0.261712, 0.412684, 0.669697", \ + "0.0406473, 0.0940365, 0.125272, 0.17667, 0.263708, 0.414102, 0.670041", \ + "0.0475408, 0.0997882, 0.130885, 0.180819, 0.267392, 0.416721, 0.671959", \ + "0.062636, 0.113813, 0.143053, 0.191057, 0.275381, 0.421148, 0.674608", \ + "0.08502, 0.137935, 0.167112, 0.21454, 0.295091, 0.437631, 0.68594" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0482211, 0.0997605, 0.130833, 0.181901, 0.267214, 0.409471, 0.645944", \ + "0.0759971, 0.129074, 0.160086, 0.211224, 0.29659, 0.438624, 0.675151", \ + "0.0934432, 0.148538, 0.179468, 0.230359, 0.31572, 0.457685, 0.694363", \ + "0.113091, 0.1722, 0.203212, 0.253749, 0.338875, 0.480605, 0.717132", \ + "0.136315, 0.202844, 0.233265, 0.28399, 0.367158, 0.508852, 0.745136", \ + "0.158604, 0.23491, 0.267886, 0.318558, 0.403518, 0.544349, 0.778977", \ + "0.168176, 0.258458, 0.296104, 0.351168, 0.436565, 0.579577, 0.817362" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0126355, 0.0761249, 0.121496, 0.197247, 0.324304, 0.535968, 0.888922", \ + "0.0160988, 0.0769215, 0.12185, 0.197404, 0.324305, 0.53618, 0.888923", \ + "0.0193389, 0.0781564, 0.12255, 0.197688, 0.324462, 0.536181, 0.8929", \ + "0.0247311, 0.0814244, 0.124414, 0.198358, 0.324896, 0.536291, 0.892901", \ + "0.0331977, 0.0890463, 0.128978, 0.201179, 0.326211, 0.537014, 0.892902", \ + "0.048518, 0.103731, 0.140564, 0.208239, 0.330718, 0.539916, 0.892903", \ + "0.072579, 0.131865, 0.166609, 0.228181, 0.343463, 0.550143, 0.899547" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.123655, 0.194132, 0.226762, 0.274939, 0.347867, 0.464631, 0.656766", \ + "0.148376, 0.218808, 0.251428, 0.299322, 0.372537, 0.489526, 0.681833", \ + "0.171906, 0.242486, 0.275339, 0.323126, 0.396692, 0.513821, 0.705922", \ + "0.209878, 0.280762, 0.313814, 0.362164, 0.435969, 0.553549, 0.746089", \ + "0.268323, 0.341577, 0.375268, 0.422736, 0.496925, 0.614188, 0.807314", \ + "0.34988, 0.429439, 0.464132, 0.514457, 0.588315, 0.705783, 0.898494", \ + "0.486635, 0.57595, 0.615118, 0.668605, 0.747014, 0.867563, 1.06185" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0341172, 0.0890136, 0.120892, 0.173157, 0.261159, 0.412112, 0.669091", \ + "0.0348133, 0.0893315, 0.121143, 0.173158, 0.26116, 0.412215, 0.669092", \ + "0.0369999, 0.090805, 0.122404, 0.173928, 0.261898, 0.412684, 0.66963", \ + "0.0424326, 0.0936928, 0.124938, 0.176494, 0.264091, 0.414386, 0.670562", \ + "0.0508713, 0.0996219, 0.130394, 0.180572, 0.267346, 0.416816, 0.672528", \ + "0.067945, 0.11436, 0.141657, 0.190325, 0.273327, 0.420519, 0.674886", \ + "0.09324, 0.140887, 0.167897, 0.212199, 0.292454, 0.435055, 0.684313" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0182769, 0.0187571, 0.0188908, 0.0188296, 0.0185705, 0.0180281, 0.0171092", \ + "0.0189125, 0.0184362, 0.0186111, 0.0186068, 0.0182733, 0.017917, 0.016741", \ + "0.0199849, 0.0190231, 0.0188351, 0.0189047, 0.0184096, 0.018628, 0.0170377", \ + "0.0225805, 0.0202638, 0.0202054, 0.0200069, 0.0196617, 0.0191842, 0.0179637", \ + "0.028338, 0.0241747, 0.0238284, 0.0235717, 0.0231832, 0.0224175, 0.0227369", \ + "0.0399831, 0.0334642, 0.0323971, 0.0314848, 0.0307934, 0.0302808, 0.0286263", \ + "0.0642966, 0.0531762, 0.0517391, 0.0496525, 0.0484444, 0.0469948, 0.0455413" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0286441, 0.0244036, 0.0243375, 0.0243234, 0.0234398, 0.0232104, 0.0230715", \ + "0.0284302, 0.0242551, 0.0245141, 0.0245277, 0.024003, 0.0238733, 0.0227807", \ + "0.028753, 0.0240813, 0.0239548, 0.0241094, 0.0236928, 0.0232689, 0.0225099", \ + "0.0299288, 0.0247915, 0.0245203, 0.0243211, 0.0238438, 0.0245234, 0.0226116", \ + "0.0335798, 0.0273015, 0.0269663, 0.0267863, 0.0262669, 0.0254455, 0.0240202", \ + "0.0437822, 0.034998, 0.0344246, 0.0339168, 0.0342606, 0.0336173, 0.0335409", \ + "0.0660718, 0.0539082, 0.0524046, 0.0510735, 0.0510157, 0.0489227, 0.0494136" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0173759, 0.0180982, 0.0181811, 0.0181888, 0.0179637, 0.0173527, 0.0164056", \ + "0.017954, 0.0176788, 0.017996, 0.0177511, 0.0177659, 0.0170336, 0.0162741", \ + "0.0190219, 0.0182053, 0.0181001, 0.0181408, 0.0176865, 0.0179676, 0.0184406", \ + "0.0214779, 0.0193386, 0.0193153, 0.0191469, 0.0188001, 0.0189682, 0.0189002", \ + "0.0269928, 0.0230859, 0.0226392, 0.0225078, 0.0221589, 0.021467, 0.0217756", \ + "0.0381186, 0.0313816, 0.030793, 0.0298617, 0.0288661, 0.0286566, 0.0274952", \ + "0.0604982, 0.0494843, 0.0482696, 0.0466153, 0.0452763, 0.0443997, 0.0430701" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0288973, 0.0247355, 0.0244198, 0.0245667, 0.0243832, 0.0236761, 0.0223683", \ + "0.0286847, 0.024372, 0.0247817, 0.0244483, 0.0242153, 0.0242011, 0.023031", \ + "0.0291939, 0.0242813, 0.0241635, 0.0242341, 0.0238551, 0.0239969, 0.0226236", \ + "0.0307065, 0.0251867, 0.0249243, 0.024776, 0.0242098, 0.0245639, 0.0234554", \ + "0.03501, 0.0280243, 0.0276214, 0.0273024, 0.0270486, 0.0263898, 0.024746", \ + "0.045482, 0.0358956, 0.0350014, 0.03424, 0.0346838, 0.0340425, 0.0340146", \ + "0.0670496, 0.053656, 0.0518663, 0.0504097, 0.049877, 0.0499896, 0.0490496" \ + ); + } + } + internal_power () { + related_pin : "C"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0156077, 0.016526, 0.0166223, 0.0166037, 0.0163429, 0.0157173, 0.0149029", \ + "0.0162456, 0.0161619, 0.0164635, 0.0164146, 0.0162203, 0.0155278, 0.0145712", \ + "0.0172846, 0.0165, 0.0164251, 0.0165556, 0.016276, 0.016454, 0.0165489", \ + "0.0198655, 0.0178364, 0.0178205, 0.0176958, 0.0173714, 0.018036, 0.0162714", \ + "0.025312, 0.0212126, 0.0210004, 0.0206391, 0.0203421, 0.0195507, 0.0203009", \ + "0.0360885, 0.029017, 0.0283505, 0.0276339, 0.0269132, 0.0266864, 0.0260733", \ + "0.057494, 0.0460302, 0.0445708, 0.0432527, 0.0419128, 0.0407025, 0.0397886" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.026982, 0.0227853, 0.0227981, 0.0227815, 0.0219231, 0.0210999, 0.020624", \ + "0.0268667, 0.0224504, 0.0225894, 0.0228703, 0.022347, 0.0217941, 0.0210722", \ + "0.027622, 0.0225575, 0.0223469, 0.0224826, 0.0220411, 0.0217945, 0.0208187", \ + "0.0297677, 0.023836, 0.0235489, 0.0233717, 0.0227341, 0.0233411, 0.0221869", \ + "0.0347112, 0.0270483, 0.0268155, 0.026102, 0.0258979, 0.0247488, 0.0240146", \ + "0.0453069, 0.0348204, 0.0338459, 0.0329695, 0.0332819, 0.0324331, 0.0314276", \ + "0.066109, 0.0514463, 0.0495537, 0.0483703, 0.047236, 0.046021, 0.0452413" \ + ); + } + } + internal_power () { + related_pin : "D"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0149223, 0.016076, 0.0161224, 0.0160681, 0.0158392, 0.0152732, 0.0143557", \ + "0.015692, 0.0157082, 0.0160172, 0.0159988, 0.0156146, 0.0150504, 0.0142066", \ + "0.0166789, 0.0160367, 0.0160173, 0.0161094, 0.0157936, 0.0157817, 0.0159375", \ + "0.0192488, 0.0173936, 0.0174645, 0.0173427, 0.0170392, 0.0174375, 0.0170011", \ + "0.0245835, 0.0208259, 0.0204304, 0.0203767, 0.0197732, 0.0188914, 0.01957", \ + "0.0356722, 0.0284801, 0.0279529, 0.0270367, 0.0264863, 0.0258708, 0.0245743", \ + "0.0574016, 0.045542, 0.0440915, 0.0428416, 0.041582, 0.0407347, 0.0398979" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0468, 0.078, 0.1296, 0.216, 0.36, 0.6"); + values ( \ + "0.0230116, 0.0186741, 0.0185857, 0.0189223, 0.0184871, 0.0175601, 0.0163873", \ + "0.0231803, 0.0187287, 0.0189792, 0.0188335, 0.0184132, 0.0181151, 0.0170549", \ + "0.024501, 0.0192685, 0.0190287, 0.019042, 0.0187863, 0.0188007, 0.0173654", \ + "0.0273931, 0.0209729, 0.0206119, 0.0203844, 0.0198765, 0.0203399, 0.0193683", \ + "0.0332899, 0.0248574, 0.0245609, 0.0237994, 0.0236393, 0.0216716, 0.0213284", \ + "0.0444228, 0.0327211, 0.0313863, 0.0311203, 0.0302888, 0.0297902, 0.0291894", \ + "0.0660124, 0.0499892, 0.0477968, 0.0461585, 0.0450298, 0.0441275, 0.0430948" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0027452; + rise_capacitance : 0.00267081; + rise_capacitance_range (0.00267081, 0.00267081); + fall_capacitance : 0.00281959; + fall_capacitance_range (0.00281959, 0.00281959); + internal_power () { + when : "(!B * C) + (!B * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000731871, -0.000751238, -0.000755241, -0.000759582, -0.00076119, -0.000762888, -0.000765763" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00315522, 0.00315925, 0.00316303, 0.00313889, 0.00313517, 0.00316763, 0.00314005" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000731871, -0.000751238, -0.000755241, -0.000759582, -0.00076119, -0.000762888, -0.000765763" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00315522, 0.00315925, 0.00316303, 0.00313889, 0.00313517, 0.00316763, 0.00314005" \ + ); + } + } + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00270085; + rise_capacitance : 0.00264994; + rise_capacitance_range (0.00264994, 0.00264994); + fall_capacitance : 0.00275176; + fall_capacitance_range (0.00275176, 0.00275176); + internal_power () { + when : "(!A * C) + (!A * !C * D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000279242, -0.000278726, -0.000284801, -0.000277176, -0.000281824, -0.000285021, -0.000280634" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000279242, 0.000278726, 0.000284801, 0.000277176, 0.000281824, 0.000285021, 0.000280634" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000279242, -0.000278726, -0.000284801, -0.000277176, -0.000281824, -0.000285021, -0.000280634" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000279242, 0.000278726, 0.000284801, 0.000277176, 0.000281824, 0.000285021, 0.000280634" \ + ); + } + } + } + pin (C) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00223678; + rise_capacitance : 0.00220943; + rise_capacitance_range (0.00220943, 0.00220943); + fall_capacitance : 0.00226412; + fall_capacitance_range (0.00226412, 0.00226412); + internal_power () { + when : "(A * !D) + (!A * B * !D)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000822561, 0.000823748, 0.000825152, 0.000824751, 0.000828497, 0.000830667, 0.000831237" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000489223, -0.000486053, -0.000483082, -0.000483367, -0.000482028, -0.000484452, -0.000482466" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000822561, 0.000823748, 0.000825152, 0.000824751, 0.000828497, 0.000830667, 0.000831237" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "-0.000489223, -0.000486053, -0.000483082, -0.000483367, -0.000482028, -0.000484452, -0.000482466" \ + ); + } + } + } + pin (D) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00226243; + rise_capacitance : 0.00227015; + rise_capacitance_range (0.00227015, 0.00227015); + fall_capacitance : 0.00225472; + fall_capacitance_range (0.00225472, 0.00225472); + internal_power () { + when : "(A * !C) + (!A * B * !C)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00105442, 0.00105153, 0.0010506, 0.00105082, 0.00105454, 0.00105603, 0.00105599" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000447782, 0.000455265, 0.000473836, 0.000473765, 0.000487618, 0.000475025, 0.000476251" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00105442, 0.00105153, 0.0010506, 0.00105082, 0.00105454, 0.00105603, 0.00105599" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.000447782, 0.000455265, 0.000473836, 0.000473765, 0.000487618, 0.000475025, 0.000476251" \ + ); + } + } + } + } + cell (sg13g2_sdfbbp_1) { + area : 63.504; + cell_footprint : "sdfrrs"; + cell_leakage_power : 2276.08; + leakage_power () { + value : 2196.19; + when : "!CLK&!D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 2288.41; + when : "!CLK&!D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1921.43; + when : "!CLK&!D&RESET_B&!SCD&!SCE&!SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2205.11; + when : "!CLK&!D&!RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 1774.71; + when : "!CLK&!D&!RESET_B&!SCD&!SCE&!SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2232.5; + when : "CLK&!D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 2279.24; + when : "CLK&!D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2326.68; + when : "!CLK&D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 2318.64; + when : "!CLK&D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2306.59; + when : "!CLK&D&RESET_B&SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 2444.91; + when : "CLK&D&RESET_B&!SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 2442.06; + when : "CLK&D&RESET_B&!SCD&!SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2429.73; + when : "CLK&D&RESET_B&SCD&!SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 2207.78; + when : "!CLK&!D&RESET_B&!SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 2307.16; + when : "!CLK&!D&RESET_B&!SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2321.7; + when : "!CLK&!D&RESET_B&SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 2313.8; + when : "!CLK&!D&RESET_B&SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2248.77; + when : "CLK&!D&RESET_B&!SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 2373.48; + when : "CLK&!D&RESET_B&!SCD&SCE&SET_B&Q&!Q_N"; + } + leakage_power () { + value : 2440.86; + when : "CLK&!D&RESET_B&SCD&SCE&SET_B&!Q&Q_N"; + } + leakage_power () { + value : 2418.02; + when : "CLK&!D&RESET_B&SCD&SCE&SET_B&Q&!Q_N"; + } + pin (Q) { + direction : output; + function : "IQ"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + sdf_cond : "SCE == 1'b1"; + timing_sense : non_unate; + timing_type : rising_edge; + when : "SCE"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.203564, 0.246061, 0.276797, 0.327748, 0.413162, 0.555448, 0.792418", \ + "0.228796, 0.271315, 0.302124, 0.352977, 0.438423, 0.580504, 0.817509", \ + "0.245841, 0.288368, 0.319112, 0.370111, 0.455387, 0.597561, 0.834491", \ + "0.266302, 0.30882, 0.339459, 0.3905, 0.475779, 0.617904, 0.854797", \ + "0.2944, 0.336911, 0.367674, 0.418628, 0.504016, 0.646163, 0.88309", \ + "0.3305, 0.373007, 0.403762, 0.454676, 0.540026, 0.682184, 0.91928", \ + "0.373794, 0.416321, 0.447019, 0.497973, 0.583395, 0.725663, 0.962668" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149786, 0.075617, 0.121288, 0.197059, 0.324127, 0.535898, 0.888972", \ + "0.0149796, 0.075618, 0.121289, 0.19706, 0.325039, 0.535944, 0.889115", \ + "0.0149806, 0.075619, 0.12129, 0.197096, 0.32504, 0.535945, 0.889815", \ + "0.0149816, 0.07562, 0.121291, 0.197097, 0.325041, 0.535946, 0.889816", \ + "0.0149826, 0.075621, 0.121292, 0.197098, 0.325042, 0.535947, 0.889817", \ + "0.0149836, 0.075622, 0.121293, 0.197099, 0.325043, 0.535948, 0.889818", \ + "0.014987, 0.075623, 0.121294, 0.1971, 0.325044, 0.535953, 0.889819" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.167958, 0.206155, 0.231091, 0.272182, 0.341077, 0.455722, 0.646737", \ + "0.192973, 0.231042, 0.256029, 0.297164, 0.36603, 0.480673, 0.672123", \ + "0.209985, 0.247964, 0.273023, 0.314114, 0.382969, 0.497523, 0.688591", \ + "0.231154, 0.269183, 0.294165, 0.335318, 0.404151, 0.518741, 0.709683", \ + "0.261095, 0.299139, 0.324117, 0.365238, 0.434094, 0.548678, 0.739788", \ + "0.300698, 0.338701, 0.363613, 0.404807, 0.473674, 0.588347, 0.779158", \ + "0.349867, 0.387773, 0.412719, 0.45384, 0.522754, 0.637347, 0.828318" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121067, 0.0571396, 0.0903088, 0.14587, 0.239032, 0.394507, 0.653708", \ + "0.0121251, 0.0571406, 0.0903152, 0.145871, 0.239033, 0.39481, 0.654258", \ + "0.0121254, 0.0571416, 0.0903162, 0.145872, 0.239034, 0.394811, 0.655005", \ + "0.0121371, 0.0571658, 0.0903286, 0.145873, 0.239098, 0.394812, 0.655006", \ + "0.0121381, 0.0571668, 0.0903331, 0.145874, 0.239099, 0.394813, 0.655007", \ + "0.012197, 0.0571678, 0.0903341, 0.145875, 0.2391, 0.394814, 0.655008", \ + "0.012261, 0.057175, 0.0903351, 0.145876, 0.239101, 0.394815, 0.655009" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.203564, 0.246061, 0.276797, 0.327748, 0.413162, 0.555448, 0.792418", \ + "0.228796, 0.271315, 0.302124, 0.352977, 0.438423, 0.580504, 0.817509", \ + "0.245841, 0.288368, 0.319112, 0.370111, 0.455387, 0.597561, 0.834491", \ + "0.266302, 0.30882, 0.339459, 0.3905, 0.475779, 0.617904, 0.854797", \ + "0.2944, 0.336911, 0.367674, 0.418628, 0.504016, 0.646163, 0.88309", \ + "0.3305, 0.373007, 0.403762, 0.454676, 0.540026, 0.682184, 0.91928", \ + "0.373794, 0.416321, 0.447019, 0.497973, 0.583395, 0.725663, 0.962668" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0149786, 0.075617, 0.121288, 0.197059, 0.324127, 0.535898, 0.888972", \ + "0.0149796, 0.075618, 0.121289, 0.19706, 0.325039, 0.535944, 0.889115", \ + "0.0149806, 0.075619, 0.12129, 0.197096, 0.32504, 0.535945, 0.889815", \ + "0.0149816, 0.07562, 0.121291, 0.197097, 0.325041, 0.535946, 0.889816", \ + "0.0149826, 0.075621, 0.121292, 0.197098, 0.325042, 0.535947, 0.889817", \ + "0.0149836, 0.075622, 0.121293, 0.197099, 0.325043, 0.535948, 0.889818", \ + "0.014987, 0.075623, 0.121294, 0.1971, 0.325044, 0.535953, 0.889819" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.167958, 0.206155, 0.231091, 0.272182, 0.341077, 0.455722, 0.646737", \ + "0.192973, 0.231042, 0.256029, 0.297164, 0.36603, 0.480673, 0.672123", \ + "0.209985, 0.247964, 0.273023, 0.314114, 0.382969, 0.497523, 0.688591", \ + "0.231154, 0.269183, 0.294165, 0.335318, 0.404151, 0.518741, 0.709683", \ + "0.261095, 0.299139, 0.324117, 0.365238, 0.434094, 0.548678, 0.739788", \ + "0.300698, 0.338701, 0.363613, 0.404807, 0.473674, 0.588347, 0.779158", \ + "0.349867, 0.387773, 0.412719, 0.45384, 0.522754, 0.637347, 0.828318" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0121067, 0.0571396, 0.0903088, 0.14587, 0.239032, 0.394507, 0.653708", \ + "0.0121251, 0.0571406, 0.0903152, 0.145871, 0.239033, 0.39481, 0.654258", \ + "0.0121254, 0.0571416, 0.0903162, 0.145872, 0.239034, 0.394811, 0.655005", \ + "0.0121371, 0.0571658, 0.0903286, 0.145873, 0.239098, 0.394812, 0.655006", \ + "0.0121381, 0.0571668, 0.0903331, 0.145874, 0.239099, 0.394813, 0.655007", \ + "0.012197, 0.0571678, 0.0903341, 0.145875, 0.2391, 0.394814, 0.655008", \ + "0.012261, 0.057175, 0.0903351, 0.145876, 0.239101, 0.394815, 0.655009" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.139336, 0.177423, 0.202377, 0.243599, 0.312452, 0.427127, 0.617979", \ + "0.16985, 0.20791, 0.23282, 0.273965, 0.342806, 0.457448, 0.648525", \ + "0.190908, 0.22892, 0.253887, 0.295015, 0.363918, 0.478526, 0.669605", \ + "0.220315, 0.258259, 0.283157, 0.324356, 0.393241, 0.507825, 0.698735", \ + "0.263076, 0.300745, 0.325698, 0.366868, 0.435642, 0.550291, 0.74118", \ + "0.311186, 0.348422, 0.373295, 0.414405, 0.483266, 0.597886, 0.788806", \ + "0.38221, 0.41883, 0.443643, 0.484701, 0.553493, 0.667904, 0.859015" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.012417, 0.057262, 0.0904179, 0.145877, 0.239257, 0.394558, 0.653739", \ + "0.012418, 0.057263, 0.0904189, 0.145891, 0.239548, 0.39472, 0.653836", \ + "0.0124619, 0.057264, 0.0904199, 0.145953, 0.239549, 0.394721, 0.653837", \ + "0.0125075, 0.0573316, 0.0904209, 0.145954, 0.23955, 0.394722, 0.653975", \ + "0.0127451, 0.0573368, 0.0904643, 0.145955, 0.239551, 0.394723, 0.653976", \ + "0.013262, 0.057466, 0.0905, 0.145956, 0.239552, 0.394724, 0.653977", \ + "0.014181, 0.057745, 0.090627, 0.145981, 0.239553, 0.394732, 0.653978" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0849452, 0.129371, 0.160342, 0.21137, 0.296729, 0.438952, 0.676002", \ + "0.116494, 0.160414, 0.191301, 0.242345, 0.327629, 0.469844, 0.706817", \ + "0.13858, 0.1818, 0.212555, 0.263555, 0.348866, 0.491073, 0.727892", \ + "0.170349, 0.212553, 0.243208, 0.294122, 0.379418, 0.521509, 0.758395", \ + "0.215642, 0.255981, 0.286241, 0.336744, 0.421962, 0.564098, 0.800979", \ + "0.266314, 0.304472, 0.334173, 0.384406, 0.469206, 0.611247, 0.848119", \ + "0.342365, 0.377335, 0.406158, 0.455982, 0.540454, 0.682072, 0.918806" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0129467, 0.0753585, 0.121148, 0.196953, 0.323985, 0.535841, 0.888963", \ + "0.0133325, 0.0754527, 0.121149, 0.196954, 0.324008, 0.535847, 0.889112", \ + "0.0140153, 0.0754548, 0.121154, 0.196977, 0.324026, 0.537535, 0.889113", \ + "0.015186, 0.0756369, 0.121237, 0.197003, 0.324027, 0.537536, 0.889114", \ + "0.0170919, 0.0759441, 0.121347, 0.197058, 0.32404, 0.537537, 0.889115", \ + "0.019702, 0.076473, 0.12152, 0.197095, 0.324087, 0.537538, 0.889116", \ + "0.02269, 0.076982, 0.121737, 0.197221, 0.324107, 0.537539, 0.889231" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "SCE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0268131, 0.0269255, 0.0269853, 0.0270058, 0.0269505, 0.0267891, 0.0264424", \ + "0.0269726, 0.0271127, 0.0271548, 0.0274963, 0.0273958, 0.0269578, 0.0266776", \ + "0.0275647, 0.0276575, 0.027725, 0.0278364, 0.0277954, 0.0275467, 0.0274468", \ + "0.0289092, 0.0290363, 0.0291139, 0.0290995, 0.0290722, 0.0289436, 0.0285433", \ + "0.0319256, 0.0320628, 0.0321376, 0.0322063, 0.0322722, 0.0319894, 0.0322249", \ + "0.0384389, 0.0385658, 0.0386157, 0.038732, 0.0386995, 0.0387548, 0.0386518", \ + "0.0523296, 0.0524028, 0.0524402, 0.0525164, 0.0525338, 0.0525615, 0.0524458" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0258461, 0.0261983, 0.0262136, 0.0261223, 0.0259309, 0.025522, 0.0248702", \ + "0.0259541, 0.0262891, 0.0264359, 0.0262214, 0.026038, 0.025636, 0.025086", \ + "0.0265442, 0.0268627, 0.02689, 0.0268452, 0.0272693, 0.0262116, 0.0258374", \ + "0.0278627, 0.028251, 0.0283355, 0.0283428, 0.028067, 0.0280628, 0.0271054", \ + "0.0309064, 0.0312163, 0.0313566, 0.0313689, 0.0313847, 0.0311091, 0.0305047", \ + "0.0377683, 0.0380444, 0.0381159, 0.0380467, 0.0381571, 0.038102, 0.0381401", \ + "0.0521767, 0.0523806, 0.0523602, 0.0523142, 0.0523515, 0.0520837, 0.0519273" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0268131, 0.0269255, 0.0269853, 0.0270058, 0.0269505, 0.0267891, 0.0264424", \ + "0.0269726, 0.0271127, 0.0271548, 0.0274963, 0.0273958, 0.0269578, 0.0266776", \ + "0.0275647, 0.0276575, 0.027725, 0.0278364, 0.0277954, 0.0275467, 0.0274468", \ + "0.0289092, 0.0290363, 0.0291139, 0.0290995, 0.0290722, 0.0289436, 0.0285433", \ + "0.0319256, 0.0320628, 0.0321376, 0.0322063, 0.0322722, 0.0319894, 0.0322249", \ + "0.0384389, 0.0385658, 0.0386157, 0.038732, 0.0386995, 0.0387548, 0.0386518", \ + "0.0523296, 0.0524028, 0.0524402, 0.0525164, 0.0525338, 0.0525615, 0.0524458" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0258461, 0.0261983, 0.0262136, 0.0261223, 0.0259309, 0.025522, 0.0248702", \ + "0.0259541, 0.0262891, 0.0264359, 0.0262214, 0.026038, 0.025636, 0.025086", \ + "0.0265442, 0.0268627, 0.02689, 0.0268452, 0.0272693, 0.0262116, 0.0258374", \ + "0.0278627, 0.028251, 0.0283355, 0.0283428, 0.028067, 0.0280628, 0.0271054", \ + "0.0309064, 0.0312163, 0.0313566, 0.0313689, 0.0313847, 0.0311091, 0.0305047", \ + "0.0377683, 0.0380444, 0.0381159, 0.0380467, 0.0381571, 0.038102, 0.0381401", \ + "0.0521767, 0.0523806, 0.0523602, 0.0523142, 0.0523515, 0.0520837, 0.0519273" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0558368, 0.0816357, 0.0992268, 0.12815, 0.176349, 0.256501, 0.390124", \ + "0.0557934, 0.0815842, 0.099504, 0.128088, 0.176382, 0.256468, 0.39016", \ + "0.0562488, 0.0820066, 0.0995509, 0.128678, 0.177755, 0.257731, 0.390528", \ + "0.0578979, 0.0835671, 0.101322, 0.130213, 0.178299, 0.259376, 0.392132", \ + "0.0613378, 0.0868435, 0.104397, 0.133642, 0.182162, 0.262044, 0.396165", \ + "0.0690496, 0.0940851, 0.111737, 0.140666, 0.189575, 0.27066, 0.404925", \ + "0.0857486, 0.110008, 0.127428, 0.156316, 0.204885, 0.285629, 0.420655" \ + ); + } + } + internal_power () { + related_pin : "SET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.049302, 0.075551, 0.093249, 0.122264, 0.170717, 0.251218, 0.385614", \ + "0.0493732, 0.0752439, 0.093086, 0.122189, 0.170484, 0.251011, 0.385562", \ + "0.0509858, 0.0763796, 0.0940361, 0.123323, 0.171524, 0.252969, 0.386762", \ + "0.0552701, 0.0800941, 0.0978022, 0.126779, 0.175357, 0.25576, 0.390697", \ + "0.0651686, 0.0888806, 0.10636, 0.135386, 0.183883, 0.264336, 0.399562", \ + "0.0843513, 0.106481, 0.123762, 0.152501, 0.201004, 0.282059, 0.415917", \ + "0.121618, 0.141652, 0.158382, 0.186886, 0.235208, 0.315921, 0.450721" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (Q_N) { + direction : output; + function : "IQN"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + sdf_cond : "SCE == 1'b1"; + timing_sense : non_unate; + timing_type : rising_edge; + when : "SCE"; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.139071, 0.195536, 0.227368, 0.278951, 0.36487, 0.507671, 0.745804", \ + "0.163954, 0.220408, 0.252231, 0.303796, 0.389758, 0.53261, 0.770818", \ + "0.180894, 0.237399, 0.269279, 0.320811, 0.40663, 0.549648, 0.787678", \ + "0.202084, 0.25857, 0.290422, 0.341983, 0.427862, 0.57076, 0.808808", \ + "0.231517, 0.288018, 0.319881, 0.371432, 0.45737, 0.600231, 0.838304", \ + "0.271487, 0.328116, 0.36003, 0.411618, 0.497546, 0.640393, 0.878584", \ + "0.320401, 0.377253, 0.409151, 0.460755, 0.546657, 0.689624, 0.927845" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0184422, 0.0805055, 0.124477, 0.199267, 0.326361, 0.539061, 0.893756", \ + "0.0184603, 0.0805065, 0.124478, 0.19928, 0.326606, 0.539207, 0.89388", \ + "0.0184725, 0.0805104, 0.124479, 0.199281, 0.326607, 0.539208, 0.893881", \ + "0.0185013, 0.0805265, 0.12448, 0.199282, 0.326608, 0.539209, 0.893882", \ + "0.0186441, 0.0805803, 0.124482, 0.199283, 0.326609, 0.53921, 0.893883", \ + "0.018915, 0.080691, 0.124582, 0.199299, 0.32661, 0.539211, 0.893884", \ + "0.019363, 0.080843, 0.124707, 0.199376, 0.326611, 0.539212, 0.893885" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.169972, 0.228809, 0.257312, 0.300599, 0.370406, 0.4858, 0.677973", \ + "0.195198, 0.2541, 0.282527, 0.325839, 0.395695, 0.511093, 0.703225", \ + "0.212223, 0.271129, 0.299532, 0.342792, 0.412643, 0.528165, 0.720336", \ + "0.2327, 0.291596, 0.320062, 0.363255, 0.433158, 0.548537, 0.740647", \ + "0.260812, 0.319682, 0.348083, 0.391406, 0.461229, 0.576596, 0.76875", \ + "0.296915, 0.355806, 0.384273, 0.42742, 0.49736, 0.612791, 0.804874", \ + "0.340205, 0.399068, 0.427576, 0.470749, 0.540669, 0.656022, 0.848228" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0217855, 0.0709589, 0.101247, 0.153307, 0.244039, 0.398951, 0.659238", \ + "0.0218093, 0.0709599, 0.101248, 0.153308, 0.244871, 0.398996, 0.659239", \ + "0.0218179, 0.0709609, 0.101249, 0.153309, 0.244872, 0.398997, 0.659362", \ + "0.0218189, 0.0710571, 0.10125, 0.15331, 0.244873, 0.398998, 0.659363", \ + "0.0218199, 0.0710581, 0.101251, 0.153311, 0.244874, 0.398999, 0.659364", \ + "0.0218209, 0.0710591, 0.10126, 0.153312, 0.244875, 0.39908, 0.659365", \ + "0.0218219, 0.0710601, 0.101262, 0.153313, 0.244876, 0.399081, 0.659573" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.139071, 0.195536, 0.227368, 0.278951, 0.36487, 0.507671, 0.745804", \ + "0.163954, 0.220408, 0.252231, 0.303796, 0.389758, 0.53261, 0.770818", \ + "0.180894, 0.237399, 0.269279, 0.320811, 0.40663, 0.549648, 0.787678", \ + "0.202084, 0.25857, 0.290422, 0.341983, 0.427862, 0.57076, 0.808808", \ + "0.231517, 0.288018, 0.319881, 0.371432, 0.45737, 0.600231, 0.838304", \ + "0.271487, 0.328116, 0.36003, 0.411618, 0.497546, 0.640393, 0.878584", \ + "0.320401, 0.377253, 0.409151, 0.460755, 0.546657, 0.689624, 0.927845" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0184422, 0.0805055, 0.124477, 0.199267, 0.326361, 0.539061, 0.893756", \ + "0.0184603, 0.0805065, 0.124478, 0.19928, 0.326606, 0.539207, 0.89388", \ + "0.0184725, 0.0805104, 0.124479, 0.199281, 0.326607, 0.539208, 0.893881", \ + "0.0185013, 0.0805265, 0.12448, 0.199282, 0.326608, 0.539209, 0.893882", \ + "0.0186441, 0.0805803, 0.124482, 0.199283, 0.326609, 0.53921, 0.893883", \ + "0.018915, 0.080691, 0.124582, 0.199299, 0.32661, 0.539211, 0.893884", \ + "0.019363, 0.080843, 0.124707, 0.199376, 0.326611, 0.539212, 0.893885" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.169972, 0.228809, 0.257312, 0.300599, 0.370406, 0.4858, 0.677973", \ + "0.195198, 0.2541, 0.282527, 0.325839, 0.395695, 0.511093, 0.703225", \ + "0.212223, 0.271129, 0.299532, 0.342792, 0.412643, 0.528165, 0.720336", \ + "0.2327, 0.291596, 0.320062, 0.363255, 0.433158, 0.548537, 0.740647", \ + "0.260812, 0.319682, 0.348083, 0.391406, 0.461229, 0.576596, 0.76875", \ + "0.296915, 0.355806, 0.384273, 0.42742, 0.49736, 0.612791, 0.804874", \ + "0.340205, 0.399068, 0.427576, 0.470749, 0.540669, 0.656022, 0.848228" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0217855, 0.0709589, 0.101247, 0.153307, 0.244039, 0.398951, 0.659238", \ + "0.0218093, 0.0709599, 0.101248, 0.153308, 0.244871, 0.398996, 0.659239", \ + "0.0218179, 0.0709609, 0.101249, 0.153309, 0.244872, 0.398997, 0.659362", \ + "0.0218189, 0.0710571, 0.10125, 0.15331, 0.244873, 0.398998, 0.659363", \ + "0.0218199, 0.0710581, 0.101251, 0.153311, 0.244874, 0.398999, 0.659364", \ + "0.0218209, 0.0710591, 0.10126, 0.153312, 0.244875, 0.39908, 0.659365", \ + "0.0218219, 0.0710601, 0.101262, 0.153313, 0.244876, 0.399081, 0.659573" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.109723, 0.168457, 0.201514, 0.254536, 0.341048, 0.484073, 0.722333", \ + "0.140066, 0.19883, 0.231874, 0.284915, 0.371411, 0.515573, 0.752486", \ + "0.161039, 0.219886, 0.253032, 0.306035, 0.39253, 0.535568, 0.773619", \ + "0.190278, 0.249436, 0.282615, 0.335523, 0.422032, 0.565001, 0.803149", \ + "0.23222, 0.292125, 0.325229, 0.378141, 0.464584, 0.607693, 0.845639", \ + "0.279113, 0.340945, 0.374165, 0.426997, 0.513449, 0.656398, 0.894498", \ + "0.347042, 0.412752, 0.446128, 0.498855, 0.585266, 0.728099, 0.966111" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0190086, 0.0833798, 0.127947, 0.202293, 0.328056, 0.539354, 0.893466", \ + "0.0191833, 0.0834329, 0.127963, 0.202294, 0.328171, 0.540401, 0.893467", \ + "0.0194674, 0.0834813, 0.127964, 0.202295, 0.328172, 0.540402, 0.893468", \ + "0.0199992, 0.0837604, 0.128083, 0.202296, 0.328173, 0.540403, 0.893469", \ + "0.0212812, 0.0842747, 0.128357, 0.202349, 0.328174, 0.540404, 0.89347", \ + "0.023757, 0.085595, 0.129026, 0.202562, 0.328175, 0.540405, 0.893471", \ + "0.027863, 0.088191, 0.130412, 0.203075, 0.328253, 0.540406, 0.893472" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0569701, 0.106908, 0.133785, 0.175891, 0.24509, 0.360352, 0.552392", \ + "0.0872045, 0.138645, 0.165527, 0.207587, 0.276844, 0.392072, 0.584098", \ + "0.107207, 0.161261, 0.18823, 0.230237, 0.299471, 0.414692, 0.606721", \ + "0.135785, 0.194258, 0.221466, 0.263403, 0.332549, 0.447762, 0.639782", \ + "0.175965, 0.241421, 0.268745, 0.310642, 0.379619, 0.494659, 0.686692", \ + "0.219826, 0.293583, 0.321077, 0.362756, 0.431347, 0.546298, 0.738289", \ + "0.288666, 0.369795, 0.396695, 0.438129, 0.506518, 0.620583, 0.812522" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.015042, 0.0633213, 0.0948277, 0.148372, 0.240411, 0.396159, 0.656873", \ + "0.0175405, 0.0642069, 0.0950689, 0.148433, 0.240412, 0.396179, 0.656874", \ + "0.0204747, 0.065828, 0.0959519, 0.148598, 0.240413, 0.39634, 0.658067", \ + "0.024895, 0.0691543, 0.0976912, 0.149225, 0.240754, 0.396341, 0.658068", \ + "0.0322458, 0.0745489, 0.100402, 0.150148, 0.240907, 0.396458, 0.658069", \ + "0.042036, 0.081985, 0.10424, 0.151526, 0.241216, 0.396708, 0.65807", \ + "0.054866, 0.089449, 0.107978, 0.152906, 0.241755, 0.396817, 0.658071" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "SCE"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0258609, 0.0262931, 0.0263568, 0.0263338, 0.0262844, 0.0261074, 0.0258178", \ + "0.0259467, 0.0263681, 0.0265239, 0.0264646, 0.0264197, 0.0262221, 0.0258673", \ + "0.0265437, 0.0269332, 0.026993, 0.0270834, 0.0270135, 0.0272791, 0.0265103", \ + "0.0278612, 0.0282811, 0.0283935, 0.0283825, 0.028309, 0.0283666, 0.0279191", \ + "0.0309025, 0.031318, 0.0313824, 0.031481, 0.0314563, 0.0312765, 0.0312366", \ + "0.0377812, 0.0381564, 0.0382284, 0.038282, 0.0382394, 0.038335, 0.0379926", \ + "0.0522062, 0.0524759, 0.0525409, 0.0525706, 0.0525886, 0.0525996, 0.0524896" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0268054, 0.0268267, 0.0268726, 0.0267773, 0.0265304, 0.0261278, 0.0254448", \ + "0.0269627, 0.0270056, 0.027258, 0.0269498, 0.0268961, 0.0262975, 0.025594", \ + "0.0275643, 0.0275605, 0.0276138, 0.0276026, 0.0281276, 0.0268619, 0.0261631", \ + "0.0289064, 0.028982, 0.0291019, 0.0290262, 0.0287402, 0.0285482, 0.0275745", \ + "0.0319204, 0.0319914, 0.0320982, 0.0321456, 0.0321924, 0.0314907, 0.0311077", \ + "0.0384276, 0.0384719, 0.0386201, 0.0384754, 0.0385587, 0.0385774, 0.0384911", \ + "0.0523221, 0.0522813, 0.0523185, 0.0522494, 0.0523017, 0.0520387, 0.0518092" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0258609, 0.0262931, 0.0263568, 0.0263338, 0.0262844, 0.0261074, 0.0258178", \ + "0.0259467, 0.0263681, 0.0265239, 0.0264646, 0.0264197, 0.0262221, 0.0258673", \ + "0.0265437, 0.0269332, 0.026993, 0.0270834, 0.0270135, 0.0272791, 0.0265103", \ + "0.0278612, 0.0282811, 0.0283935, 0.0283825, 0.028309, 0.0283666, 0.0279191", \ + "0.0309025, 0.031318, 0.0313824, 0.031481, 0.0314563, 0.0312765, 0.0312366", \ + "0.0377812, 0.0381564, 0.0382284, 0.038282, 0.0382394, 0.038335, 0.0379926", \ + "0.0522062, 0.0524759, 0.0525409, 0.0525706, 0.0525886, 0.0525996, 0.0524896" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0268054, 0.0268267, 0.0268726, 0.0267773, 0.0265304, 0.0261278, 0.0254448", \ + "0.0269627, 0.0270056, 0.027258, 0.0269498, 0.0268961, 0.0262975, 0.025594", \ + "0.0275643, 0.0275605, 0.0276138, 0.0276026, 0.0281276, 0.0268619, 0.0261631", \ + "0.0289064, 0.028982, 0.0291019, 0.0290262, 0.0287402, 0.0285482, 0.0275745", \ + "0.0319204, 0.0319914, 0.0320982, 0.0321456, 0.0321924, 0.0314907, 0.0311077", \ + "0.0384276, 0.0384719, 0.0386201, 0.0384754, 0.0385587, 0.0385774, 0.0384911", \ + "0.0523221, 0.0522813, 0.0523185, 0.0522494, 0.0523017, 0.0520387, 0.0518092" \ + ); + } + } + internal_power () { + related_pin : "RESET_B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0558419, 0.0818914, 0.0995532, 0.128583, 0.177022, 0.257712, 0.392103", \ + "0.0557832, 0.0817805, 0.0994655, 0.128576, 0.176994, 0.258209, 0.39192", \ + "0.0562504, 0.0821495, 0.0998602, 0.129058, 0.177684, 0.25822, 0.39249", \ + "0.0577095, 0.0835652, 0.101286, 0.130222, 0.178998, 0.259474, 0.396575", \ + "0.0613623, 0.0870013, 0.104759, 0.133872, 0.182358, 0.262966, 0.398587", \ + "0.0690358, 0.0943287, 0.112037, 0.141115, 0.189816, 0.270737, 0.404804", \ + "0.085761, 0.110271, 0.127822, 0.156826, 0.205401, 0.286667, 0.421374" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "SET_B"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0492824, 0.0753578, 0.0929172, 0.12173, 0.169853, 0.249939, 0.383496", \ + "0.04931, 0.0750028, 0.0926971, 0.121883, 0.169821, 0.249722, 0.383266", \ + "0.0509382, 0.0763935, 0.0937425, 0.122763, 0.172106, 0.25125, 0.384873", \ + "0.0552641, 0.0800562, 0.0977499, 0.126718, 0.174688, 0.256033, 0.388613", \ + "0.0651205, 0.0886914, 0.106293, 0.13533, 0.183933, 0.263954, 0.397439", \ + "0.0842715, 0.106196, 0.123444, 0.152385, 0.200842, 0.281831, 0.416399", \ + "0.121539, 0.14144, 0.158038, 0.186454, 0.234848, 0.315191, 0.450232" \ + ); + } + } + } + pin (CLK) { + clock : true; + direction : input; + max_transition : 2.5074; + capacitance : 0.00318325; + rise_capacitance : 0.00324124; + rise_capacitance_range (0.00324124, 0.00324124); + fall_capacitance : 0.00311077; + fall_capacitance_range (0.00311077, 0.00311077); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0619507, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0801086, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + when : "(RESET_B * SCD * SCE * SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0179509, 0.0182166, 0.0192416, 0.0218759, 0.0277205, 0.0410539, 0.0683396" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0175402, 0.0179213, 0.019288, 0.0218833, 0.0286149, 0.0413554, 0.0694146" \ + ); + } + } + internal_power () { + when : "(RESET_B * SCD * SCE * SET_B * !Q * Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0314699, 0.0317743, 0.0332319, 0.0359873, 0.0427423, 0.0558914, 0.0847555" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0234864, 0.023686, 0.0247593, 0.0274658, 0.0332413, 0.0463408, 0.073593" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0217215, 0.0221973, 0.0237399, 0.026537, 0.0333987, 0.0464266, 0.0749879" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SCD * SCE * SET_B * Q * !Q_N)"; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0339053, 0.0344087, 0.0358919, 0.0387046, 0.0456006, 0.0586737, 0.0872215" \ + ); + } + } + internal_power () { + when : "(RESET_B * !SCD * SCE * SET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0179917, 0.0182528, 0.019373, 0.022092, 0.0278441, 0.0411417, 0.0685269" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0179511, 0.0183303, 0.0197134, 0.0223873, 0.0290157, 0.0417428, 0.0696313" \ + ); + } + } + internal_power () { + when : "(D * RESET_B * !SCE * SET_B * Q * !Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0177503, 0.0180165, 0.01905, 0.0216841, 0.0275293, 0.0408662, 0.0681469" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0175436, 0.0179222, 0.0192888, 0.0218835, 0.0286151, 0.0413573, 0.0694163" \ + ); + } + } + internal_power () { + when : "(!RESET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0174333, 0.0177328, 0.0188449, 0.0215653, 0.0273733, 0.0405284, 0.0679145" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0161507, 0.0165566, 0.0179268, 0.0206046, 0.0272363, 0.039961, 0.067852" \ + ); + } + } + internal_power () { + when : "(!D * RESET_B * !SCE * SET_B * !Q * Q_N)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0179803, 0.0182466, 0.0193719, 0.0220883, 0.0278439, 0.0411428, 0.0685772" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0178751, 0.0182865, 0.0196634, 0.0223384, 0.0289657, 0.041695, 0.0695846" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0179803, 0.0182466, 0.0193719, 0.0220883, 0.0278439, 0.0411428, 0.0685772" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0217215, 0.0221973, 0.0237399, 0.026537, 0.0333987, 0.0464266, 0.0749879" \ + ); + } + } + } + pin (D) { + direction : input; + nextstate_type : data; + max_transition : 2.5074; + capacitance : 0.0020529; + rise_capacitance : 0.00209643; + rise_capacitance_range (0.00209643, 0.00209643); + fall_capacitance : 0.00200938; + fall_capacitance_range (0.00200938, 0.00200938); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.063575, -0.0252047, -0.0118599, -0.000828112", \ + "-0.171965, -0.129869, -0.114419, -0.103864", \ + "-0.229887, -0.192403, -0.18079, -0.165381", \ + "-0.290595, -0.261753, -0.252712, -0.244978" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0709105, 0.00724098, 0.0447192, 0.0828212", \ + "-0.184445, -0.109498, -0.0698381, -0.0296413", \ + "-0.265892, -0.197648, -0.159203, -0.117357", \ + "-0.352658, -0.291992, -0.258362, -0.215462" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0782461, 0.035188, 0.022147, 0.0089232", \ + "0.189436, 0.140055, 0.124909, 0.112111", \ + "0.250461, 0.202893, 0.191584, 0.176681", \ + "0.317579, 0.272749, 0.264011, 0.253832" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.102698, 0.0202131, -0.0164297, -0.0396474", \ + "0.21689, 0.134962, 0.0986846, 0.0708763", \ + "0.299325, 0.22125, 0.186187, 0.154081", \ + "0.390435, 0.319482, 0.283786, 0.250881" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * !SCE * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0177542, 0.0177007, 0.0181007, 0.0189466, 0.0213739, 0.026852, 0.0386576" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.018026, 0.0180185, 0.0183985, 0.019496, 0.0221129, 0.0274174, 0.0394888" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * !SCE * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00712649, 0.00702256, 0.0072647, 0.00805563, 0.0101407, 0.0149724, 0.0257419" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00732705, 0.00722201, 0.00756904, 0.00847027, 0.0107104, 0.0155765, 0.0263518" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00712649, 0.00702256, 0.0072647, 0.00805563, 0.0101407, 0.0149724, 0.0257419" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00732705, 0.00722201, 0.00756904, 0.00847027, 0.0107104, 0.0155765, 0.0263518" \ + ); + } + } + } + pin (RESET_B) { + direction : input; + max_transition : 2.5074; + capacitance : 0.001821; + rise_capacitance : 0.001821; + rise_capacitance_range (0.001821, 0.001821); + fall_capacitance : 0.001821; + fall_capacitance_range (0.001821, 0.001821); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0415682, -0.0297034, -0.0627217, -0.0990114", \ + "0.13952, 0.0636615, 0.0278795, -0.0088447", \ + "0.191311, 0.113731, 0.0755542, 0.0382583", \ + "0.252818, 0.168287, 0.131239, 0.0914977" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0293423, 0.0396867, 0.0730088, 0.107106", \ + "-0.124545, -0.0509292, -0.0173898, 0.0198407", \ + "-0.170736, -0.0979963, -0.0620624, -0.0269585", \ + "-0.225834, -0.151793, -0.114289, -0.07674" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0930786, 0.689697, 1.68457, 3.34351" \ + ); + } + } + } + pin (SCD) { + direction : input; + nextstate_type : scan_in; + max_transition : 2.5074; + capacitance : 0.00209614; + rise_capacitance : 0.00211615; + rise_capacitance_range (0.00211615, 0.00211615); + fall_capacitance : 0.00207612; + fall_capacitance_range (0.00207612, 0.00207612); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0806913, -0.0426755, -0.0298623, -0.0170183", \ + "-0.18694, -0.150241, -0.135398, -0.123107", \ + "-0.253033, -0.218627, -0.207774, -0.193631", \ + "-0.328372, -0.302988, -0.292261, -0.283348" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0929172, -0.0177173, 0.0190015, 0.0585359", \ + "-0.18694, -0.114591, -0.0777053, -0.0378883", \ + "-0.260749, -0.192403, -0.156505, -0.117357", \ + "-0.341864, -0.278247, -0.244237, -0.206608" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0953624, 0.0526588, 0.0401494, 0.0278118", \ + "0.204411, 0.157881, 0.145888, 0.131354", \ + "0.273607, 0.229117, 0.215869, 0.202105", \ + "0.352658, 0.313984, 0.303561, 0.292202" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.124705, 0.0426755, 0.0067163, -0.0153621", \ + "0.219386, 0.137509, 0.103929, 0.0763743", \ + "0.294182, 0.216005, 0.183489, 0.151256", \ + "0.379641, 0.305737, 0.269661, 0.239075" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * SCE * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0201062, 0.0200633, 0.0202849, 0.0209641, 0.0229733, 0.0281104, 0.0394516" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.024865, 0.0246828, 0.024849, 0.025674, 0.0279269, 0.0334108, 0.045136" \ + ); + } + } + internal_power () { + when : "(!CLK * RESET_B * SCE * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00948058, 0.00934696, 0.00948074, 0.0100024, 0.0118399, 0.0163804, 0.0268091" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00990365, 0.00979598, 0.00997256, 0.0105849, 0.0125302, 0.0173684, 0.0279977" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00948058, 0.00934696, 0.00948074, 0.0100024, 0.0118399, 0.0163804, 0.0268091" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00990365, 0.00979598, 0.00997256, 0.0105849, 0.0125302, 0.0173684, 0.0279977" \ + ); + } + } + } + pin (SCE) { + direction : input; + nextstate_type : scan_enable; + max_transition : 2.5074; + capacitance : 0.00371839; + rise_capacitance : 0.00409992; + rise_capacitance_range (0.00409992, 0.00409992); + fall_capacitance : 0.00333686; + fall_capacitance_range (0.00333686, 0.00333686); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0660201, -0.0277006, -0.0144316, -0.00352648", \ + "-0.179453, -0.137509, -0.122286, -0.109362", \ + "-0.24789, -0.21076, -0.196981, -0.185156", \ + "-0.328372, -0.294741, -0.286611, -0.277445" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0709105, 0.00724098, 0.0447192, 0.0828212", \ + "-0.15699, -0.0814867, -0.0409916, -0.00215129", \ + "-0.217028, -0.1452, -0.107935, -0.0693328", \ + "-0.277103, -0.209522, -0.176438, -0.135771" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0831365, 0.0401797, 0.0272905, 0.0170183", \ + "0.204411, 0.157881, 0.143266, 0.128605", \ + "0.281323, 0.234362, 0.218568, 0.207755", \ + "0.374245, 0.327729, 0.314861, 0.30696" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.102698, 0.0202131, -0.0164297, -0.0396474", \ + "0.189436, 0.106951, 0.0698381, 0.0433863", \ + "0.250461, 0.171424, 0.134918, 0.106057", \ + "0.314881, 0.237012, 0.201863, 0.171189" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESET_B * !SCD * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.021911, 0.0220839, 0.0227141, 0.0241446, 0.0273477, 0.0342538, 0.0486267" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0232693, 0.0235567, 0.0242387, 0.0256697, 0.0288461, 0.0357012, 0.0499396" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESET_B * !SCD * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.028511, 0.0281766, 0.0287753, 0.0301932, 0.0334234, 0.0402999, 0.0545214" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0302168, 0.0361816, 0.038279, 0.0400735, 0.0433599, 0.0502071, 0.0644839" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESET_B * SCD * SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.020349, 0.0206015, 0.0216943, 0.0242449, 0.0301136, 0.0423138, 0.0683375" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0133272, 0.0298514, 0.0402249, 0.0444985, 0.0502676, 0.0626109, 0.0884926" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESET_B * SCD * !SET_B)"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0096481, 0.0098093, 0.0107418, 0.0131704, 0.01865, 0.0303424, 0.0552258" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.00978059, 0.0100049, 0.0108168, 0.0130495, 0.0184131, 0.0301451, 0.0550555" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.021911, 0.0220839, 0.0227141, 0.0241446, 0.0273477, 0.0342538, 0.0486267" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0232693, 0.0235567, 0.0242387, 0.0256697, 0.0288461, 0.0357012, 0.0499396" \ + ); + } + } + } + pin (SET_B) { + direction : input; + max_transition : 2.5074; + capacitance : 0.00548344; + rise_capacitance : 0.00548344; + rise_capacitance_range (0.00548344, 0.00548344); + fall_capacitance : 0.00548344; + fall_capacitance_range (0.00548344, 0.00548344); + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.00733557, -0.0846116, -0.127016, -0.163772", \ + "0.104578, 0.0127323, -0.0298136, -0.0693227", \ + "0.296753, 0.142577, 0.0809509, 0.0297834", \ + "0.698048, 0.46243, 0.35441, 0.253832" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0268971, 0.10957, 0.150162, 0.190756", \ + "-0.0272076, 0.0560221, 0.0953739, 0.135299", \ + "-0.0575781, 0.0226347, 0.0620624, 0.102989", \ + "-0.0990114, -0.0198407, 0.0184836, 0.0590308" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : non_seq_hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.051349, -0.0451714, -0.0555801, -0.0709856", \ + "-0.134528, -0.0967655, -0.0960622, -0.106613", \ + "-0.186167, -0.142577, -0.137617, -0.142781", \ + "-0.244723, -0.198526, -0.193388, -0.197753" \ + ); + } + } + timing () { + related_pin : "RESET_B"; + timing_type : non_seq_setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.063575, 0.0726254, 0.145592, 0.262569", \ + "0.149503, 0.112044, 0.119664, 0.156095", \ + "0.209313, 0.160934, 0.159203, 0.173856", \ + "0.277103, 0.226016, 0.218812, 0.23022" \ + ); + } + } + timing () { + related_pin : "SET_B"; + timing_type : min_pulse_width; + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0645447, 0.689697, 1.68457, 3.34351" \ + ); + } + } + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clear_preset_var1 : "H"; + clear_preset_var2 : "L"; + clocked_on : "CLK"; + next_state : "(SCE*SCD)+(SCE'*D)"; + preset : "SET_B'"; + } + test_cell () { + pin (Q) { + direction : output; + function : "IQ"; + signal_type : test_scan_out; + } + pin (Q_N) { + direction : output; + function : "IQN"; + signal_type : test_scan_out_inverted; + } + pin (CLK) { + direction : input; + } + pin (D) { + direction : input; + } + pin (RESET_B) { + direction : input; + } + pin (SCD) { + direction : input; + signal_type : test_scan_in; + } + pin (SCE) { + direction : input; + signal_type : test_scan_enable; + } + pin (SET_B) { + direction : input; + } + ff (IQ,IQN) { + clear : "RESET_B'"; + clear_preset_var1 : H; + clear_preset_var2 : L; + clocked_on : "CLK"; + next_state : "D"; + preset : "SET_B'"; + } + } + } + cell (sg13g2_sighold) { + area : 9.072; + cell_footprint : "keepstate"; + dont_touch : true; + dont_use : true; + cell_leakage_power : 435.864; + leakage_power () { + value : 76.3608; + when : "SH"; + } + leakage_power () { + value : 795.367; + when : "!SH"; + } + pin (SH) { + direction : "inout"; + driver_type : bus_hold; + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0, 0, 0, 0, 0, 0, 0" \ + ); + } + } + } + } + cell (sg13g2_slgcp_1) { + area : 30.8448; + cell_footprint : "sgclk"; + clock_gating_integrated_cell : "latch_posedge_precontrol"; + dont_use : true; + dont_touch : true; + cell_leakage_power : 1198.55; + leakage_power () { + value : 1087.27; + when : "!CLK&GATE&SCE&!GCLK"; + } + leakage_power () { + value : 1285.23; + when : "CLK&GATE&SCE&GCLK"; + } + leakage_power () { + value : 1236.21; + when : "!GATE&SCE&!GCLK"; + } + leakage_power () { + value : 1107.48; + when : "!CLK&GATE&!SCE&!GCLK"; + } + leakage_power () { + value : 1290.35; + when : "CLK&GATE&!SCE&GCLK"; + } + leakage_power () { + value : 1184.75; + when : "!GATE&!SCE&!GCLK"; + } + statetable ("CLK GATE SCE", "int_GATE") { + table : "L L L : - : L,\ + L L H : - : H,\ + L H L : - : H,\ + L H H : - : H,\ + H - - : - : N"; + } + pin (int_GATE) { + direction : "internal"; + internal_node : "int_GATE"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : "output"; + state_function : "CLK * int_GATE"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0509558, 0.100326, 0.131519, 0.182697, 0.26792, 0.410179, 0.646724", \ + "0.0685119, 0.118799, 0.149966, 0.201117, 0.286445, 0.428557, 0.665338", \ + "0.0806619, 0.132251, 0.163411, 0.214649, 0.300045, 0.442118, 0.678857", \ + "0.0945825, 0.148572, 0.179884, 0.231111, 0.316522, 0.458631, 0.69528", \ + "0.114416, 0.173522, 0.205434, 0.256945, 0.342552, 0.484838, 0.721504", \ + "0.139612, 0.207838, 0.241124, 0.294224, 0.381408, 0.524762, 0.761834", \ + "0.168796, 0.250235, 0.28747, 0.3434, 0.43413, 0.581553, 0.82333" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.013607, 0.0764216, 0.121921, 0.19771, 0.324442, 0.536132, 0.888897", \ + "0.0154398, 0.077044, 0.122223, 0.197711, 0.324527, 0.536204, 0.888898", \ + "0.017465, 0.0777446, 0.122687, 0.198032, 0.324679, 0.536205, 0.890405", \ + "0.0209429, 0.0796005, 0.123682, 0.198548, 0.325118, 0.536436, 0.890406", \ + "0.0268563, 0.084014, 0.126873, 0.200594, 0.326248, 0.537124, 0.890407", \ + "0.036186, 0.093887, 0.134622, 0.207088, 0.331669, 0.541157, 0.89141", \ + "0.050615, 0.113158, 0.151487, 0.221678, 0.345153, 0.554759, 0.902863" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0438607, 0.0850439, 0.110187, 0.151442, 0.220255, 0.334903, 0.525629", \ + "0.0693486, 0.111819, 0.137092, 0.178409, 0.247266, 0.361916, 0.552758", \ + "0.0851259, 0.129004, 0.15435, 0.195732, 0.264726, 0.379284, 0.570126", \ + "0.107377, 0.153756, 0.179162, 0.22047, 0.289359, 0.404032, 0.594888", \ + "0.13856, 0.189889, 0.21584, 0.25728, 0.326212, 0.44085, 0.631766", \ + "0.171294, 0.22991, 0.257364, 0.299977, 0.369934, 0.485577, 0.676699", \ + "0.226512, 0.295896, 0.326518, 0.371449, 0.444044, 0.563002, 0.757877" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0112403, 0.0573687, 0.0903244, 0.145849, 0.238911, 0.394264, 0.653207", \ + "0.0140193, 0.0581812, 0.0909748, 0.146088, 0.239045, 0.394781, 0.653375", \ + "0.016453, 0.0593274, 0.0917088, 0.14659, 0.239366, 0.394782, 0.653869", \ + "0.0206999, 0.0614816, 0.0929836, 0.147423, 0.239956, 0.394979, 0.65387", \ + "0.0271546, 0.0664969, 0.0964391, 0.149525, 0.241101, 0.395612, 0.654194", \ + "0.035803, 0.075975, 0.104357, 0.155916, 0.246383, 0.399519, 0.656407", \ + "0.050321, 0.092736, 0.119564, 0.169084, 0.258637, 0.412113, 0.667783" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0148077, 0.0154037, 0.0154241, 0.0154032, 0.015184, 0.0149055, 0.0149256", \ + "0.0146693, 0.0149981, 0.0151778, 0.0150748, 0.0149598, 0.0145538, 0.0146863", \ + "0.0150704, 0.015281, 0.0153416, 0.0153812, 0.0152804, 0.0149584, 0.0152292", \ + "0.0167156, 0.0165499, 0.0166093, 0.0166894, 0.0164713, 0.0169828, 0.0162862", \ + "0.0210204, 0.0203289, 0.02037, 0.0203948, 0.0204343, 0.0201453, 0.0205311", \ + "0.0308449, 0.0291147, 0.0290108, 0.0288244, 0.0288598, 0.0289649, 0.0287117", \ + "0.0511542, 0.0481012, 0.0474982, 0.0471842, 0.0471362, 0.0469892, 0.0468762" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0098416, 0.0105918, 0.0105457, 0.0105234, 0.0104095, 0.0102155, 0.0096383", \ + "0.0103294, 0.0106799, 0.0107199, 0.0109471, 0.010809, 0.0105426, 0.0099677", \ + "0.0113633, 0.0115129, 0.0115536, 0.0114464, 0.0117585, 0.0117206, 0.0108659", \ + "0.0139219, 0.0135957, 0.0136274, 0.0136563, 0.0133487, 0.013513, 0.0132288", \ + "0.018645, 0.0176478, 0.0176761, 0.0176564, 0.017741, 0.0176098, 0.0168598", \ + "0.0289861, 0.0270827, 0.026881, 0.0268019, 0.0266531, 0.0267072, 0.0266922", \ + "0.049649, 0.046131, 0.045695, 0.04522, 0.044915, 0.044939, 0.044936" \ + ); + } + } + } + pin (CLK) { + clock_gate_clock_pin : true; + direction : "input"; + clock : true; + max_transition : 2.5074; + capacitance : 0.00532454; + rise_capacitance : 0.00547947; + rise_capacitance_range (0.00547947, 0.00547947); + fall_capacitance : 0.00516962; + fall_capacitance_range (0.00516962, 0.00516962); + timing () { + related_pin : "CLK"; + timing_type : min_pulse_width; + rise_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.160522, 0.689697, 1.68457, 3.34351" \ + ); + } + fall_constraint (mpw_CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0671387, 0.689697, 1.68457, 3.34351" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.010625, 0.0108918, 0.0118858, 0.0142749, 0.0195881, 0.0309251, 0.0540838" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0107639, 0.0112217, 0.0123666, 0.0148206, 0.0207196, 0.0318434, 0.05592" \ + ); + } + } + } + pin (GATE) { + clock_gate_enable_pin : true; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00209367; + rise_capacitance : 0.00256342; + rise_capacitance_range (0.00256342, 0.00256342); + fall_capacitance : 0.00162393; + fall_capacitance_range (0.00162393, 0.00162393); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0278132, -0.00961266, -0.0170034, -0.0413601", \ + "-0.104607, -0.0824089, -0.0910856, -0.118154", \ + "-0.127016, -0.110101, -0.121426, -0.148431", \ + "-0.137005, -0.118805, -0.134064, -0.162545" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0453691, 0.00921579, 0.024145, 0.0275383", \ + "-0.144993, -0.0984032, -0.0807623, -0.0760832", \ + "-0.2196, -0.178294, -0.167299, -0.162556", \ + "-0.304497, -0.273897, -0.266836, -0.26357" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0430002, 0.0477572, 0.0941567, 0.181493", \ + "0.135607, 0.120375, 0.137503, 0.194139", \ + "0.170736, 0.158216, 0.172695, 0.213405", \ + "0.192212, 0.19297, 0.207512, 0.246747" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0695413, 0.00911517, -0.000999033, 0.00746685", \ + "0.179663, 0.127232, 0.107837, 0.0976013", \ + "0.260749, 0.215026, 0.196981, 0.190806", \ + "0.357985, 0.317546, 0.303561, 0.299908" \ + ); + } + } + internal_power () { + when : "!CLK"; + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0301032, 0.0308321, 0.031534, 0.0331721, 0.0370629, 0.0454534, 0.0635136" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.03034, 0.0468379, 0.0488447, 0.0508391, 0.0548566, 0.0634364, 0.0817737" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0301032, 0.0308321, 0.031534, 0.0331721, 0.0370629, 0.0454534, 0.0635136" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.03034, 0.0468379, 0.0488447, 0.0508391, 0.0548566, 0.0634364, 0.0817737" \ + ); + } + } + } + pin (SCE) { + clock_gate_test_pin : "true"; + direction : "input"; + max_transition : 2.5074; + capacitance : 0.0025324; + rise_capacitance : 0.00247911; + rise_capacitance_range (0.00247911, 0.00247911); + fall_capacitance : 0.00258569; + fall_capacitance_range (0.00258569, 0.00258569); + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.0316909, -0.0139967, -0.022147, -0.0449446", \ + "-0.1163, -0.0986055, -0.104044, -0.129553", \ + "-0.152734, -0.136326, -0.14841, -0.173856", \ + "-0.188241, -0.170547, -0.190563, -0.221482" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "-0.048414, 0.00726945, 0.0190015, 0.0214686", \ + "-0.123597, -0.0759089, -0.0614653, -0.0537148", \ + "-0.181023, -0.138618, -0.126823, -0.123007", \ + "-0.242595, -0.206899, -0.199038, -0.196697" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.002, 0, 0, 0", \ + "0.49976, 0.002, 0, 0", \ + "1.2464, 0.74864, 0.002, 0", \ + "2.4908, 1.99304, 1.2464, 0.002" \ + ); + } + fall_constraint (CONSTRAINT_4x4) { + index_1 ("0.0186, 0.51636, 1.263, 2.5074"); + index_2 ("0.0186, 0.51636, 1.263, 2.5074"); + values ( \ + "0.0754376, 0.0152503, 0.00157274, 0.0109648", \ + "0.1548, 0.102608, 0.083647, 0.078335", \ + "0.2196, 0.170119, 0.156505, 0.151256", \ + "0.286688, 0.246488, 0.232937, 0.23021" \ + ); + } + } + internal_power () { + rise_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0162866, 0.0164521, 0.01705, 0.0186709, 0.0227291, 0.0312674, 0.0496736" \ + ); + } + fall_power (passive_POWER_7x1ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + values ( \ + "0.0314829, 0.0460771, 0.0474966, 0.0491343, 0.0529537, 0.061351, 0.0793298" \ + ); + } + } + } + } + cell (sg13g2_tiehi) { + area : 7.2576; + cell_footprint : "tie1"; + cell_leakage_power : 238.392; + pin (L_HI) { + direction : "output"; + function : "1"; + driver_type : open_drain; + } + } + cell (sg13g2_tielo) { + area : 7.2576; + cell_footprint : "tie0"; + cell_leakage_power : 266.175; + pin (L_LO) { + direction : "output"; + function : "0"; + driver_type : open_source; + } + } + cell (sg13g2_xnor2_1) { + area : 14.5152; + cell_footprint : "xnor2_1"; + cell_leakage_power : 577.496; + leakage_power () { + value : 614.816; + when : "!A&B"; + } + leakage_power () { + value : 766.938; + when : "A&B"; + } + leakage_power () { + value : 651.479; + when : "A&!B"; + } + leakage_power () { + value : 276.751; + when : "!A&!B"; + } + pin (Y) { + direction : "output"; + function : "!(A^B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0498661, 0.0982722, 0.129236, 0.180316, 0.265203, 0.407526, 0.643718", \ + "0.0683284, 0.117848, 0.14889, 0.199939, 0.285192, 0.427141, 0.663725", \ + "0.0807686, 0.131609, 0.162695, 0.21381, 0.299115, 0.441142, 0.677806", \ + "0.0953363, 0.148587, 0.17974, 0.230857, 0.316115, 0.458082, 0.694519", \ + "0.115769, 0.173322, 0.205089, 0.256598, 0.342007, 0.48398, 0.720644", \ + "0.141524, 0.208401, 0.241595, 0.294393, 0.381231, 0.524302, 0.761538", \ + "0.170023, 0.250255, 0.2878, 0.34347, 0.433843, 0.58092, 0.822507" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0147713, 0.0778359, 0.123293, 0.199265, 0.325915, 0.537509, 0.890261", \ + "0.0168771, 0.0784329, 0.123675, 0.199266, 0.326094, 0.53751, 0.890262", \ + "0.0189901, 0.0792067, 0.124127, 0.199509, 0.326166, 0.537682, 0.891709", \ + "0.0227063, 0.0813534, 0.125141, 0.199926, 0.326624, 0.53788, 0.89171", \ + "0.0288904, 0.0855222, 0.128334, 0.202114, 0.327761, 0.53874, 0.891711", \ + "0.0386911, 0.095234, 0.136384, 0.208434, 0.333181, 0.542457, 0.893203", \ + "0.053675, 0.114585, 0.153695, 0.223506, 0.347127, 0.5562, 0.904331" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.050271, 0.115841, 0.159148, 0.230401, 0.349424, 0.547779, 0.878129", \ + "0.0756126, 0.141385, 0.18475, 0.256024, 0.375156, 0.574416, 0.90394", \ + "0.0915561, 0.157789, 0.201144, 0.272526, 0.391717, 0.590144, 0.920505", \ + "0.11403, 0.181189, 0.224315, 0.295557, 0.414722, 0.613031, 0.943484", \ + "0.145585, 0.215805, 0.259107, 0.329974, 0.448804, 0.647031, 0.977473", \ + "0.179646, 0.255133, 0.298361, 0.369761, 0.488662, 0.687329, 1.01748", \ + "0.234105, 0.319204, 0.364019, 0.435934, 0.556473, 0.756203, 1.08745" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0163008, 0.0981945, 0.156354, 0.252453, 0.413727, 0.682777, 1.13011", \ + "0.0185435, 0.0985626, 0.156524, 0.252677, 0.413965, 0.683446, 1.13014", \ + "0.0207069, 0.098977, 0.156783, 0.25278, 0.413966, 0.683447, 1.13015", \ + "0.0246054, 0.100113, 0.157287, 0.253081, 0.413967, 0.683448, 1.13015", \ + "0.0306281, 0.102815, 0.158957, 0.254056, 0.41484, 0.683449, 1.13016", \ + "0.038758, 0.108987, 0.163362, 0.257473, 0.417189, 0.684149, 1.13077", \ + "0.0532, 0.122063, 0.173414, 0.265349, 0.424201, 0.690785, 1.13494" \ + ); + } + } + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0382917, 0.129905, 0.19233, 0.295376, 0.467826, 0.755048, 1.23326", \ + "0.0542589, 0.153112, 0.215857, 0.319017, 0.491473, 0.7788, 1.25694", \ + "0.0641702, 0.173246, 0.238134, 0.341994, 0.514422, 0.801704, 1.27965", \ + "0.0782497, 0.205546, 0.276322, 0.385395, 0.560088, 0.847305, 1.32625", \ + "0.100096, 0.255457, 0.33671, 0.458338, 0.644721, 0.938927, 1.41816", \ + "0.123837, 0.320192, 0.420711, 0.565891, 0.776179, 1.09741, 1.5953", \ + "0.161498, 0.414764, 0.542979, 0.72296, 0.979376, 1.35096, 1.90071" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.029653, 0.158846, 0.248885, 0.397549, 0.646719, 1.06179, 1.75391", \ + "0.0392854, 0.161847, 0.24991, 0.397878, 0.64672, 1.0618, 1.75392", \ + "0.0491722, 0.171168, 0.256221, 0.400723, 0.649815, 1.06181, 1.75393", \ + "0.06826, 0.193167, 0.276652, 0.415866, 0.655101, 1.06374, 1.75443", \ + "0.0980444, 0.235549, 0.320592, 0.458385, 0.690368, 1.08452, 1.76145", \ + "0.138051, 0.311662, 0.406231, 0.549901, 0.780543, 1.16301, 1.81387", \ + "0.209868, 0.430235, 0.54495, 0.709606, 0.956111, 1.34582, 1.98169" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.033075, 0.0978063, 0.141444, 0.213385, 0.33377, 0.534282, 0.868192", \ + "0.0487442, 0.123919, 0.168991, 0.241571, 0.362126, 0.56267, 0.89695", \ + "0.0587061, 0.145934, 0.194418, 0.269636, 0.391433, 0.592251, 0.926607", \ + "0.0687761, 0.176909, 0.232363, 0.315033, 0.442965, 0.647174, 0.982048", \ + "0.0826137, 0.220489, 0.289143, 0.385531, 0.529399, 0.747701, 1.09135", \ + "0.101325, 0.278894, 0.366618, 0.488701, 0.660855, 0.910821, 1.28571", \ + "0.122674, 0.358642, 0.469622, 0.625764, 0.846822, 1.15387, 1.58967" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0198997, 0.104724, 0.163834, 0.261234, 0.424513, 0.696528, 1.14986", \ + "0.0283533, 0.110589, 0.167321, 0.262799, 0.424817, 0.696529, 1.14987", \ + "0.0376026, 0.121739, 0.176918, 0.26962, 0.428616, 0.700559, 1.15002", \ + "0.0557075, 0.145319, 0.200645, 0.291029, 0.444537, 0.70615, 1.15321", \ + "0.0873988, 0.18834, 0.24768, 0.339634, 0.490157, 0.743723, 1.17562", \ + "0.137937, 0.263627, 0.330504, 0.430117, 0.587792, 0.838503, 1.25924", \ + "0.221263, 0.388316, 0.470285, 0.588941, 0.765136, 1.03062, 1.45215" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0466437, 0.0944701, 0.125111, 0.175904, 0.261115, 0.402804, 0.639245", \ + "0.0678753, 0.116756, 0.147668, 0.198524, 0.283616, 0.425543, 0.662009", \ + "0.08214, 0.132124, 0.163046, 0.214023, 0.299141, 0.441071, 0.677786", \ + "0.0994224, 0.151545, 0.182602, 0.233442, 0.318399, 0.460241, 0.696827", \ + "0.123631, 0.18107, 0.211451, 0.262296, 0.347614, 0.488141, 0.724246", \ + "0.15627, 0.222177, 0.254701, 0.306307, 0.392431, 0.53331, 0.7697", \ + "0.199635, 0.279422, 0.314914, 0.369499, 0.458773, 0.605343, 0.844814" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.014898, 0.0781272, 0.12341, 0.199107, 0.326206, 0.537521, 0.890029", \ + "0.0178005, 0.0785587, 0.123776, 0.199301, 0.326207, 0.537537, 0.890119", \ + "0.0203841, 0.0792403, 0.124114, 0.199538, 0.326245, 0.537718, 0.892404", \ + "0.0244775, 0.0810142, 0.125063, 0.199939, 0.326591, 0.53792, 0.892405", \ + "0.0312708, 0.0854792, 0.128158, 0.201798, 0.327642, 0.538662, 0.892406", \ + "0.042361, 0.095637, 0.135807, 0.207836, 0.332565, 0.542334, 0.892672", \ + "0.059686, 0.116908, 0.154656, 0.222591, 0.345604, 0.554634, 0.903395" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0502052, 0.112083, 0.155032, 0.22638, 0.346501, 0.546499, 0.880329", \ + "0.0721554, 0.135919, 0.178817, 0.250344, 0.370268, 0.570285, 0.904345", \ + "0.0860098, 0.150773, 0.193637, 0.264695, 0.384366, 0.58427, 0.918039", \ + "0.10508, 0.171924, 0.214581, 0.285762, 0.40491, 0.604426, 0.938203", \ + "0.130709, 0.201745, 0.244595, 0.315604, 0.43526, 0.634325, 0.967358", \ + "0.155459, 0.232688, 0.276489, 0.348514, 0.468265, 0.667841, 1.00078", \ + "0.192731, 0.281437, 0.327391, 0.400408, 0.523306, 0.725648, 1.05949" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0210325, 0.10484, 0.163754, 0.261412, 0.424543, 0.696536, 1.14986", \ + "0.0215665, 0.104841, 0.163755, 0.261413, 0.426108, 0.696537, 1.15003", \ + "0.022653, 0.104842, 0.163756, 0.261414, 0.426109, 0.697149, 1.15004", \ + "0.0258607, 0.104843, 0.163757, 0.261415, 0.42611, 0.69715, 1.15005", \ + "0.0316169, 0.106568, 0.163758, 0.261416, 0.426111, 0.697151, 1.15006", \ + "0.040201, 0.113459, 0.1686, 0.263501, 0.426112, 0.697152, 1.15007", \ + "0.05588, 0.129105, 0.181295, 0.273817, 0.434211, 0.703699, 1.15255" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0340111, 0.126418, 0.188973, 0.291976, 0.46428, 0.751391, 1.22997", \ + "0.0549195, 0.1579, 0.220547, 0.323602, 0.496172, 0.783103, 1.26145", \ + "0.0682939, 0.186286, 0.252146, 0.355938, 0.528049, 0.814806, 1.29306", \ + "0.0878823, 0.230936, 0.305955, 0.417754, 0.592883, 0.879407, 1.35765", \ + "0.117761, 0.294839, 0.386864, 0.51766, 0.711384, 1.00843, 1.48614", \ + "0.153312, 0.381174, 0.497076, 0.659286, 0.893908, 1.23272, 1.74004", \ + "0.215937, 0.507348, 0.655418, 0.862771, 1.1554, 1.56816, 2.16032" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0298922, 0.158789, 0.248793, 0.397566, 0.646517, 1.0617, 1.75393", \ + "0.0441201, 0.163876, 0.250536, 0.397607, 0.646567, 1.06174, 1.75394", \ + "0.0551792, 0.178688, 0.260898, 0.402501, 0.647328, 1.06185, 1.75395", \ + "0.0742273, 0.210571, 0.292386, 0.42657, 0.66001, 1.06776, 1.75468", \ + "0.104088, 0.266066, 0.354814, 0.49214, 0.715454, 1.0984, 1.76405", \ + "0.144738, 0.356957, 0.461261, 0.614693, 0.846673, 1.21979, 1.84948", \ + "0.220312, 0.495023, 0.627859, 0.810357, 1.07725, 1.47708, 2.10372" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0277423, 0.0928976, 0.136049, 0.20723, 0.326224, 0.524538, 0.855021", \ + "0.0405053, 0.11852, 0.163496, 0.235518, 0.354863, 0.553203, 0.883954", \ + "0.0480144, 0.139749, 0.188517, 0.263416, 0.384256, 0.583003, 0.916406", \ + "0.053395, 0.169344, 0.225618, 0.308422, 0.435852, 0.63829, 0.969798", \ + "0.0587937, 0.209569, 0.280323, 0.378089, 0.522107, 0.739105, 1.0798", \ + "0.0614341, 0.261041, 0.352455, 0.477822, 0.651444, 0.901749, 1.27432", \ + "0.0614351, 0.322527, 0.442246, 0.604756, 0.830308, 1.14061, 1.57757" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0145881, 0.098285, 0.156312, 0.252609, 0.413699, 0.682411, 1.13009", \ + "0.0232961, 0.104367, 0.160109, 0.254205, 0.414566, 0.682412, 1.13011", \ + "0.0326796, 0.115756, 0.170093, 0.261287, 0.417793, 0.685781, 1.13364", \ + "0.0511619, 0.139449, 0.194159, 0.283217, 0.434271, 0.6929, 1.13365", \ + "0.0829139, 0.18318, 0.241444, 0.332039, 0.480541, 0.730585, 1.15675", \ + "0.132523, 0.259296, 0.327466, 0.425719, 0.579633, 0.827204, 1.24259", \ + "0.214884, 0.386844, 0.467804, 0.588226, 0.757971, 1.02129, 1.43801" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0123268, 0.0128389, 0.0127711, 0.0126846, 0.0123802, 0.0120974, 0.0114441", \ + "0.0122934, 0.012661, 0.0127757, 0.0126108, 0.0124698, 0.0120352, 0.0114908", \ + "0.0128084, 0.0131796, 0.0131417, 0.013131, 0.0129945, 0.0127009, 0.0122743", \ + "0.0145435, 0.0146489, 0.0146872, 0.0147206, 0.0145237, 0.0147094, 0.0143098", \ + "0.0189696, 0.0184936, 0.0185224, 0.018538, 0.0185061, 0.0179595, 0.0183533", \ + "0.0288584, 0.0274878, 0.0273962, 0.0272373, 0.0270977, 0.0271495, 0.0269728", \ + "0.0490429, 0.0464492, 0.0460697, 0.0457348, 0.0456251, 0.0453359, 0.0452084" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107628, 0.0114083, 0.0114367, 0.0113818, 0.0112553, 0.0110006, 0.0104373", \ + "0.0110741, 0.0114836, 0.0116477, 0.0115717, 0.0114458, 0.0113542, 0.010709", \ + "0.0119552, 0.0122169, 0.0122963, 0.0125193, 0.0121816, 0.0121161, 0.0115163", \ + "0.014168, 0.0141233, 0.014204, 0.0140958, 0.0142935, 0.0138433, 0.0134147", \ + "0.0190858, 0.0185619, 0.0186298, 0.0186186, 0.0183829, 0.0181971, 0.0180075", \ + "0.0289223, 0.0277341, 0.0276635, 0.0275882, 0.0275587, 0.0275757, 0.0265878", \ + "0.0497472, 0.0469813, 0.0465966, 0.0463369, 0.0460494, 0.0460689, 0.0460577" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0122176, 0.0123144, 0.0120582, 0.0118228, 0.0115648, 0.0110859, 0.0105406", \ + "0.0123969, 0.0124572, 0.0124292, 0.0121738, 0.0121777, 0.0114378, 0.0109058", \ + "0.0132103, 0.0132802, 0.0131467, 0.0130542, 0.0128365, 0.0124554, 0.0121872", \ + "0.0153811, 0.0151369, 0.015179, 0.0151211, 0.014785, 0.0150381, 0.0144921", \ + "0.0200532, 0.0193639, 0.0192938, 0.0191815, 0.0189909, 0.0181294, 0.0183513", \ + "0.0304438, 0.0286803, 0.0284306, 0.028141, 0.027994, 0.0275816, 0.0271304", \ + "0.051253, 0.0482591, 0.0480031, 0.047399, 0.0469908, 0.0466477, 0.046067" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115893, 0.0104152, 0.0100821, 0.00976812, 0.00945647, 0.00896699, 0.00845386", \ + "0.0111872, 0.0107618, 0.0105097, 0.0102058, 0.0100563, 0.00933352, 0.00881781", \ + "0.0118958, 0.0115542, 0.0113089, 0.0112896, 0.0106009, 0.010179, 0.00949729", \ + "0.0138144, 0.0136644, 0.0134585, 0.0131066, 0.0128251, 0.0120876, 0.0114858", \ + "0.0184684, 0.0180375, 0.0180076, 0.0177864, 0.0173435, 0.016659, 0.016308", \ + "0.0284541, 0.027279, 0.0271448, 0.0269218, 0.0267332, 0.0263851, 0.0251905", \ + "0.0491971, 0.0467723, 0.0464386, 0.046086, 0.0457171, 0.0454869, 0.0450536" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00594392; + rise_capacitance : 0.0060184; + rise_capacitance_range (0.0060184, 0.0060184); + fall_capacitance : 0.00586944; + fall_capacitance_range (0.00586944, 0.00586944); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00522465; + rise_capacitance : 0.00518325; + rise_capacitance_range (0.00518325, 0.00518325); + fall_capacitance : 0.00526605; + fall_capacitance_range (0.00526605, 0.00526605); + } + } + cell (sg13g2_xor2_1) { + area : 14.5152; + cell_footprint : "xor2_1"; + cell_leakage_power : 522.926; + leakage_power () { + value : 513.941; + when : "!A&!B"; + } + leakage_power () { + value : 652.794; + when : "A&B"; + } + leakage_power () { + value : 497.321; + when : "A&!B"; + } + leakage_power () { + value : 427.647; + when : "!A&B"; + } + pin (X) { + direction : "output"; + function : "(A^B)"; + min_capacitance : 0.001; + max_capacitance : 0.3; + timing () { + related_pin : "A"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0512966, 0.144277, 0.206905, 0.310301, 0.483151, 0.771394, 1.25076", \ + "0.0756232, 0.167443, 0.23036, 0.333927, 0.509282, 0.795078, 1.2746", \ + "0.0920146, 0.18346, 0.246185, 0.349637, 0.522625, 0.811077, 1.29028", \ + "0.111804, 0.203549, 0.265898, 0.369243, 0.542001, 0.829937, 1.30972", \ + "0.139334, 0.232139, 0.293624, 0.396111, 0.569019, 0.856615, 1.33596", \ + "0.177875, 0.27361, 0.33502, 0.435938, 0.607643, 0.894489, 1.37383", \ + "0.228566, 0.33431, 0.394404, 0.495649, 0.666504, 0.953615, 1.43213" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0222014, 0.151254, 0.241265, 0.390297, 0.639785, 1.05605, 1.74937", \ + "0.0239695, 0.151255, 0.241266, 0.391183, 0.642168, 1.0561, 1.74938", \ + "0.0262031, 0.151256, 0.241267, 0.391184, 0.642169, 1.0562, 1.74939", \ + "0.0297615, 0.151535, 0.241308, 0.391185, 0.64217, 1.05621, 1.7494", \ + "0.0346061, 0.152495, 0.241976, 0.391186, 0.642171, 1.05622, 1.74941", \ + "0.042548, 0.154952, 0.242841, 0.391395, 0.642172, 1.05623, 1.74942", \ + "0.056962, 0.164443, 0.247151, 0.392587, 0.642173, 1.05728, 1.75015" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0595629, 0.104245, 0.12995, 0.171525, 0.240681, 0.355927, 0.547943", \ + "0.0773296, 0.123422, 0.149304, 0.190912, 0.260124, 0.375344, 0.568401", \ + "0.0887514, 0.136334, 0.162362, 0.204278, 0.273606, 0.388957, 0.580873", \ + "0.10503, 0.155126, 0.1817, 0.223606, 0.293245, 0.408515, 0.600413", \ + "0.128923, 0.18451, 0.21241, 0.254898, 0.324539, 0.439687, 0.631517", \ + "0.151889, 0.215165, 0.245195, 0.290588, 0.362144, 0.479141, 0.671608", \ + "0.185156, 0.260247, 0.294534, 0.343988, 0.420268, 0.543155, 0.741971" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153261, 0.0617506, 0.0943834, 0.149376, 0.242713, 0.398905, 0.659313", \ + "0.0170913, 0.0627808, 0.095063, 0.149745, 0.242849, 0.400307, 0.660597", \ + "0.0189995, 0.0641137, 0.0960102, 0.150464, 0.243278, 0.400308, 0.660598", \ + "0.0228483, 0.0667537, 0.0979691, 0.151693, 0.244027, 0.400309, 0.660599", \ + "0.0292381, 0.0728781, 0.103041, 0.155035, 0.24591, 0.400513, 0.6606", \ + "0.037963, 0.083272, 0.113077, 0.164933, 0.25392, 0.405955, 0.663179", \ + "0.052671, 0.101949, 0.131244, 0.182392, 0.272215, 0.425527, 0.680324" \ + ); + } + } + timing () { + related_pin : "A"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0419308, 0.133688, 0.19649, 0.300208, 0.473822, 0.762847, 1.2446", \ + "0.0587245, 0.15755, 0.220676, 0.324508, 0.498008, 0.787101, 1.26881", \ + "0.0677494, 0.17737, 0.242614, 0.347208, 0.520943, 0.809963, 1.29177", \ + "0.0791767, 0.20877, 0.280108, 0.389906, 0.565966, 0.85539, 1.33761", \ + "0.0977413, 0.256671, 0.339226, 0.461804, 0.649498, 0.945884, 1.42859", \ + "0.120306, 0.319576, 0.422039, 0.566432, 0.780232, 1.1032, 1.60457", \ + "0.156442, 0.413803, 0.542595, 0.723921, 0.983883, 1.35367, 1.90907" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0277208, 0.158236, 0.248814, 0.398638, 0.649476, 1.06733, 1.76394", \ + "0.035783, 0.16086, 0.249775, 0.398639, 0.649544, 1.06734, 1.76395", \ + "0.0447737, 0.169672, 0.255623, 0.40152, 0.65012, 1.06735, 1.76396", \ + "0.0643807, 0.191012, 0.275578, 0.416013, 0.65735, 1.06975, 1.76399", \ + "0.0971099, 0.233531, 0.319442, 0.458437, 0.692045, 1.08973, 1.77089", \ + "0.138154, 0.311076, 0.406264, 0.548187, 0.782028, 1.16673, 1.82307", \ + "0.212859, 0.430049, 0.5433, 0.710923, 0.959288, 1.3478, 1.98998" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0311129, 0.0952196, 0.138304, 0.209304, 0.327882, 0.525661, 0.854698", \ + "0.0476308, 0.122128, 0.166577, 0.238075, 0.35686, 0.554365, 0.883453", \ + "0.0583445, 0.14453, 0.192391, 0.26658, 0.386556, 0.584355, 0.913551", \ + "0.069736, 0.176044, 0.230861, 0.312506, 0.438844, 0.639973, 0.96992", \ + "0.0852908, 0.220555, 0.288205, 0.383964, 0.526147, 0.741956, 1.0804", \ + "0.105801, 0.280214, 0.366563, 0.488041, 0.658647, 0.906144, 1.27585", \ + "0.12884, 0.360723, 0.470521, 0.625858, 0.844808, 1.15026, 1.58066" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0205302, 0.103232, 0.161403, 0.257437, 0.417916, 0.685666, 1.13172", \ + "0.0303908, 0.109748, 0.165211, 0.258903, 0.418072, 0.685667, 1.13173", \ + "0.0402093, 0.121413, 0.175289, 0.266257, 0.422021, 0.688604, 1.13174", \ + "0.0584023, 0.14556, 0.199494, 0.288341, 0.438819, 0.696304, 1.13491", \ + "0.0894139, 0.189048, 0.246942, 0.337024, 0.485408, 0.734727, 1.15866", \ + "0.138152, 0.26396, 0.330748, 0.428853, 0.584291, 0.830845, 1.24427", \ + "0.217815, 0.388019, 0.469848, 0.587771, 0.76247, 1.02427, 1.44062" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0530465, 0.142472, 0.204366, 0.307031, 0.479759, 0.768514, 1.24973", \ + "0.0727012, 0.162741, 0.224918, 0.328013, 0.500993, 0.790005, 1.27044", \ + "0.0861704, 0.176786, 0.238899, 0.34151, 0.514493, 0.802737, 1.28424", \ + "0.101857, 0.192898, 0.255179, 0.357878, 0.530313, 0.818276, 1.29955", \ + "0.12236, 0.215384, 0.277813, 0.380168, 0.552302, 0.839911, 1.32015", \ + "0.146485, 0.245269, 0.306522, 0.408884, 0.581738, 0.869797, 1.34998", \ + "0.171902, 0.282149, 0.343413, 0.44586, 0.617585, 0.906053, 1.38596" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.027742, 0.158248, 0.248875, 0.398661, 0.64942, 1.06745, 1.76436", \ + "0.027743, 0.158249, 0.248876, 0.399719, 0.649422, 1.06787, 1.76437", \ + "0.0279072, 0.15825, 0.248877, 0.39972, 0.649423, 1.06808, 1.76438", \ + "0.0307872, 0.158251, 0.248878, 0.399721, 0.649424, 1.06809, 1.76595", \ + "0.0357302, 0.158252, 0.248879, 0.399722, 0.649425, 1.0681, 1.76596", \ + "0.044254, 0.158253, 0.24888, 0.399723, 0.649426, 1.06811, 1.76597", \ + "0.059492, 0.169976, 0.252302, 0.399724, 0.649427, 1.06812, 1.76598" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0550454, 0.0992585, 0.124663, 0.166074, 0.235141, 0.350258, 0.542106", \ + "0.078354, 0.123908, 0.149747, 0.191236, 0.260345, 0.375456, 0.567098", \ + "0.0935983, 0.140553, 0.166455, 0.208304, 0.277473, 0.39271, 0.584612", \ + "0.115302, 0.164368, 0.190063, 0.231776, 0.300858, 0.416439, 0.60818", \ + "0.147448, 0.201164, 0.227659, 0.269669, 0.33855, 0.453145, 0.644271", \ + "0.182102, 0.243661, 0.272426, 0.31539, 0.386211, 0.502449, 0.69491", \ + "0.240762, 0.314243, 0.347142, 0.394358, 0.469014, 0.589335, 0.784344" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0153434, 0.0619568, 0.094375, 0.149473, 0.242782, 0.399093, 0.659243", \ + "0.0179716, 0.0632986, 0.0954747, 0.149988, 0.242964, 0.400323, 0.659339", \ + "0.0203976, 0.0645307, 0.0964537, 0.150937, 0.243578, 0.400324, 0.659977", \ + "0.0247485, 0.0667227, 0.0980882, 0.152132, 0.24473, 0.400325, 0.659978", \ + "0.0320655, 0.0721911, 0.101915, 0.154352, 0.245838, 0.401021, 0.661164", \ + "0.042231, 0.083514, 0.111709, 0.16281, 0.252344, 0.405565, 0.66328", \ + "0.059171, 0.103744, 0.13051, 0.179465, 0.268552, 0.421637, 0.677681" \ + ); + } + } + timing () { + related_pin : "B"; + timing_sense : negative_unate; + timing_type : combinational; + cell_rise (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0354303, 0.128204, 0.190827, 0.294219, 0.466879, 0.754765, 1.23437", \ + "0.0497485, 0.151071, 0.214334, 0.317972, 0.49113, 0.779131, 1.25886", \ + "0.056884, 0.170144, 0.235779, 0.340359, 0.513688, 0.801932, 1.28209", \ + "0.0642589, 0.200418, 0.272467, 0.382682, 0.558581, 0.847122, 1.32688", \ + "0.0754642, 0.246665, 0.330067, 0.453488, 0.641652, 0.937572, 1.41876", \ + "0.0872649, 0.303131, 0.408586, 0.55567, 0.770625, 1.09401, 1.59476", \ + "0.101032, 0.381697, 0.518265, 0.704356, 0.968152, 1.34098, 1.89784" \ + ); + } + rise_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0217125, 0.151205, 0.241244, 0.390351, 0.639694, 1.05588, 1.74939", \ + "0.0302639, 0.153988, 0.242342, 0.390582, 0.639888, 1.05593, 1.7494", \ + "0.0394366, 0.163103, 0.248449, 0.393202, 0.640602, 1.05594, 1.74941", \ + "0.059704, 0.18501, 0.268777, 0.40836, 0.648148, 1.05887, 1.75441", \ + "0.0946884, 0.228335, 0.313063, 0.451255, 0.683329, 1.07842, 1.75732", \ + "0.139008, 0.307052, 0.4014, 0.542026, 0.773976, 1.15701, 1.80951", \ + "0.219265, 0.433496, 0.542341, 0.705963, 0.952472, 1.34117, 1.97815" \ + ); + } + cell_fall (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0278901, 0.0923751, 0.13545, 0.20647, 0.325057, 0.522628, 0.851573", \ + "0.0465792, 0.126612, 0.171559, 0.243154, 0.361781, 0.559247, 0.888503", \ + "0.0586377, 0.153986, 0.204145, 0.279642, 0.399909, 0.597477, 0.9265", \ + "0.0729243, 0.190919, 0.251182, 0.337479, 0.467462, 0.669647, 0.999069", \ + "0.0928516, 0.242387, 0.317607, 0.42341, 0.575783, 0.799551, 1.14211", \ + "0.120381, 0.311116, 0.407636, 0.542682, 0.73182, 1.00147, 1.39263", \ + "0.157198, 0.405234, 0.527085, 0.700431, 0.945064, 1.28211, 1.75932" \ + ); + } + fall_transition (TIMING_DELAY_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0211586, 0.10346, 0.161362, 0.257508, 0.417826, 0.685608, 1.13157", \ + "0.0352467, 0.114051, 0.167957, 0.260323, 0.418507, 0.685609, 1.13165", \ + "0.0465328, 0.131405, 0.183927, 0.271911, 0.424613, 0.687351, 1.13176", \ + "0.0651432, 0.16378, 0.218795, 0.305926, 0.45145, 0.702515, 1.13678", \ + "0.0964664, 0.216202, 0.28058, 0.373528, 0.521046, 0.76229, 1.17473", \ + "0.147244, 0.302179, 0.379304, 0.489595, 0.651418, 0.904129, 1.30509", \ + "0.235107, 0.442125, 0.539431, 0.675697, 0.869483, 1.156, 1.58203" \ + ); + } + } + internal_power () { + related_pin : "A"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0107685, 0.0113854, 0.0113926, 0.0113374, 0.0111696, 0.0108567, 0.0106504", \ + "0.0109132, 0.0113572, 0.0113169, 0.0113893, 0.0115004, 0.010927, 0.0106178", \ + "0.0116955, 0.0118123, 0.0120102, 0.0119251, 0.0117874, 0.0115627, 0.0112668", \ + "0.0137226, 0.0136523, 0.0136133, 0.0136658, 0.0134576, 0.0136538, 0.0130135", \ + "0.018181, 0.0177452, 0.0176876, 0.0176327, 0.0175202, 0.0179001, 0.0173737", \ + "0.0278558, 0.026769, 0.0265728, 0.02633, 0.026243, 0.0256748, 0.0259844", \ + "0.0480102, 0.0457863, 0.0453261, 0.0448987, 0.0445716, 0.0444653, 0.0441236" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0134297, 0.0137493, 0.0137084, 0.0136091, 0.0134407, 0.0131655, 0.0126046", \ + "0.0134004, 0.0136942, 0.0136953, 0.0138281, 0.0134178, 0.0134985, 0.0130413", \ + "0.0139803, 0.0142342, 0.014202, 0.0140734, 0.0141392, 0.0136928, 0.0132442", \ + "0.0157377, 0.0156547, 0.0156965, 0.0156847, 0.015353, 0.0153824, 0.0150906", \ + "0.0202836, 0.0196767, 0.0197929, 0.0197252, 0.0197624, 0.0193923, 0.0183915", \ + "0.029785, 0.028451, 0.0283889, 0.0284941, 0.0281877, 0.0283015, 0.0280356", \ + "0.0496224, 0.0472623, 0.0469031, 0.0465838, 0.0462221, 0.0461156, 0.0462059" \ + ); + } + } + internal_power () { + related_pin : "B"; + rise_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0115425, 0.0109458, 0.0106134, 0.0102451, 0.00985288, 0.00933087, 0.00905083", \ + "0.0108722, 0.0108763, 0.0105608, 0.0104023, 0.00991244, 0.00945841, 0.00909168", \ + "0.0114429, 0.0113202, 0.0112815, 0.0109826, 0.0106517, 0.0102338, 0.00976299", \ + "0.0132203, 0.0130655, 0.0129579, 0.0128532, 0.0124942, 0.0123884, 0.0118657", \ + "0.0174908, 0.0171245, 0.0170812, 0.0169264, 0.016507, 0.0162929, 0.0159507", \ + "0.0269874, 0.0259144, 0.0258112, 0.0255217, 0.0254941, 0.0249013, 0.0251193", \ + "0.0467082, 0.0445272, 0.0441456, 0.0438346, 0.0435148, 0.0433589, 0.0429794" \ + ); + } + fall_power (POWER_7x7ds1) { + index_1 ("0.0186, 0.0966, 0.174, 0.3294, 0.6408, 1.263, 2.5074"); + index_2 ("0.001, 0.0234, 0.039, 0.0648, 0.108, 0.18, 0.3"); + values ( \ + "0.0124139, 0.0121614, 0.0119181, 0.0116825, 0.011382, 0.0111115, 0.0105021", \ + "0.0127777, 0.0126432, 0.0124793, 0.0124031, 0.0119164, 0.0119167, 0.0109802", \ + "0.0137122, 0.0136005, 0.0134585, 0.0131896, 0.0132687, 0.0125865, 0.0119253", \ + "0.0158917, 0.0154726, 0.0153309, 0.0152108, 0.0146657, 0.0144779, 0.0139016", \ + "0.0206592, 0.0196219, 0.0197245, 0.0196253, 0.0193641, 0.0186657, 0.0173545", \ + "0.030413, 0.0285284, 0.0283201, 0.0281937, 0.0279183, 0.0277955, 0.0275693", \ + "0.0503128, 0.0472944, 0.0468716, 0.0464382, 0.0460774, 0.0456798, 0.0455589" \ + ); + } + } + } + pin (A) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00616885; + rise_capacitance : 0.00620549; + rise_capacitance_range (0.00620549, 0.00620549); + fall_capacitance : 0.00613221; + fall_capacitance_range (0.00613221, 0.00613221); + } + pin (B) { + direction : "input"; + max_transition : 2.5074; + capacitance : 0.00538769; + rise_capacitance : 0.00546229; + rise_capacitance_range (0.00546229, 0.00546229); + fall_capacitance : 0.00531309; + fall_capacitance_range (0.00531309, 0.00531309); + } + } +} diff --git a/flow/platforms/ihp-sg13g2/sg13g2.lyt b/flow/platforms/ihp-sg13g2/sg13g2.lyt index dccddc6766..1ffafb9380 100644 --- a/flow/platforms/ihp-sg13g2/sg13g2.lyt +++ b/flow/platforms/ihp-sg13g2/sg13g2.lyt @@ -82,8 +82,8 @@ true default false - - merged.lef + sg13g2.map + false diff --git a/flow/platforms/ihp-sg13g2/sg13g2_update.py b/flow/platforms/ihp-sg13g2/sg13g2_update.py new file mode 100644 index 0000000000..0047d70c11 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/sg13g2_update.py @@ -0,0 +1,119 @@ +"""Module to download latest files from IHP"s Open PDK.""" + +# pylint: disable=line-too-long +import os +import requests + +REPO_OWNER = "IHP-GmbH" +REPO_NAME = "IHP-Open-PDK" +REPO_BRANCH = "main" + + +def download_github_file( + file_path, + local_dir=".", + repo_owner=REPO_OWNER, + repo_name=REPO_NAME, + branch=REPO_BRANCH, +): + """ + Download a file from a specific branch of a GitHub repository. + + Args: + repo_owner (str): Owner of the repository. + repo_name (str): Name of the repository. + branch (str): Branch name from which to download the file. + file_path (str): Path to the file in the repository. + local_dir (str): Local directory to save the file. + + Returns: + None + """ + # Construct the URL to access the file + base_url = f"https://raw.githubusercontent.com/{repo_owner}/{repo_name}/{branch}/{file_path}" + + # Send a GET request to download the file + response = requests.get(base_url) + response.raise_for_status() # Raise an exception for HTTP errors + + # Ensure the local directory exists + if not os.path.exists(local_dir): + os.makedirs(local_dir) + + # Define the local path to save the file + local_file_path = os.path.join(local_dir, os.path.basename(file_path)) + + # Write the content to the file + with open(local_file_path, "wb") as file: + file.write(response.content) + + print(f"File saved to {local_file_path}") + + +sram_files = [ + "RM_IHPSG13_1P_1024x64_c2_bm_bist", + "RM_IHPSG13_1P_1024x64_c2_bm_bist", + "RM_IHPSG13_1P_2048x64_c2_bm_bist", + "RM_IHPSG13_1P_256x48_c2_bm_bist", + "RM_IHPSG13_1P_256x64_c2_bm_bist", + "RM_IHPSG13_1P_512x64_c2_bm_bist", + "RM_IHPSG13_1P_64x64_c2_bm_bist", +] +sram_lib_corners = [ + "slow_1p08V_125C", + "typ_1p20V_25C", + "fast_1p32V_m55C", +] + +# Klayout +download_github_file("ihp-sg13g2/libs.tech/klayout/tech/sg13g2.lyp") +download_github_file("ihp-sg13g2/libs.tech/klayout/tech/sg13g2.lyt") +download_github_file("ihp-sg13g2/libs.tech/klayout/tech/drc/sg13g2.lydrc", "drc") +# LIB +download_github_file( + "ihp-sg13g2/libs.ref/sg13g2_stdcell/lib/sg13g2_stdcell_slow_1p35V_125C.lib", "lib" +) +download_github_file( + "ihp-sg13g2/libs.ref/sg13g2_stdcell/lib/sg13g2_stdcell_slow_1p08V_125C.lib", "lib" +) +download_github_file( + "ihp-sg13g2/libs.ref/sg13g2_stdcell/lib/sg13g2_stdcell_fast_1p32V_m40C.lib", "lib" +) +download_github_file( + "ihp-sg13g2/libs.ref/sg13g2_stdcell/lib/sg13g2_stdcell_fast_1p65V_m40C.lib", "lib" +) +download_github_file( + "ihp-sg13g2/libs.ref/sg13g2_stdcell/lib/sg13g2_stdcell_typ_1p20V_25C.lib", "lib" +) +download_github_file( + "ihp-sg13g2/libs.ref/sg13g2_stdcell/lib/sg13g2_stdcell_typ_1p50V_25C.lib", "lib" +) +download_github_file("ihp-sg13g2/libs.ref/sg13g2_io/liberty/sg13g2_io_dummy.lib", "lib") +for sram in sram_files: + for corner in sram_lib_corners: + download_github_file( + f"ihp-sg13g2/libs.ref/sg13g2_sram/lib/{sram}_{corner}.lib", "lib" + ) +# GDS +download_github_file("ihp-sg13g2/libs.ref/sg13g2_stdcell/gds/sg13g2_stdcell.gds", "gds") +download_github_file("ihp-sg13g2/libs.ref/sg13g2_io/gds/sg13g2_io.gds", "gds") +for sram in sram_files: + download_github_file(f"ihp-sg13g2/libs.ref/sg13g2_sram/gds/{sram}.gds", "gds") +# LEF +download_github_file("ihp-sg13g2/libs.ref/sg13g2_stdcell/lef/sg13g2_tech.lef", "lef") +download_github_file("ihp-sg13g2/libs.ref/sg13g2_stdcell/lef/sg13g2_stdcell.lef", "lef") +download_github_file("ihp-sg13g2/libs.ref/sg13g2_io/lef/sg13g2_io.lef", "lef") +for sram in sram_files: + download_github_file(f"ihp-sg13g2/libs.ref/sg13g2_sram/lef/{sram}.lef", "lef") +# Verilog +download_github_file( + "ihp-sg13g2/libs.ref/sg13g2_stdcell/verilog/sg13g2_stdcell.v", "verilog" +) +download_github_file("ihp-sg13g2/libs.ref/sg13g2_io/verilog/sg13g2_io.v", "verilog") +for sram in sram_files: + download_github_file(f"ihp-sg13g2/libs.ref/sg13g2_sram/verilog/{sram}.v", "verilog") +# CDL +download_github_file("ihp-sg13g2/libs.ref/sg13g2_stdcell/cdl/sg13g2_stdcell.cdl", "cdl") +download_github_file("ihp-sg13g2/libs.ref/sg13g2_io/cdl/sg13g2_iocell.cdl", "cdl") +for sram in sram_files: + download_github_file(f"ihp-sg13g2/libs.ref/sg13g2_sram/cdl/{sram}.cdl", "cdl") diff --git a/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_1024x64_c2_bm_bist.v b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_1024x64_c2_bm_bist.v new file mode 100644 index 0000000000..b7e23f92ea --- /dev/null +++ b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_1024x64_c2_bm_bist.v @@ -0,0 +1,174 @@ +//////////////////////////////////////////////////////////////////////// +// +// Copyright 2023 IHP PDK Authors +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// https://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +//////////////////////////////////////////////////////////////////////// + +`celldefine +module RM_IHPSG13_1P_1024x64_c2_bm_bist ( + A_CLK, + A_MEN, + A_WEN, + A_REN, + A_ADDR, + A_DIN, + A_DLY, + A_DOUT, + A_BM, + A_BIST_CLK, + A_BIST_EN, + A_BIST_MEN, + A_BIST_WEN, + A_BIST_REN, + A_BIST_ADDR, + A_BIST_DIN, + A_BIST_BM +); + + input A_CLK; + input A_MEN; + input A_WEN; + input A_REN; + input [9:0] A_ADDR; + input [63:0] A_DIN; + input A_DLY; + output [63:0] A_DOUT; + input [63:0] A_BM; + input A_BIST_CLK; + input A_BIST_EN; + input A_BIST_MEN; + input A_BIST_WEN; + input A_BIST_REN; + input [9:0] A_BIST_ADDR; + input [63:0] A_BIST_DIN; + input [63:0] A_BIST_BM; + + +`ifdef FUNCTIONAL // functional // + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(64), + .P_ADDR_WIDTH(10) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK), + .A_MEN(A_MEN), + .A_WEN(A_WEN), + .A_REN(A_REN), + .A_ADDR(A_ADDR), + .A_DLY(A_DLY), + .A_DIN(A_DIN), + .A_DOUT(A_DOUT), + .A_BM(A_BM), + .A_BIST_CLK(A_BIST_CLK), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN), + .A_BIST_WEN(A_BIST_WEN), + .A_BIST_REN(A_BIST_REN), + .A_BIST_ADDR(A_BIST_ADDR), + .A_BIST_DIN(A_BIST_DIN), + .A_BIST_BM(A_BIST_BM) + ); + +`else + + wire A_CLK_DELAY; + wire A_MEN_DELAY; + wire A_WEN_DELAY; + wire A_REN_DELAY; + wire [9:0] A_ADDR_DELAY; + wire [63:0] A_DIN_DELAY; + wire [63:0] A_BM_DELAY; + wire A_BIST_CLK_DELAY; + wire A_BIST_MEN_DELAY; + wire A_BIST_WEN_DELAY; + wire A_BIST_REN_DELAY; + wire [9:0] A_BIST_ADDR_DELAY; + wire [63:0] A_BIST_DIN_DELAY; + wire [63:0] A_BIST_BM_DELAY; + + reg notifier; + + wire A_RW_ACCESS = (A_WEN || A_REN) && A_MEN; + wire A_W_ACCESS = A_WEN && A_MEN; + wire A_BIST_RW_ACCESS = (A_BIST_WEN || A_BIST_REN) && A_BIST_MEN; + wire A_BIST_W_ACCESS = A_BIST_WEN && A_BIST_MEN; + + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(64), + .P_ADDR_WIDTH(10) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK_DELAY), + .A_MEN(A_MEN_DELAY), + .A_WEN(A_WEN_DELAY), + .A_REN(A_REN_DELAY), + .A_ADDR(A_ADDR_DELAY), + .A_DLY(A_DLY), + .A_DIN(A_DIN_DELAY), + .A_DOUT(A_DOUT), + .A_BM(A_BM_DELAY), + .A_BIST_CLK(A_BIST_CLK_DELAY), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN_DELAY), + .A_BIST_WEN(A_BIST_WEN_DELAY), + .A_BIST_REN(A_BIST_REN_DELAY), + .A_BIST_ADDR(A_BIST_ADDR_DELAY), + .A_BIST_DIN(A_BIST_DIN_DELAY), + .A_BIST_BM(A_BIST_BM_DELAY) + ); + + + specify + + (posedge A_CLK *> (A_DOUT : A_DIN)) = (1.0, 1.0); + $width(posedge A_CLK, 1.0,0,notifier); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, posedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, negedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + (posedge A_BIST_CLK *> (A_DOUT : A_BIST_DIN)) = (1.0, 1.0); + $width(posedge A_BIST_CLK, 1.0,0,notifier); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, posedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, negedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + + + endspecify + +`endif + +endmodule +`endcelldefine diff --git a/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_2048x64_c2_bm_bist.v b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_2048x64_c2_bm_bist.v new file mode 100644 index 0000000000..5f82fec4ee --- /dev/null +++ b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_2048x64_c2_bm_bist.v @@ -0,0 +1,174 @@ +//////////////////////////////////////////////////////////////////////// +// +// Copyright 2023 IHP PDK Authors +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// https://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +//////////////////////////////////////////////////////////////////////// + +`celldefine +module RM_IHPSG13_1P_2048x64_c2_bm_bist ( + A_CLK, + A_MEN, + A_WEN, + A_REN, + A_ADDR, + A_DIN, + A_DLY, + A_DOUT, + A_BM, + A_BIST_CLK, + A_BIST_EN, + A_BIST_MEN, + A_BIST_WEN, + A_BIST_REN, + A_BIST_ADDR, + A_BIST_DIN, + A_BIST_BM +); + + input A_CLK; + input A_MEN; + input A_WEN; + input A_REN; + input [10:0] A_ADDR; + input [63:0] A_DIN; + input A_DLY; + output [63:0] A_DOUT; + input [63:0] A_BM; + input A_BIST_CLK; + input A_BIST_EN; + input A_BIST_MEN; + input A_BIST_WEN; + input A_BIST_REN; + input [10:0] A_BIST_ADDR; + input [63:0] A_BIST_DIN; + input [63:0] A_BIST_BM; + + +`ifdef FUNCTIONAL // functional // + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(64), + .P_ADDR_WIDTH(11) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK), + .A_MEN(A_MEN), + .A_WEN(A_WEN), + .A_REN(A_REN), + .A_ADDR(A_ADDR), + .A_DLY(A_DLY), + .A_DIN(A_DIN), + .A_DOUT(A_DOUT), + .A_BM(A_BM), + .A_BIST_CLK(A_BIST_CLK), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN), + .A_BIST_WEN(A_BIST_WEN), + .A_BIST_REN(A_BIST_REN), + .A_BIST_ADDR(A_BIST_ADDR), + .A_BIST_DIN(A_BIST_DIN), + .A_BIST_BM(A_BIST_BM) + ); + +`else + + wire A_CLK_DELAY; + wire A_MEN_DELAY; + wire A_WEN_DELAY; + wire A_REN_DELAY; + wire [10:0] A_ADDR_DELAY; + wire [63:0] A_DIN_DELAY; + wire [63:0] A_BM_DELAY; + wire A_BIST_CLK_DELAY; + wire A_BIST_MEN_DELAY; + wire A_BIST_WEN_DELAY; + wire A_BIST_REN_DELAY; + wire [10:0] A_BIST_ADDR_DELAY; + wire [63:0] A_BIST_DIN_DELAY; + wire [63:0] A_BIST_BM_DELAY; + + reg notifier; + + wire A_RW_ACCESS = (A_WEN || A_REN) && A_MEN; + wire A_W_ACCESS = A_WEN && A_MEN; + wire A_BIST_RW_ACCESS = (A_BIST_WEN || A_BIST_REN) && A_BIST_MEN; + wire A_BIST_W_ACCESS = A_BIST_WEN && A_BIST_MEN; + + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(64), + .P_ADDR_WIDTH(11) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK_DELAY), + .A_MEN(A_MEN_DELAY), + .A_WEN(A_WEN_DELAY), + .A_REN(A_REN_DELAY), + .A_ADDR(A_ADDR_DELAY), + .A_DLY(A_DLY), + .A_DIN(A_DIN_DELAY), + .A_DOUT(A_DOUT), + .A_BM(A_BM_DELAY), + .A_BIST_CLK(A_BIST_CLK_DELAY), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN_DELAY), + .A_BIST_WEN(A_BIST_WEN_DELAY), + .A_BIST_REN(A_BIST_REN_DELAY), + .A_BIST_ADDR(A_BIST_ADDR_DELAY), + .A_BIST_DIN(A_BIST_DIN_DELAY), + .A_BIST_BM(A_BIST_BM_DELAY) + ); + + + specify + + (posedge A_CLK *> (A_DOUT : A_DIN)) = (1.0, 1.0); + $width(posedge A_CLK, 1.0,0,notifier); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, posedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, negedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + (posedge A_BIST_CLK *> (A_DOUT : A_BIST_DIN)) = (1.0, 1.0); + $width(posedge A_BIST_CLK, 1.0,0,notifier); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, posedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, negedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + + + endspecify + +`endif + +endmodule +`endcelldefine diff --git a/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_256x48_c2_bm_bist.v b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_256x48_c2_bm_bist.v new file mode 100644 index 0000000000..da2c067783 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_256x48_c2_bm_bist.v @@ -0,0 +1,174 @@ +//////////////////////////////////////////////////////////////////////// +// +// Copyright 2023 IHP PDK Authors +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// https://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +//////////////////////////////////////////////////////////////////////// + +`celldefine +module RM_IHPSG13_1P_256x48_c2_bm_bist ( + A_CLK, + A_MEN, + A_WEN, + A_REN, + A_ADDR, + A_DIN, + A_DLY, + A_DOUT, + A_BM, + A_BIST_CLK, + A_BIST_EN, + A_BIST_MEN, + A_BIST_WEN, + A_BIST_REN, + A_BIST_ADDR, + A_BIST_DIN, + A_BIST_BM +); + + input A_CLK; + input A_MEN; + input A_WEN; + input A_REN; + input [7:0] A_ADDR; + input [47:0] A_DIN; + input A_DLY; + output [47:0] A_DOUT; + input [47:0] A_BM; + input A_BIST_CLK; + input A_BIST_EN; + input A_BIST_MEN; + input A_BIST_WEN; + input A_BIST_REN; + input [7:0] A_BIST_ADDR; + input [47:0] A_BIST_DIN; + input [47:0] A_BIST_BM; + + +`ifdef FUNCTIONAL // functional // + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(48), + .P_ADDR_WIDTH(8) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK), + .A_MEN(A_MEN), + .A_WEN(A_WEN), + .A_REN(A_REN), + .A_ADDR(A_ADDR), + .A_DLY(A_DLY), + .A_DIN(A_DIN), + .A_DOUT(A_DOUT), + .A_BM(A_BM), + .A_BIST_CLK(A_BIST_CLK), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN), + .A_BIST_WEN(A_BIST_WEN), + .A_BIST_REN(A_BIST_REN), + .A_BIST_ADDR(A_BIST_ADDR), + .A_BIST_DIN(A_BIST_DIN), + .A_BIST_BM(A_BIST_BM) + ); + +`else + + wire A_CLK_DELAY; + wire A_MEN_DELAY; + wire A_WEN_DELAY; + wire A_REN_DELAY; + wire [7:0] A_ADDR_DELAY; + wire [47:0] A_DIN_DELAY; + wire [47:0] A_BM_DELAY; + wire A_BIST_CLK_DELAY; + wire A_BIST_MEN_DELAY; + wire A_BIST_WEN_DELAY; + wire A_BIST_REN_DELAY; + wire [7:0] A_BIST_ADDR_DELAY; + wire [47:0] A_BIST_DIN_DELAY; + wire [47:0] A_BIST_BM_DELAY; + + reg notifier; + + wire A_RW_ACCESS = (A_WEN || A_REN) && A_MEN; + wire A_W_ACCESS = A_WEN && A_MEN; + wire A_BIST_RW_ACCESS = (A_BIST_WEN || A_BIST_REN) && A_BIST_MEN; + wire A_BIST_W_ACCESS = A_BIST_WEN && A_BIST_MEN; + + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(48), + .P_ADDR_WIDTH(8) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK_DELAY), + .A_MEN(A_MEN_DELAY), + .A_WEN(A_WEN_DELAY), + .A_REN(A_REN_DELAY), + .A_ADDR(A_ADDR_DELAY), + .A_DLY(A_DLY), + .A_DIN(A_DIN_DELAY), + .A_DOUT(A_DOUT), + .A_BM(A_BM_DELAY), + .A_BIST_CLK(A_BIST_CLK_DELAY), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN_DELAY), + .A_BIST_WEN(A_BIST_WEN_DELAY), + .A_BIST_REN(A_BIST_REN_DELAY), + .A_BIST_ADDR(A_BIST_ADDR_DELAY), + .A_BIST_DIN(A_BIST_DIN_DELAY), + .A_BIST_BM(A_BIST_BM_DELAY) + ); + + + specify + + (posedge A_CLK *> (A_DOUT : A_DIN)) = (1.0, 1.0); + $width(posedge A_CLK, 1.0,0,notifier); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, posedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, negedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + (posedge A_BIST_CLK *> (A_DOUT : A_BIST_DIN)) = (1.0, 1.0); + $width(posedge A_BIST_CLK, 1.0,0,notifier); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, posedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, negedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + + + endspecify + +`endif + +endmodule +`endcelldefine diff --git a/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_256x64_c2_bm_bist.v b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_256x64_c2_bm_bist.v new file mode 100644 index 0000000000..c962b0cfa5 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_256x64_c2_bm_bist.v @@ -0,0 +1,174 @@ +//////////////////////////////////////////////////////////////////////// +// +// Copyright 2023 IHP PDK Authors +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// https://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +//////////////////////////////////////////////////////////////////////// + +`celldefine +module RM_IHPSG13_1P_256x64_c2_bm_bist ( + A_CLK, + A_MEN, + A_WEN, + A_REN, + A_ADDR, + A_DIN, + A_DLY, + A_DOUT, + A_BM, + A_BIST_CLK, + A_BIST_EN, + A_BIST_MEN, + A_BIST_WEN, + A_BIST_REN, + A_BIST_ADDR, + A_BIST_DIN, + A_BIST_BM +); + + input A_CLK; + input A_MEN; + input A_WEN; + input A_REN; + input [7:0] A_ADDR; + input [63:0] A_DIN; + input A_DLY; + output [63:0] A_DOUT; + input [63:0] A_BM; + input A_BIST_CLK; + input A_BIST_EN; + input A_BIST_MEN; + input A_BIST_WEN; + input A_BIST_REN; + input [7:0] A_BIST_ADDR; + input [63:0] A_BIST_DIN; + input [63:0] A_BIST_BM; + + +`ifdef FUNCTIONAL // functional // + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(64), + .P_ADDR_WIDTH(8) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK), + .A_MEN(A_MEN), + .A_WEN(A_WEN), + .A_REN(A_REN), + .A_ADDR(A_ADDR), + .A_DLY(A_DLY), + .A_DIN(A_DIN), + .A_DOUT(A_DOUT), + .A_BM(A_BM), + .A_BIST_CLK(A_BIST_CLK), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN), + .A_BIST_WEN(A_BIST_WEN), + .A_BIST_REN(A_BIST_REN), + .A_BIST_ADDR(A_BIST_ADDR), + .A_BIST_DIN(A_BIST_DIN), + .A_BIST_BM(A_BIST_BM) + ); + +`else + + wire A_CLK_DELAY; + wire A_MEN_DELAY; + wire A_WEN_DELAY; + wire A_REN_DELAY; + wire [7:0] A_ADDR_DELAY; + wire [63:0] A_DIN_DELAY; + wire [63:0] A_BM_DELAY; + wire A_BIST_CLK_DELAY; + wire A_BIST_MEN_DELAY; + wire A_BIST_WEN_DELAY; + wire A_BIST_REN_DELAY; + wire [7:0] A_BIST_ADDR_DELAY; + wire [63:0] A_BIST_DIN_DELAY; + wire [63:0] A_BIST_BM_DELAY; + + reg notifier; + + wire A_RW_ACCESS = (A_WEN || A_REN) && A_MEN; + wire A_W_ACCESS = A_WEN && A_MEN; + wire A_BIST_RW_ACCESS = (A_BIST_WEN || A_BIST_REN) && A_BIST_MEN; + wire A_BIST_W_ACCESS = A_BIST_WEN && A_BIST_MEN; + + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(64), + .P_ADDR_WIDTH(8) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK_DELAY), + .A_MEN(A_MEN_DELAY), + .A_WEN(A_WEN_DELAY), + .A_REN(A_REN_DELAY), + .A_ADDR(A_ADDR_DELAY), + .A_DLY(A_DLY), + .A_DIN(A_DIN_DELAY), + .A_DOUT(A_DOUT), + .A_BM(A_BM_DELAY), + .A_BIST_CLK(A_BIST_CLK_DELAY), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN_DELAY), + .A_BIST_WEN(A_BIST_WEN_DELAY), + .A_BIST_REN(A_BIST_REN_DELAY), + .A_BIST_ADDR(A_BIST_ADDR_DELAY), + .A_BIST_DIN(A_BIST_DIN_DELAY), + .A_BIST_BM(A_BIST_BM_DELAY) + ); + + + specify + + (posedge A_CLK *> (A_DOUT : A_DIN)) = (1.0, 1.0); + $width(posedge A_CLK, 1.0,0,notifier); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, posedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, negedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + (posedge A_BIST_CLK *> (A_DOUT : A_BIST_DIN)) = (1.0, 1.0); + $width(posedge A_BIST_CLK, 1.0,0,notifier); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, posedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, negedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + + + endspecify + +`endif + +endmodule +`endcelldefine diff --git a/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_512x64_c2_bm_bist.v b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_512x64_c2_bm_bist.v new file mode 100644 index 0000000000..a815d4fa49 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_512x64_c2_bm_bist.v @@ -0,0 +1,174 @@ +//////////////////////////////////////////////////////////////////////// +// +// Copyright 2023 IHP PDK Authors +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// https://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +//////////////////////////////////////////////////////////////////////// + +`celldefine +module RM_IHPSG13_1P_512x64_c2_bm_bist ( + A_CLK, + A_MEN, + A_WEN, + A_REN, + A_ADDR, + A_DIN, + A_DLY, + A_DOUT, + A_BM, + A_BIST_CLK, + A_BIST_EN, + A_BIST_MEN, + A_BIST_WEN, + A_BIST_REN, + A_BIST_ADDR, + A_BIST_DIN, + A_BIST_BM +); + + input A_CLK; + input A_MEN; + input A_WEN; + input A_REN; + input [8:0] A_ADDR; + input [63:0] A_DIN; + input A_DLY; + output [63:0] A_DOUT; + input [63:0] A_BM; + input A_BIST_CLK; + input A_BIST_EN; + input A_BIST_MEN; + input A_BIST_WEN; + input A_BIST_REN; + input [8:0] A_BIST_ADDR; + input [63:0] A_BIST_DIN; + input [63:0] A_BIST_BM; + + +`ifdef FUNCTIONAL // functional // + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(64), + .P_ADDR_WIDTH(9) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK), + .A_MEN(A_MEN), + .A_WEN(A_WEN), + .A_REN(A_REN), + .A_ADDR(A_ADDR), + .A_DLY(A_DLY), + .A_DIN(A_DIN), + .A_DOUT(A_DOUT), + .A_BM(A_BM), + .A_BIST_CLK(A_BIST_CLK), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN), + .A_BIST_WEN(A_BIST_WEN), + .A_BIST_REN(A_BIST_REN), + .A_BIST_ADDR(A_BIST_ADDR), + .A_BIST_DIN(A_BIST_DIN), + .A_BIST_BM(A_BIST_BM) + ); + +`else + + wire A_CLK_DELAY; + wire A_MEN_DELAY; + wire A_WEN_DELAY; + wire A_REN_DELAY; + wire [8:0] A_ADDR_DELAY; + wire [63:0] A_DIN_DELAY; + wire [63:0] A_BM_DELAY; + wire A_BIST_CLK_DELAY; + wire A_BIST_MEN_DELAY; + wire A_BIST_WEN_DELAY; + wire A_BIST_REN_DELAY; + wire [8:0] A_BIST_ADDR_DELAY; + wire [63:0] A_BIST_DIN_DELAY; + wire [63:0] A_BIST_BM_DELAY; + + reg notifier; + + wire A_RW_ACCESS = (A_WEN || A_REN) && A_MEN; + wire A_W_ACCESS = A_WEN && A_MEN; + wire A_BIST_RW_ACCESS = (A_BIST_WEN || A_BIST_REN) && A_BIST_MEN; + wire A_BIST_W_ACCESS = A_BIST_WEN && A_BIST_MEN; + + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(64), + .P_ADDR_WIDTH(9) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK_DELAY), + .A_MEN(A_MEN_DELAY), + .A_WEN(A_WEN_DELAY), + .A_REN(A_REN_DELAY), + .A_ADDR(A_ADDR_DELAY), + .A_DLY(A_DLY), + .A_DIN(A_DIN_DELAY), + .A_DOUT(A_DOUT), + .A_BM(A_BM_DELAY), + .A_BIST_CLK(A_BIST_CLK_DELAY), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN_DELAY), + .A_BIST_WEN(A_BIST_WEN_DELAY), + .A_BIST_REN(A_BIST_REN_DELAY), + .A_BIST_ADDR(A_BIST_ADDR_DELAY), + .A_BIST_DIN(A_BIST_DIN_DELAY), + .A_BIST_BM(A_BIST_BM_DELAY) + ); + + + specify + + (posedge A_CLK *> (A_DOUT : A_DIN)) = (1.0, 1.0); + $width(posedge A_CLK, 1.0,0,notifier); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, posedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, negedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + (posedge A_BIST_CLK *> (A_DOUT : A_BIST_DIN)) = (1.0, 1.0); + $width(posedge A_BIST_CLK, 1.0,0,notifier); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, posedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, negedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + + + endspecify + +`endif + +endmodule +`endcelldefine diff --git a/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_64x64_c2_bm_bist.v b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_64x64_c2_bm_bist.v new file mode 100644 index 0000000000..7d5e75e2d8 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/verilog/RM_IHPSG13_1P_64x64_c2_bm_bist.v @@ -0,0 +1,174 @@ +//////////////////////////////////////////////////////////////////////// +// +// Copyright 2023 IHP PDK Authors +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// https://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. +// +//////////////////////////////////////////////////////////////////////// + +`celldefine +module RM_IHPSG13_1P_64x64_c2_bm_bist ( + A_CLK, + A_MEN, + A_WEN, + A_REN, + A_ADDR, + A_DIN, + A_DLY, + A_DOUT, + A_BM, + A_BIST_CLK, + A_BIST_EN, + A_BIST_MEN, + A_BIST_WEN, + A_BIST_REN, + A_BIST_ADDR, + A_BIST_DIN, + A_BIST_BM +); + + input A_CLK; + input A_MEN; + input A_WEN; + input A_REN; + input [5:0] A_ADDR; + input [63:0] A_DIN; + input A_DLY; + output [63:0] A_DOUT; + input [63:0] A_BM; + input A_BIST_CLK; + input A_BIST_EN; + input A_BIST_MEN; + input A_BIST_WEN; + input A_BIST_REN; + input [5:0] A_BIST_ADDR; + input [63:0] A_BIST_DIN; + input [63:0] A_BIST_BM; + + +`ifdef FUNCTIONAL // functional // + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(64), + .P_ADDR_WIDTH(6) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK), + .A_MEN(A_MEN), + .A_WEN(A_WEN), + .A_REN(A_REN), + .A_ADDR(A_ADDR), + .A_DLY(A_DLY), + .A_DIN(A_DIN), + .A_DOUT(A_DOUT), + .A_BM(A_BM), + .A_BIST_CLK(A_BIST_CLK), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN), + .A_BIST_WEN(A_BIST_WEN), + .A_BIST_REN(A_BIST_REN), + .A_BIST_ADDR(A_BIST_ADDR), + .A_BIST_DIN(A_BIST_DIN), + .A_BIST_BM(A_BIST_BM) + ); + +`else + + wire A_CLK_DELAY; + wire A_MEN_DELAY; + wire A_WEN_DELAY; + wire A_REN_DELAY; + wire [5:0] A_ADDR_DELAY; + wire [63:0] A_DIN_DELAY; + wire [63:0] A_BM_DELAY; + wire A_BIST_CLK_DELAY; + wire A_BIST_MEN_DELAY; + wire A_BIST_WEN_DELAY; + wire A_BIST_REN_DELAY; + wire [5:0] A_BIST_ADDR_DELAY; + wire [63:0] A_BIST_DIN_DELAY; + wire [63:0] A_BIST_BM_DELAY; + + reg notifier; + + wire A_RW_ACCESS = (A_WEN || A_REN) && A_MEN; + wire A_W_ACCESS = A_WEN && A_MEN; + wire A_BIST_RW_ACCESS = (A_BIST_WEN || A_BIST_REN) && A_BIST_MEN; + wire A_BIST_W_ACCESS = A_BIST_WEN && A_BIST_MEN; + + + + SRAM_1P_behavioral_bm_bist #( + .P_DATA_WIDTH(64), + .P_ADDR_WIDTH(6) + ) i_SRAM_1P_behavioral_bm_bist ( + .A_CLK(A_CLK_DELAY), + .A_MEN(A_MEN_DELAY), + .A_WEN(A_WEN_DELAY), + .A_REN(A_REN_DELAY), + .A_ADDR(A_ADDR_DELAY), + .A_DLY(A_DLY), + .A_DIN(A_DIN_DELAY), + .A_DOUT(A_DOUT), + .A_BM(A_BM_DELAY), + .A_BIST_CLK(A_BIST_CLK_DELAY), + .A_BIST_EN(A_BIST_EN), + .A_BIST_MEN(A_BIST_MEN_DELAY), + .A_BIST_WEN(A_BIST_WEN_DELAY), + .A_BIST_REN(A_BIST_REN_DELAY), + .A_BIST_ADDR(A_BIST_ADDR_DELAY), + .A_BIST_DIN(A_BIST_DIN_DELAY), + .A_BIST_BM(A_BIST_BM_DELAY) + ); + + + specify + + (posedge A_CLK *> (A_DOUT : A_DIN)) = (1.0, 1.0); + $width(posedge A_CLK, 1.0,0,notifier); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, posedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_MEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_MEN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_REN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_REN_DELAY); + $setuphold(posedge A_CLK &&& A_MEN, negedge A_WEN, 1.0, 1.0,notifier,,,A_CLK_DELAY, A_WEN_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, posedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + $setuphold(posedge A_CLK &&& A_RW_ACCESS, negedge A_ADDR, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_ADDR_DELAY); + + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_DIN, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_DIN_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, posedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + $setuphold(posedge A_CLK &&& A_W_ACCESS, negedge A_BM, 1.0 ,1.0, notifier,,,A_CLK_DELAY, A_BM_DELAY); + (posedge A_BIST_CLK *> (A_DOUT : A_BIST_DIN)) = (1.0, 1.0); + $width(posedge A_BIST_CLK, 1.0,0,notifier); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, posedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_MEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_MEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_REN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_REN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_MEN, negedge A_BIST_WEN, 1.0, 1.0,notifier,,,A_BIST_CLK_DELAY, A_BIST_WEN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, posedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_RW_ACCESS, negedge A_BIST_ADDR, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_ADDR_DELAY); + + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_DIN, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_DIN_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, posedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + $setuphold(posedge A_BIST_CLK &&& A_BIST_W_ACCESS, negedge A_BIST_BM, 1.0 ,1.0, notifier,,,A_BIST_CLK_DELAY, A_BIST_BM_DELAY); + + + endspecify + +`endif + +endmodule +`endcelldefine diff --git a/flow/platforms/ihp-sg13g2/verilog/sg13g2_io.v b/flow/platforms/ihp-sg13g2/verilog/sg13g2_io.v new file mode 100644 index 0000000000..c26682d0f0 --- /dev/null +++ b/flow/platforms/ihp-sg13g2/verilog/sg13g2_io.v @@ -0,0 +1,293 @@ +// Copyright 2024 IHP PDK Authors +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// https://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. + +// type: Corner +`timescale 1ns/10ps +`celldefine +module sg13g2_Corner (); +endmodule +`endcelldefine + +// type: Filler200 +`timescale 1ns/10ps +`celldefine +module sg13g2_Filler200 (); +endmodule +`endcelldefine + +// type: Filler400 +`timescale 1ns/10ps +`celldefine +module sg13g2_Filler400 (); +endmodule +`endcelldefine + +// type: Filler1000 +`timescale 1ns/10ps +`celldefine +module sg13g2_Filler1000 (); +endmodule +`endcelldefine + +// type: Filler2000 +`timescale 1ns/10ps +`celldefine +module sg13g2_Filler2000 (); +endmodule +`endcelldefine + +// type: Filler4000 +`timescale 1ns/10ps +`celldefine +module sg13g2_Filler4000 (); +endmodule +`endcelldefine + +// type: Filler10000 +`timescale 1ns/10ps +`celldefine +module sg13g2_Filler10000 (); +endmodule +`endcelldefine +// type: Input +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadIn (pad, p2c); + inout pad; + output p2c; + + // Function + assign p2c = pad; + + // Timing + specify + (p2c => pad) = 0; + endspecify +endmodule +`endcelldefine + +// type: Output4mA +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadOut4mA (pad, c2p); + inout pad; + input c2p; + + // Function + assign pad = c2p; + + // Timing + specify + (pad => c2p) = 0; + endspecify +endmodule +`endcelldefine + +// type: Output16mA +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadOut16mA (pad, c2p); + inout pad; + input c2p; + + // Function + assign pad = c2p; + + // Timing + specify + (pad => c2p) = 0; + endspecify +endmodule +`endcelldefine + +// type: Output30mA +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadOut30mA (pad, c2p); + inout pad; + input c2p; + + // Function + assign pad = c2p; + + // Timing + specify + (pad => c2p) = 0; + endspecify +endmodule +`endcelldefine + +// type: TriStateOutput4mA +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadTriOut4mA (pad, c2p, c2p_en); + inout pad; + input c2p; + input c2p_en; + + // Function + assign pad = (c2p_en) ? c2p : 1'bz; + + // Timing + specify + (pad => c2p) = 0; + endspecify +endmodule +`endcelldefine + +// type: TriStateOutput16mA +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadTriOut16mA (pad, c2p, c2p_en); + inout pad; + input c2p; + input c2p_en; + + // Function + assign pad = (c2p_en) ? c2p : 1'bz; + + // Timing + specify + (pad => c2p) = 0; + endspecify +endmodule +`endcelldefine + +// type: TriStateOutput30mA +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadTriOut30mA (pad, c2p, c2p_en); + inout pad; + input c2p; + input c2p_en; + + // Function + assign pad = (c2p_en) ? c2p : 1'bz; + + // Timing + specify + (pad => c2p) = 0; + endspecify +endmodule +`endcelldefine + +// type: InputOutput4mA +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadInOut4mA (pad, c2p, c2p_en, p2c); + inout pad; + input c2p; + input c2p_en; + output p2c; + + // Function + assign pad = (c2p_en) ? c2p : 1'bz; + assign p2c = pad; + + // Timing + specify + (pad => c2p) = 0; + (p2c => pad) = 0; + endspecify +endmodule +`endcelldefine + +// type: InputOutput4mA +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadInOut16mA (pad, c2p, c2p_en, p2c); + inout pad; + input c2p; + input c2p_en; + output p2c; + + // Function + assign pad = (c2p_en) ? c2p : 1'bz; + assign p2c = pad; + + // Timing + specify + (pad => c2p) = 0; + (p2c => pad) = 0; + endspecify +endmodule +`endcelldefine + +// type: InputOutput4mA +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadInOut30mA (pad, c2p, c2p_en, p2c); + inout pad; + input c2p; + input c2p_en; + output p2c; + + // Function + assign pad = (c2p_en) ? c2p : 1'bz; + assign p2c = pad; + + // Timing + specify + (pad => c2p) = 0; + (p2c => pad) = 0; + endspecify +endmodule +`endcelldefine + +// type: Analog +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadAnalog (pad, padres); + inout pad; + inout padres; + + // Function + assign pad = padres; + assign padres = pad; + + // Timing + specify + (pad => padres) = 0; + (padres => pad) = 0; + endspecify +endmodule +`endcelldefine + +// type: IOVss +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadIOVss (); +endmodule +`endcelldefine + +// type: IOVdd +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadIOVdd (); +endmodule +`endcelldefine + +// type: Vss +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadVss (); +endmodule +`endcelldefine + +// type: Vdd +`timescale 1ns/10ps +`celldefine +module sg13g2_IOPadVdd (); +endmodule +`endcelldefine diff --git a/flow/platforms/ihp-sg13g2/verilog/sg13g2_stdcell.v b/flow/platforms/ihp-sg13g2/verilog/sg13g2_stdcell.v new file mode 100644 index 0000000000..334b9fd8dd --- /dev/null +++ b/flow/platforms/ihp-sg13g2/verilog/sg13g2_stdcell.v @@ -0,0 +1,2200 @@ +// Copyright 2024 IHP PDK Authors +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// https://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. + +// type: AO21 +`timescale 1ns/10ps +`celldefine +module sg13g2_a21o_1 (X, A1, A2, B1); + output X; + input A1, A2, B1; + + // Function + wire int_fwire_0; + + and (int_fwire_0, A1, A2); + or (X, int_fwire_0, B1); + + // Timing + specify + (A1 => X) = 0; + (A2 => X) = 0; + if (A1 == 1'b1 & A2 == 1'b0) + (B1 => X) = 0; + if (A1 == 1'b0 & A2 == 1'b1) + (B1 => X) = 0; + ifnone (B1 => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: AO21 +`timescale 1ns/10ps +`celldefine +module sg13g2_a21o_2 (X, A1, A2, B1); + output X; + input A1, A2, B1; + + // Function + wire int_fwire_0; + + and (int_fwire_0, A1, A2); + or (X, int_fwire_0, B1); + + // Timing + specify + (A1 => X) = 0; + (A2 => X) = 0; + if (A1 == 1'b1 & A2 == 1'b0) + (B1 => X) = 0; + if (A1 == 1'b0 & A2 == 1'b1) + (B1 => X) = 0; + ifnone (B1 => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: a21oi +`timescale 1ns/10ps +`celldefine +module sg13g2_a21oi_1 (Y, A1, A2, B1); + output Y; + input A1, A2, B1; + + // Function + wire int_fwire_0, int_fwire_1; + + and (int_fwire_0, A1, A2); + or (int_fwire_1, int_fwire_0, B1); + not (Y, int_fwire_1); + + // Timing + specify + (A1 => Y) = 0; + (A2 => Y) = 0; + if (A1 == 1'b1 & A2 == 1'b0) + (B1 => Y) = 0; + if (A1 == 1'b0 & A2 == 1'b1) + (B1 => Y) = 0; + if (A1 == 1'b0 & A2 == 1'b0) + (B1 => Y) = 0; + ifnone (B1 => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: a21oi +`timescale 1ns/10ps +`celldefine +module sg13g2_a21oi_2 (Y, A1, A2, B1); + output Y; + input A1, A2, B1; + + // Function + wire int_fwire_0, int_fwire_1; + + and (int_fwire_0, A1, A2); + or (int_fwire_1, int_fwire_0, B1); + not (Y, int_fwire_1); + + // Timing + specify + (A1 => Y) = 0; + (A2 => Y) = 0; + if (A1 == 1'b1 & A2 == 1'b0) + (B1 => Y) = 0; + if (A1 == 1'b0 & A2 == 1'b1) + (B1 => Y) = 0; + if (A1 == 1'b0 & A2 == 1'b0) + (B1 => Y) = 0; + ifnone (B1 => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: a221oi +`timescale 1ns/10ps +`celldefine +module sg13g2_a221oi_1 (Y, A1, A2, B1, B2, C1); + output Y; + input A1, A2, B1, B2, C1; + + // Function + wire int_fwire_0, int_fwire_1, int_fwire_2; + + and (int_fwire_0, B1, B2); + and (int_fwire_1, A1, A2); + or (int_fwire_2, int_fwire_1, int_fwire_0, C1); + not (Y, int_fwire_2); + + // Timing + specify + if (B1 == 1'b1 & B2 == 1'b0) + (A1 => Y) = 0; + if (B1 == 1'b0 & B2 == 1'b1) + (A1 => Y) = 0; + if (B1 == 1'b0 & B2 == 1'b0) + (A1 => Y) = 0; + ifnone (A1 => Y) = 0; + if (B1 == 1'b1 & B2 == 1'b0) + (A2 => Y) = 0; + if (B1 == 1'b0 & B2 == 1'b1) + (A2 => Y) = 0; + if (B1 == 1'b0 & B2 == 1'b0) + (A2 => Y) = 0; + ifnone (A2 => Y) = 0; + if (A1 == 1'b1 & A2 == 1'b0) + (B1 => Y) = 0; + if (A1 == 1'b0 & A2 == 1'b1) + (B1 => Y) = 0; + if (A1 == 1'b0 & A2 == 1'b0) + (B1 => Y) = 0; + ifnone (B1 => Y) = 0; + if (A1 == 1'b1 & A2 == 1'b0) + (B2 => Y) = 0; + if (A1 == 1'b0 & A2 == 1'b1) + (B2 => Y) = 0; + if (A1 == 1'b0 & A2 == 1'b0) + (B2 => Y) = 0; + ifnone (B2 => Y) = 0; + if (A1 == 1'b0 & A2 == 1'b1) + (C1 => Y) = 0; + ifnone (C1 => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: a22oi +`timescale 1ns/10ps +`celldefine +module sg13g2_a22oi_1 (Y, A1, A2, B1, B2); + output Y; + input A1, A2, B1, B2; + + // Function + wire int_fwire_0, int_fwire_1, int_fwire_2; + + and (int_fwire_0, B1, B2); + and (int_fwire_1, A1, A2); + or (int_fwire_2, int_fwire_1, int_fwire_0); + not (Y, int_fwire_2); + + // Timing + specify + (A1 => Y) = 0; + (A2 => Y) = 0; + (B1 => Y) = 0; + (B2 => Y) = 0; + endspecify +endmodule +`endcelldefine + + +// type: AND2 +`timescale 1ns/10ps +`celldefine +module sg13g2_and2_1 (X, A, B); + output X; + input A, B; + + // Function + and (X, A, B); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: AND2 +`timescale 1ns/10ps +`celldefine +module sg13g2_and2_2 (X, A, B); + output X; + input A, B; + + // Function + and (X, A, B); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: AND3 +`timescale 1ns/10ps +`celldefine +module sg13g2_and3_1 (X, A, B, C); + output X; + input A, B, C; + + // Function + and (X, A, B, C); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + (C => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: AND3 +`timescale 1ns/10ps +`celldefine +module sg13g2_and3_2 (X, A, B, C); + output X; + input A, B, C; + + // Function + and (X, A, B, C); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + (C => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: AND4 +`timescale 1ns/10ps +`celldefine +module sg13g2_and4_1 (X, A, B, C, D); + output X; + input A, B, C, D; + + // Function + and (X, A, B, C, D); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + (C => X) = 0; + (D => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: AND4 +`timescale 1ns/10ps +`celldefine +module sg13g2_and4_2 (X, A, B, C, D); + output X; + input A, B, C, D; + + // Function + and (X, A, B, C, D); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + (C => X) = 0; + (D => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: NP_ant +`timescale 1ns/10ps +`celldefine +module sg13g2_antennanp (A); + input A; + // Timing + specify + endspecify +endmodule +`endcelldefine + +// type: BU +`timescale 1ns/10ps +`celldefine +module sg13g2_buf_1 (X, A); + output X; + input A; + + // Function + buf (X, A); + + // Timing + specify + (A => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: BU +`timescale 1ns/10ps +`celldefine +module sg13g2_buf_16 (X, A); + output X; + input A; + + // Function + buf (X, A); + + // Timing + specify + (A => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: BU +`timescale 1ns/10ps +`celldefine +module sg13g2_buf_2 (X, A); + output X; + input A; + + // Function + buf (X, A); + + // Timing + specify + (A => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: BU +`timescale 1ns/10ps +`celldefine +module sg13g2_buf_4 (X, A); + output X; + input A; + + // Function + buf (X, A); + + // Timing + specify + (A => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: BU +`timescale 1ns/10ps +`celldefine +module sg13g2_buf_8 (X, A); + output X; + input A; + + // Function + buf (X, A); + + // Timing + specify + (A => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: DECAP +`timescale 1ns/10ps +`celldefine +module sg13g2_decap_4 (); + // Timing + specify + endspecify +endmodule +`endcelldefine + +// type: DECAP +`timescale 1ns/10ps +`celldefine +module sg13g2_decap_8 (); + // Timing + specify + endspecify +endmodule +`endcelldefine + +// type: dffrr +`timescale 1ns/10ps +`celldefine +module sg13g2_dfrbp_1 (Q, Q_N, D, RESET_B, CLK); + output Q, Q_N; + input D, RESET_B, CLK; + reg notifier; + wire delayed_D, delayed_RESET_B, delayed_CLK; + + // Function + wire int_fwire_IQ, int_fwire_IQN, int_fwire_r; + wire xcr_0; + + not (int_fwire_r, delayed_RESET_B); + ihp_dff_r_err (xcr_0, delayed_CLK, delayed_D, int_fwire_r); + ihp_dff_r (int_fwire_IQ, notifier, delayed_CLK, delayed_D, int_fwire_r, xcr_0); + buf (Q, int_fwire_IQ); + not (int_fwire_IQN, int_fwire_IQ); + buf (Q_N, int_fwire_IQN); + + // Timing + specify + (negedge RESET_B => (Q+:1'b0)) = 0; + (posedge CLK => (Q+:D)) = 0; + (negedge RESET_B => (Q_N-:1'b0)) = 0; + (posedge CLK => (Q_N-:D)) = 0; + $setuphold (posedge CLK, posedge D, 0, 0, notifier,,, delayed_CLK, delayed_D); + $setuphold (posedge CLK, negedge D, 0, 0, notifier,,, delayed_CLK, delayed_D); + $recrem (posedge RESET_B, posedge CLK, 0, 0, notifier,,, delayed_RESET_B, delayed_CLK); + $width (negedge RESET_B, 0, 0, notifier); + $width (posedge CLK, 0, 0, notifier); + $width (negedge CLK, 0, 0, notifier); + endspecify +endmodule +`endcelldefine + +// type: dffrr +`timescale 1ns/10ps +`celldefine +module sg13g2_dfrbp_2 (Q, Q_N, D, RESET_B, CLK); + output Q, Q_N; + input D, RESET_B, CLK; + reg notifier; + wire delayed_D, delayed_RESET_B, delayed_CLK; + + // Function + wire int_fwire_IQ, int_fwire_IQN, int_fwire_r; + wire xcr_0; + + not (int_fwire_r, delayed_RESET_B); + ihp_dff_r_err (xcr_0, delayed_CLK, delayed_D, int_fwire_r); + ihp_dff_r (int_fwire_IQ, notifier, delayed_CLK, delayed_D, int_fwire_r, xcr_0); + buf (Q, int_fwire_IQ); + not (int_fwire_IQN, int_fwire_IQ); + buf (Q_N, int_fwire_IQN); + + // Timing + specify + (negedge RESET_B => (Q+:1'b0)) = 0; + (posedge CLK => (Q+:D)) = 0; + (negedge RESET_B => (Q_N-:1'b0)) = 0; + (posedge CLK => (Q_N-:D)) = 0; + $setuphold (posedge CLK, posedge D, 0, 0, notifier,,, delayed_CLK, delayed_D); + $setuphold (posedge CLK, negedge D, 0, 0, notifier,,, delayed_CLK, delayed_D); + $recrem (posedge RESET_B, posedge CLK, 0, 0, notifier,,, delayed_RESET_B, delayed_CLK); + $width (negedge RESET_B, 0, 0, notifier); + $width (posedge CLK, 0, 0, notifier); + $width (negedge CLK, 0, 0, notifier); + endspecify +endmodule +`endcelldefine + +// type: DLHQ +`timescale 1ns/10ps +`celldefine +module sg13g2_dlhq_1 (Q, D, GATE); + output Q; + input D, GATE; + reg notifier; + wire delayed_D, delayed_GATE; + + // Function + wire int_fwire_IQ; + + ihp_latch (int_fwire_IQ, notifier, delayed_GATE, delayed_D); + buf (Q, int_fwire_IQ); + + // Timing + specify + (D => Q) = 0; + (posedge GATE => (Q+:D)) = 0; + $setuphold (negedge GATE, posedge D, 0, 0, notifier,,, delayed_GATE, delayed_D); + $setuphold (negedge GATE, negedge D, 0, 0, notifier,,, delayed_GATE, delayed_D); + $width (posedge GATE, 0, 0, notifier); + endspecify +endmodule +`endcelldefine + +// type: DLHR +`timescale 1ns/10ps +`celldefine +module sg13g2_dlhr_1 (Q, Q_N, D, RESET_B, GATE); + output Q, Q_N; + input D, RESET_B, GATE; + reg notifier; + wire delayed_D, delayed_RESET_B, delayed_GATE; + + // Function + wire int_fwire_IQ, int_fwire_IQN, int_fwire_r; + + not (int_fwire_r, delayed_RESET_B); + ihp_latch_r (int_fwire_IQ, notifier, delayed_GATE, delayed_D, int_fwire_r); + buf (Q, int_fwire_IQ); + not (int_fwire_IQN, int_fwire_IQ); + buf (Q_N, int_fwire_IQN); + + // Timing + specify + (D => Q) = 0; + (negedge RESET_B => (Q+:1'b0)) = 0; + (posedge GATE => (Q+:D)) = 0; + (D => Q_N) = 0; + (negedge RESET_B => (Q_N-:1'b0)) = 0; + (posedge GATE => (Q_N-:D)) = 0; + $setuphold (negedge GATE, posedge D, 0, 0, notifier,,, delayed_GATE, delayed_D); + $setuphold (negedge GATE, negedge D, 0, 0, notifier,,, delayed_GATE, delayed_D); + $recrem (posedge RESET_B, negedge GATE, 0, 0, notifier,,, delayed_RESET_B, delayed_GATE); + $width (negedge RESET_B, 0, 0, notifier); + $width (posedge GATE, 0, 0, notifier); + endspecify +endmodule +`endcelldefine + +// type: DLHRQ +`timescale 1ns/10ps +`celldefine +module sg13g2_dlhrq_1 (Q, D, RESET_B, GATE); + output Q; + input D, RESET_B, GATE; + reg notifier; + wire delayed_D, delayed_RESET_B, delayed_GATE; + + // Function + wire int_fwire_IQ, int_fwire_r; + + not (int_fwire_r, delayed_RESET_B); + ihp_latch_r (int_fwire_IQ, notifier, delayed_GATE, delayed_D, int_fwire_r); + buf (Q, int_fwire_IQ); + + // Timing + specify + (D => Q) = 0; + (negedge RESET_B => (Q+:1'b0)) = 0; + (posedge GATE => (Q+:D)) = 0; + $setuphold (negedge GATE, posedge D, 0, 0, notifier,,, delayed_GATE, delayed_D); + $setuphold (negedge GATE, negedge D, 0, 0, notifier,,, delayed_GATE, delayed_D); + $recrem (posedge RESET_B, negedge GATE, 0, 0, notifier,,, delayed_RESET_B, delayed_GATE); + $width (negedge RESET_B, 0, 0, notifier); + $width (posedge GATE, 0, 0, notifier); + endspecify +endmodule +`endcelldefine + +// type: DLLR +`timescale 1ns/10ps +`celldefine +module sg13g2_dllr_1 (Q, Q_N, D, RESET_B, GATE_N); + output Q, Q_N; + input D, RESET_B, GATE_N; + reg notifier; + wire delayed_D, delayed_RESET_B, delayed_GATE_N; + + // Function + wire int_fwire_clk, int_fwire_IQ, int_fwire_IQN; + wire int_fwire_r; + + not (int_fwire_clk, delayed_GATE_N); + not (int_fwire_r, delayed_RESET_B); + ihp_latch_r (int_fwire_IQ, notifier, int_fwire_clk, delayed_D, int_fwire_r); + buf (Q, int_fwire_IQ); + not (int_fwire_IQN, int_fwire_IQ); + buf (Q_N, int_fwire_IQN); + + // Timing + specify + (D => Q) = 0; + (negedge RESET_B => (Q+:1'b0)) = 0; + (negedge GATE_N => (Q+:D)) = 0; + (D => Q_N) = 0; + (negedge RESET_B => (Q_N-:1'b0)) = 0; + (negedge GATE_N => (Q_N-:D)) = 0; + $setuphold (posedge GATE_N, posedge D, 0, 0, notifier,,, delayed_GATE_N, delayed_D); + $setuphold (posedge GATE_N, negedge D, 0, 0, notifier,,, delayed_GATE_N, delayed_D); + $recrem (posedge RESET_B, posedge GATE_N, 0, 0, notifier,,, delayed_RESET_B, delayed_GATE_N); + $width (negedge RESET_B, 0, 0, notifier); + $width (negedge GATE_N, 0, 0, notifier); + endspecify +endmodule +`endcelldefine + +// type: DLLRQ +`timescale 1ns/10ps +`celldefine +module sg13g2_dllrq_1 (Q, D, RESET_B, GATE_N); + output Q; + input D, RESET_B, GATE_N; + reg notifier; + wire delayed_D, delayed_RESET_B, delayed_GATE_N; + + // Function + wire int_fwire_clk, int_fwire_IQ, int_fwire_r; + + not (int_fwire_clk, delayed_GATE_N); + not (int_fwire_r, delayed_RESET_B); + ihp_latch_r (int_fwire_IQ, notifier, int_fwire_clk, delayed_D, int_fwire_r); + buf (Q, int_fwire_IQ); + + // Timing + specify + (D => Q) = 0; + (negedge RESET_B => (Q+:1'b0)) = 0; + (posedge RESET_B => (Q+:1'b1)) = 0; + (negedge GATE_N => (Q+:D)) = 0; + $setuphold (posedge GATE_N, posedge D, 0, 0, notifier,,, delayed_GATE_N, delayed_D); + $setuphold (posedge GATE_N, negedge D, 0, 0, notifier,,, delayed_GATE_N, delayed_D); + $recrem (posedge RESET_B, posedge GATE_N, 0, 0, notifier,,, delayed_RESET_B, delayed_GATE_N); + $width (negedge RESET_B, 0, 0, notifier); + $width (negedge GATE_N, 0, 0, notifier); + endspecify +endmodule +`endcelldefine + +// type: DLY1 +`timescale 1ns/10ps +`celldefine +module sg13g2_dlygate4sd1_1 (X, A); + output X; + input A; + + // Function + buf (X, A); + + // Timing + specify + (A => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: DLY2 +`timescale 1ns/10ps +`celldefine +module sg13g2_dlygate4sd2_1 (X, A); + output X; + input A; + + // Function + buf (X, A); + + // Timing + specify + (A => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: DLY4 +`timescale 1ns/10ps +`celldefine +module sg13g2_dlygate4sd3_1 (X, A); + output X; + input A; + + // Function + buf (X, A); + + // Timing + specify + (A => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: BTL +`timescale 1ns/10ps +`celldefine +module sg13g2_ebufn_2 (Z, A, TE_B); + output Z; + input A, TE_B; + + // Function + bufif0 (Z, A, TE_B); + + // Timing + specify + (A => Z) = 0; + (TE_B => Z) = 0; + endspecify +endmodule +`endcelldefine + +// type: BTL +`timescale 1ns/10ps +`celldefine +module sg13g2_ebufn_4 (Z, A, TE_B); + output Z; + input A, TE_B; + + // Function + bufif0 (Z, A, TE_B); + + // Timing + specify + (A => Z) = 0; + (TE_B => Z) = 0; + endspecify +endmodule +`endcelldefine + +// type: BTL +`timescale 1ns/10ps +`celldefine +module sg13g2_ebufn_8 (Z, A, TE_B); + output Z; + input A, TE_B; + + // Function + bufif0 (Z, A, TE_B); + + // Timing + specify + (A => Z) = 0; + (TE_B => Z) = 0; + endspecify +endmodule +`endcelldefine + +// type: einvin +`timescale 1ns/10ps +`celldefine +module sg13g2_einvn_2 (Z, A, TE_B); + output Z; + input A, TE_B; + + // Function + notif0 (Z, A, TE_B); + + // Timing + specify + (A => Z) = 0; + (posedge TE_B => (Z:!A)) = 0; + (negedge TE_B => (Z:!A)) = 0; + endspecify +endmodule +`endcelldefine + +// type: einvin +`timescale 1ns/10ps +`celldefine +module sg13g2_einvn_4 (Z, A, TE_B); + output Z; + input A, TE_B; + + // Function + notif0 (Z, A, TE_B); + + // Timing + specify + (A => Z) = 0; + (posedge TE_B => (Z:!A)) = 0; + (negedge TE_B => (Z:!A)) = 0; + endspecify +endmodule +`endcelldefine + +// type: ITL +`timescale 1ns/10ps +`celldefine +module sg13g2_einvn_8 (Z, A, TE_B); + output Z; + input A, TE_B; + + // Function + notif0 (Z, A, TE_B); + + // Timing + specify + (A => Z) = 0; + (posedge TE_B => (Z:!A)) = 0; + (negedge TE_B => (Z:!A)) = 0; + endspecify +endmodule +`endcelldefine + +// type: fill +`timescale 1ns/10ps +`celldefine +module sg13g2_fill_1 (); + // Timing + specify + endspecify +endmodule +`endcelldefine + +// type: fill +`timescale 1ns/10ps +`celldefine +module sg13g2_fill_2 (); + // Timing + specify + endspecify +endmodule +`endcelldefine + +// type: fill +`timescale 1ns/10ps +`celldefine +module sg13g2_fill_4 (); + // Timing + specify + endspecify +endmodule +`endcelldefine + +// type: fill +`timescale 1ns/10ps +`celldefine +module sg13g2_fill_8 (); + // Timing + specify + endspecify +endmodule +`endcelldefine + +// type: IN +`timescale 1ns/10ps +`celldefine +module sg13g2_inv_1 (Y, A); + output Y; + input A; + + // Function + not (Y, A); + + // Timing + specify + (A => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: IN +`timescale 1ns/10ps +`celldefine +module sg13g2_inv_16 (Y, A); + output Y; + input A; + + // Function + not (Y, A); + + // Timing + specify + (A => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: IN +`timescale 1ns/10ps +`celldefine +module sg13g2_inv_2 (Y, A); + output Y; + input A; + + // Function + not (Y, A); + + // Timing + specify + (A => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: IN +`timescale 1ns/10ps +`celldefine +module sg13g2_inv_4 (Y, A); + output Y; + input A; + + // Function + not (Y, A); + + // Timing + specify + (A => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: IN +`timescale 1ns/10ps +`celldefine +module sg13g2_inv_8 (Y, A); + output Y; + input A; + + // Function + not (Y, A); + + // Timing + specify + (A => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: gclk +`timescale 1ns/10ps +`celldefine +module sg13g2_lgcp_1 (GCLK, GATE, CLK); + output GCLK; + input GATE, CLK; + reg notifier; + wire delayed_GATE, delayed_CLK; + + // Function + wire int_fwire_clk, int_fwire_int_GATE; + + not (int_fwire_clk, delayed_CLK); + ihp_latch (int_fwire_int_GATE, notifier, int_fwire_clk, delayed_GATE); + and (GCLK, delayed_CLK, int_fwire_int_GATE); + + // Timing + specify + (CLK => GCLK) = 0; + $setuphold (posedge CLK, posedge GATE, 0, 0, notifier,,, delayed_CLK, delayed_GATE); + $setuphold (posedge CLK, negedge GATE, 0, 0, notifier,,, delayed_CLK, delayed_GATE); + $width (posedge CLK, 0, 0, notifier); + $width (negedge CLK, 0, 0, notifier); + endspecify +endmodule +`endcelldefine + +// type: mux2 +`timescale 1ns/10ps +`celldefine +module sg13g2_mux2_1 (X, A0, A1, S); + output X; + input A0, A1, S; + + // Function + ihp_mux2 (X, A0, A1, S); + + // Timing + specify + (A0 => X) = 0; + (A1 => X) = 0; + if (A0 == 1'b0 & A1 == 1'b1) + (S => X) = 0; + ifnone (S => X) = 0; + if (A0 == 1'b1 & A1 == 1'b0) + (S => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: mux2 +`timescale 1ns/10ps +`celldefine +module sg13g2_mux2_2 (X, A0, A1, S); + output X; + input A0, A1, S; + + // Function + ihp_mux2 (X, A0, A1, S); + + // Timing + specify + (A0 => X) = 0; + (A1 => X) = 0; + if (A0 == 1'b0 & A1 == 1'b1) + (S => X) = 0; + ifnone (S => X) = 0; + if (A0 == 1'b1 & A1 == 1'b0) + (S => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: mux4 +`timescale 1ns/10ps +`celldefine +module sg13g2_mux4_1 (X, A0, A1, A2, A3, S0, S1); + output X; + input A0, A1, A2, A3, S0, S1; + + // Function + ihp_mux4 (X, A0, A1, A2, A3, S0, S1); + + // Timing + specify + (A0 => X) = 0; + (A1 => X) = 0; + (A2 => X) = 0; + (A3 => X) = 0; + if (A2 == 1'b0 & A3 == 1'b1 & S1 == 1'b1) + (S0 => X) = 0; + if (A0 == 1'b0 & A1 == 1'b1 & S1 == 1'b0) + (S0 => X) = 0; + ifnone (S0 => X) = 0; + if (A2 == 1'b1 & A3 == 1'b0 & S1 == 1'b1) + (S0 => X) = 0; + if (A0 == 1'b1 & A1 == 1'b0 & S1 == 1'b0) + (S0 => X) = 0; + if (A1 == 1'b0 & A3 == 1'b1 & S0 == 1'b1) + (S1 => X) = 0; + if (A0 == 1'b0 & A2 == 1'b1 & S0 == 1'b0) + (S1 => X) = 0; + ifnone (S1 => X) = 0; + if (A1 == 1'b1 & A3 == 1'b0 & S0 == 1'b1) + (S1 => X) = 0; + if (A0 == 1'b1 & A2 == 1'b0 & S0 == 1'b0) + (S1 => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: nand2 +`timescale 1ns/10ps +`celldefine +module sg13g2_nand2_1 (Y, A, B); + output Y; + input A, B; + + // Function + wire int_fwire_0; + + and (int_fwire_0, A, B); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nand2 +`timescale 1ns/10ps +`celldefine +module sg13g2_nand2_2 (Y, A, B); + output Y; + input A, B; + + // Function + wire int_fwire_0; + + and (int_fwire_0, A, B); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nand2b1 +`timescale 1ns/10ps +`celldefine +module sg13g2_nand2b_1 (Y, A_N, B); + output Y; + input A_N, B; + + // Function + wire A_N__bar, int_fwire_0; + + not (A_N__bar, A_N); + and (int_fwire_0, A_N__bar, B); + not (Y, int_fwire_0); + + // Timing + specify + (A_N => Y) = 0; + (B => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nand2b2 +`timescale 1ns/10ps +`celldefine +module sg13g2_nand2b_2 (Y, A_N, B); + output Y; + input A_N, B; + + // Function + wire A_N__bar, int_fwire_0; + + not (A_N__bar, A_N); + and (int_fwire_0, A_N__bar, B); + not (Y, int_fwire_0); + + // Timing + specify + (A_N => Y) = 0; + (B => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nand3 +`timescale 1ns/10ps +`celldefine +module sg13g2_nand3_1 (Y, A, B, C); + output Y; + input A, B, C; + + // Function + wire int_fwire_0; + + and (int_fwire_0, A, B, C); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B => Y) = 0; + (C => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nand3b1 +`timescale 1ns/10ps +`celldefine +module sg13g2_nand3b_1 (Y, A_N, B, C); + output Y; + input A_N, B, C; + + // Function + wire A_N__bar, int_fwire_0; + + not (A_N__bar, A_N); + and (int_fwire_0, A_N__bar, B, C); + not (Y, int_fwire_0); + + // Timing + specify + (A_N => Y) = 0; + (B => Y) = 0; + (C => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nand4 +`timescale 1ns/10ps +`celldefine +module sg13g2_nand4_1 (Y, A, B, C, D); + output Y; + input A, B, C, D; + + // Function + wire int_fwire_0; + + and (int_fwire_0, A, B, C, D); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B => Y) = 0; + (C => Y) = 0; + (D => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nor2 +`timescale 1ns/10ps +`celldefine +module sg13g2_nor2_1 (Y, A, B); + output Y; + input A, B; + + // Function + wire int_fwire_0; + + or (int_fwire_0, A, B); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nor2 +`timescale 1ns/10ps +`celldefine +module sg13g2_nor2_2 (Y, A, B); + output Y; + input A, B; + + // Function + wire int_fwire_0; + + or (int_fwire_0, A, B); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nor2b +`timescale 1ns/10ps +`celldefine +module sg13g2_nor2b_1 (Y, A, B_N); + output Y; + input A, B_N; + + // Function + wire B_N__bar, int_fwire_0; + + not (B_N__bar, B_N); + or (int_fwire_0, A, B_N__bar); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B_N => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nor2b +`timescale 1ns/10ps +`celldefine +module sg13g2_nor2b_2 (Y, A, B_N); + output Y; + input A, B_N; + + // Function + wire B_N__bar, int_fwire_0; + + not (B_N__bar, B_N); + or (int_fwire_0, A, B_N__bar); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B_N => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nor3 +`timescale 1ns/10ps +`celldefine +module sg13g2_nor3_1 (Y, A, B, C); + output Y; + input A, B, C; + + // Function + wire int_fwire_0; + + or (int_fwire_0, A, B, C); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B => Y) = 0; + (C => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nor3 +`timescale 1ns/10ps +`celldefine +module sg13g2_nor3_2 (Y, A, B, C); + output Y; + input A, B, C; + + // Function + wire int_fwire_0; + + or (int_fwire_0, A, B, C); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B => Y) = 0; + (C => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nor4 +`timescale 1ns/10ps +`celldefine +module sg13g2_nor4_1 (Y, A, B, C, D); + output Y; + input A, B, C, D; + + // Function + wire int_fwire_0; + + or (int_fwire_0, A, B, C, D); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B => Y) = 0; + (C => Y) = 0; + (D => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: nor4 +`timescale 1ns/10ps +`celldefine +module sg13g2_nor4_2 (Y, A, B, C, D); + output Y; + input A, B, C, D; + + // Function + wire int_fwire_0; + + or (int_fwire_0, A, B, C, D); + not (Y, int_fwire_0); + + // Timing + specify + (A => Y) = 0; + (B => Y) = 0; + (C => Y) = 0; + (D => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: o21ai +`timescale 1ns/10ps +`celldefine +module sg13g2_o21ai_1 (Y, A1, A2, B1); + output Y; + input A1, A2, B1; + + // Function + wire int_fwire_0, int_fwire_1; + + or (int_fwire_0, A1, A2); + and (int_fwire_1, int_fwire_0, B1); + not (Y, int_fwire_1); + + // Timing + specify + (A1 => Y) = 0; + (A2 => Y) = 0; + if (A1 == 1'b1 & A2 == 1'b0) + (B1 => Y) = 0; + if (A1 == 1'b0 & A2 == 1'b1) + (B1 => Y) = 0; + ifnone (B1 => Y) = 0; + endspecify +endmodule +`endcelldefine + +// type: or2 +`timescale 1ns/10ps +`celldefine +module sg13g2_or2_1 (X, A, B); + output X; + input A, B; + + // Function + or (X, A, B); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: or2 +`timescale 1ns/10ps +`celldefine +module sg13g2_or2_2 (X, A, B); + output X; + input A, B; + + // Function + or (X, A, B); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: or3 +`timescale 1ns/10ps +`celldefine +module sg13g2_or3_1 (X, A, B, C); + output X; + input A, B, C; + + // Function + or (X, A, B, C); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + (C => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: or3 +`timescale 1ns/10ps +`celldefine +module sg13g2_or3_2 (X, A, B, C); + output X; + input A, B, C; + + // Function + or (X, A, B, C); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + (C => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: or4 +`timescale 1ns/10ps +`celldefine +module sg13g2_or4_1 (X, A, B, C, D); + output X; + input A, B, C, D; + + // Function + or (X, A, B, C, D); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + (C => X) = 0; + (D => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: or4 +`timescale 1ns/10ps +`celldefine +module sg13g2_or4_2 (X, A, B, C, D); + output X; + input A, B, C, D; + + // Function + or (X, A, B, C, D); + + // Timing + specify + (A => X) = 0; + (B => X) = 0; + (C => X) = 0; + (D => X) = 0; + endspecify +endmodule +`endcelldefine + +// type: sdfrrs +`timescale 1ns/10ps +`celldefine +module sg13g2_sdfbbp_1 (Q, Q_N, D, SCD, SCE, RESET_B, SET_B, CLK); + output Q, Q_N; + input D, SCD, SCE, RESET_B, SET_B, CLK; + reg notifier; + wire delayed_D, delayed_SCD, delayed_SCE, delayed_RESET_B, delayed_SET_B, delayed_CLK; + + // Function + wire int_fwire_d, int_fwire_IQ, int_fwire_IQN; + wire int_fwire_r, int_fwire_s, xcr_0; + + ihp_mux2 (int_fwire_d, delayed_D, delayed_SCD, delayed_SCE); + not (int_fwire_s, delayed_SET_B); + not (int_fwire_r, delayed_RESET_B); + ihp_dff_sr_err (xcr_0, delayed_CLK, int_fwire_d, int_fwire_s, int_fwire_r); + ihp_dff_sr_1 (int_fwire_IQ, notifier, delayed_CLK, int_fwire_d, int_fwire_s, int_fwire_r, xcr_0); + buf (Q, int_fwire_IQ); + not (int_fwire_IQN, int_fwire_IQ); + buf (Q_N, int_fwire_IQN); + + // Timing + specify + (negedge RESET_B => (Q+:1'b0)) = 0; + (negedge SET_B => (Q+:1'b1)) = 0; + if (SCE == 1'b1) + (posedge CLK => (Q+:((D && SCD) || (D && !SCD && !SCE) || (!D && SCD && SCE)))) = 0; + ifnone (posedge CLK => (Q+:((D && SCD) || (D && !SCD && !SCE) || (!D && SCD && SCE)))) = 0; + (negedge RESET_B => (Q_N-:1'b0)) = 0; + (negedge SET_B => (Q_N-:1'b1)) = 0; + if (SCE == 1'b1) + (posedge CLK => (Q_N-:((D && SCD) || (D && !SCD && !SCE) || (!D && SCD && SCE)))) = 0; + ifnone (posedge CLK => (Q_N-:((D && SCD) || (D && !SCD && !SCE) || (!D && SCD && SCE)))) = 0; + $setuphold (posedge CLK, posedge D, 0, 0, notifier,,, delayed_CLK, delayed_D); + $setuphold (posedge CLK, negedge D, 0, 0, notifier,,, delayed_CLK, delayed_D); + $setuphold (posedge CLK, posedge SCD, 0, 0, notifier,,, delayed_CLK, delayed_SCD); + $setuphold (posedge CLK, negedge SCD, 0, 0, notifier,,, delayed_CLK, delayed_SCD); + $setuphold (posedge CLK, posedge SCE, 0, 0, notifier,,, delayed_CLK, delayed_SCE); + $setuphold (posedge CLK, negedge SCE, 0, 0, notifier,,, delayed_CLK, delayed_SCE); + $recrem (posedge RESET_B, posedge CLK, 0, 0, notifier,,, delayed_RESET_B, delayed_CLK); + $recrem (posedge SET_B, posedge CLK, 0, 0, notifier,,, delayed_SET_B, delayed_CLK); + $setuphold (posedge RESET_B, posedge SET_B, 0, 0, notifier,,, delayed_RESET_B, delayed_SET_B); + $width (negedge RESET_B, 0, 0, notifier); + $width (negedge SET_B, 0, 0, notifier); + $width (posedge CLK, 0, 0, notifier); + $width (negedge CLK, 0, 0, notifier); + endspecify +endmodule +`endcelldefine + +// type: keepstate +`timescale 1ns/10ps +`celldefine +module sg13g2_sighold (SH); + inout SH; + // Missing function for pin SH + // Timing + specify + endspecify +endmodule +`endcelldefine + +// type: sgclk +`timescale 1ns/10ps +`celldefine +module sg13g2_slgcp_1 (GCLK, GATE, SCE, CLK); + output GCLK; + input GATE, SCE, CLK; + reg notifier; + wire delayed_GATE, delayed_SCE, delayed_CLK; + + // Function + wire int_fwire_clk, int_fwire_int_GATE, int_fwire_test; + + not (int_fwire_clk, delayed_CLK); + or (int_fwire_test, delayed_GATE, delayed_SCE); + ihp_latch (int_fwire_int_GATE, notifier, int_fwire_clk, int_fwire_test); + and (GCLK, delayed_CLK, int_fwire_int_GATE); + + // Timing + specify + (CLK => GCLK) = 0; + $setuphold (posedge CLK, posedge GATE, 0, 0, notifier,,, delayed_CLK, delayed_GATE); + $setuphold (posedge CLK, negedge GATE, 0, 0, notifier,,, delayed_CLK, delayed_GATE); + $setuphold (posedge CLK, posedge SCE, 0, 0, notifier,,, delayed_CLK, delayed_SCE); + $setuphold (posedge CLK, negedge SCE, 0, 0, notifier,,, delayed_CLK, delayed_SCE); + $width (posedge CLK, 0, 0, notifier); + $width (negedge CLK, 0, 0, notifier); + endspecify +endmodule +`endcelldefine + +// type: tie1 +`timescale 1ns/10ps +`celldefine +module sg13g2_tiehi (L_HI); + output L_HI; + + // Function + buf (L_HI, 1'b1); + + // Timing + specify + endspecify +endmodule +`endcelldefine + +// type: tie0 +`timescale 1ns/10ps +`celldefine +module sg13g2_tielo (L_LO); + output L_LO; + + // Function + buf (L_LO, 1'b0); + + // Timing + specify + endspecify +endmodule +`endcelldefine + +// type: xnor2_1 +`timescale 1ns/10ps +`celldefine +module sg13g2_xnor2_1 (Y, A, B); + output Y; + input A, B; + + // Function + wire int_fwire_0; + + xor (int_fwire_0, A, B); + not (Y, int_fwire_0); + + // Timing + specify + (posedge A => (Y:A)) = 0; + (negedge A => (Y:A)) = 0; + (posedge B => (Y:B)) = 0; + (negedge B => (Y:B)) = 0; + endspecify +endmodule +`endcelldefine + +// type: xor2_1 +`timescale 1ns/10ps +`celldefine +module sg13g2_xor2_1 (X, A, B); + output X; + input A, B; + + // Function + xor (X, A, B); + + // Timing + specify + (posedge A => (X:A)) = 0; + (negedge A => (X:A)) = 0; + (posedge B => (X:B)) = 0; + (negedge B => (X:B)) = 0; + endspecify +endmodule +`endcelldefine + + +`ifdef _udp_def_ihp_latch_ +`else +`define _udp_def_ihp_latch_ +primitive ihp_latch (q, v, clk, d); + output q; + reg q; + input v, clk, d; + + table + * ? ? : ? : x; + ? 1 0 : ? : 0; + ? 1 1 : ? : 1; + ? x 0 : 0 : -; + ? x 1 : 1 : -; + ? 0 ? : ? : -; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_dff_err_ +`else +`define _udp_def_ihp_dff_err_ +primitive ihp_dff_err (q, clk, d); + output q; + reg q; + input clk, d; + + table + (0x) ? : ? : 0; + (1x) ? : ? : 1; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_dff_ +`else +`define _udp_def_ihp_dff_ +primitive ihp_dff (q, v, clk, d, xcr); + output q; + reg q; + input v, clk, d, xcr; + + table + * ? ? ? : ? : x; + ? (x1) 0 0 : ? : 0; + ? (x1) 1 0 : ? : 1; + ? (x1) 0 1 : 0 : 0; + ? (x1) 1 1 : 1 : 1; + ? (x1) ? x : ? : -; + ? (bx) 0 ? : 0 : -; + ? (bx) 1 ? : 1 : -; + ? (x0) b ? : ? : -; + ? (x0) ? x : ? : -; + ? (01) 0 ? : ? : 0; + ? (01) 1 ? : ? : 1; + ? (10) ? ? : ? : -; + ? b * ? : ? : -; + ? ? ? * : ? : -; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_dff_r_err_ +`else +`define _udp_def_ihp_dff_r_err_ +primitive ihp_dff_r_err (q, clk, d, r); + output q; + reg q; + input clk, d, r; + + table + ? 0 (0x) : ? : -; + ? 0 (x0) : ? : -; + (0x) ? 0 : ? : 0; + (0x) 0 x : ? : 0; + (1x) ? 0 : ? : 1; + (1x) 0 x : ? : 1; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_dff_r_ +`else +`define _udp_def_ihp_dff_r_ +primitive ihp_dff_r (q, v, clk, d, r, xcr); + output q; + reg q; + input v, clk, d, r, xcr; + + table + * ? ? ? ? : ? : x; + ? ? ? 1 ? : ? : 0; + ? b ? (1?) ? : 0 : -; + ? x 0 (1?) ? : 0 : -; + ? ? ? (10) ? : ? : -; + ? ? ? (x0) ? : ? : -; + ? ? ? (0x) ? : 0 : -; + ? (x1) 0 ? 0 : ? : 0; + ? (x1) 1 0 0 : ? : 1; + ? (x1) 0 ? 1 : 0 : 0; + ? (x1) 1 0 1 : 1 : 1; + ? (x1) ? ? x : ? : -; + ? (bx) 0 ? ? : 0 : -; + ? (bx) 1 0 ? : 1 : -; + ? (x0) 0 ? ? : ? : -; + ? (x0) 1 0 ? : ? : -; + ? (x0) ? 0 x : ? : -; + ? (01) 0 ? ? : ? : 0; + ? (01) 1 0 ? : ? : 1; + ? (10) ? ? ? : ? : -; + ? b * ? ? : ? : -; + ? ? ? ? * : ? : -; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_dff_s_err_ +`else +`define _udp_def_ihp_dff_s_err_ +primitive ihp_dff_s_err (q, clk, d, s); + output q; + reg q; + input clk, d, s; + + table + ? 1 (0x) : ? : -; + ? 1 (x0) : ? : -; + (0x) ? 0 : ? : 0; + (0x) 1 x : ? : 0; + (1x) ? 0 : ? : 1; + (1x) 1 x : ? : 1; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_dff_s_ +`else +`define _udp_def_ihp_dff_s_ +primitive ihp_dff_s (q, v, clk, d, s, xcr); + output q; + reg q; + input v, clk, d, s, xcr; + + table + * ? ? ? ? : ? : x; + ? ? ? 1 ? : ? : 1; + ? b ? (1?) ? : 1 : -; + ? x 1 (1?) ? : 1 : -; + ? ? ? (10) ? : ? : -; + ? ? ? (x0) ? : ? : -; + ? ? ? (0x) ? : 1 : -; + ? (x1) 0 0 0 : ? : 0; + ? (x1) 1 ? 0 : ? : 1; + ? (x1) 1 ? 1 : 1 : 1; + ? (x1) 0 0 1 : 0 : 0; + ? (x1) ? ? x : ? : -; + ? (bx) 1 ? ? : 1 : -; + ? (bx) 0 0 ? : 0 : -; + ? (x0) 1 ? ? : ? : -; + ? (x0) 0 0 ? : ? : -; + ? (x0) ? 0 x : ? : -; + ? (01) 1 ? ? : ? : 1; + ? (01) 0 0 ? : ? : 0; + ? (10) ? ? ? : ? : -; + ? b * ? ? : ? : -; + ? ? ? ? * : ? : -; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_dff_sr_err_ +`else +`define _udp_def_ihp_dff_sr_err_ +primitive ihp_dff_sr_err (q, clk, d, s, r); + output q; + reg q; + input clk, d, s, r; + + table + ? 1 (0x) ? : ? : -; + ? 0 ? (0x) : ? : -; + ? 0 ? (x0) : ? : -; + (0x) ? 0 0 : ? : 0; + (0x) 1 x 0 : ? : 0; + (0x) 0 0 x : ? : 0; + (1x) ? 0 0 : ? : 1; + (1x) 1 x 0 : ? : 1; + (1x) 0 0 x : ? : 1; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_dff_sr_0 +`else +`define _udp_def_ihp_dff_sr_0 +primitive ihp_dff_sr_0 (q, v, clk, d, s, r, xcr); + output q; + reg q; + input v, clk, d, s, r, xcr; + + table + // v, clk, d, s, r : q' : q; + + * ? ? ? ? ? : ? : x; + ? ? ? ? 1 ? : ? : 0; + ? ? ? 1 0 ? : ? : 1; + ? b ? (1?) 0 ? : 1 : -; + ? x 1 (1?) 0 ? : 1 : -; + ? ? ? (10) 0 ? : ? : -; + ? ? ? (x0) 0 ? : ? : -; + ? ? ? (0x) 0 ? : 1 : -; + ? b ? 0 (1?) ? : 0 : -; + ? x 0 0 (1?) ? : 0 : -; + ? ? ? 0 (10) ? : ? : -; + ? ? ? 0 (x0) ? : ? : -; + ? ? ? 0 (0x) ? : 0 : -; + ? (x1) 0 0 ? 0 : ? : 0; + ? (x1) 1 ? 0 0 : ? : 1; + ? (x1) 0 0 ? 1 : 0 : 0; + ? (x1) 1 ? 0 1 : 1 : 1; + ? (x1) ? ? 0 x : ? : -; + ? (x1) ? 0 ? x : ? : -; + ? (1x) 0 0 ? ? : 0 : -; + ? (1x) 1 ? 0 ? : 1 : -; + ? (x0) 0 0 ? ? : ? : -; + ? (x0) 1 ? 0 ? : ? : -; + ? (x0) ? 0 0 x : ? : -; + ? (0x) 0 0 ? ? : 0 : -; + ? (0x) 1 ? 0 ? : 1 : -; + ? (01) 0 0 ? ? : ? : 0; + ? (01) 1 ? 0 ? : ? : 1; + ? (10) ? 0 ? ? : ? : -; + ? (10) ? ? 0 ? : ? : -; + ? b * 0 ? ? : ? : -; + ? b * ? 0 ? : ? : -; + ? ? ? ? ? * : ? : -; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_dff_sr_1 +`else +`define _udp_def_ihp_dff_sr_1 +primitive ihp_dff_sr_1 (q, v, clk, d, s, r, xcr); + output q; + reg q; + input v, clk, d, s, r, xcr; + + table + // v, clk, d, s, r : q' : q; + + * ? ? ? ? ? : ? : x; + ? ? ? 0 1 ? : ? : 0; + ? ? ? 1 ? ? : ? : 1; + ? b ? (1?) 0 ? : 1 : -; + ? x 1 (1?) 0 ? : 1 : -; + ? ? ? (10) 0 ? : ? : -; + ? ? ? (x0) 0 ? : ? : -; + ? ? ? (0x) 0 ? : 1 : -; + ? b ? 0 (1?) ? : 0 : -; + ? x 0 0 (1?) ? : 0 : -; + ? ? ? 0 (10) ? : ? : -; + ? ? ? 0 (x0) ? : ? : -; + ? ? ? 0 (0x) ? : 0 : -; + ? (x1) 0 0 ? 0 : ? : 0; + ? (x1) 1 ? 0 0 : ? : 1; + ? (x1) 0 0 ? 1 : 0 : 0; + ? (x1) 1 ? 0 1 : 1 : 1; + ? (x1) ? ? 0 x : ? : -; + ? (x1) ? 0 ? x : ? : -; + ? (1x) 0 0 ? ? : 0 : -; + ? (1x) 1 ? 0 ? : 1 : -; + ? (x0) 0 0 ? ? : ? : -; + ? (x0) 1 ? 0 ? : ? : -; + ? (x0) ? 0 0 x : ? : -; + ? (0x) 0 0 ? ? : 0 : -; + ? (0x) 1 ? 0 ? : 1 : -; + ? (01) 0 0 ? ? : ? : 0; + ? (01) 1 ? 0 ? : ? : 1; + ? (10) ? 0 ? ? : ? : -; + ? (10) ? ? 0 ? : ? : -; + ? b * 0 ? ? : ? : -; + ? b * ? 0 ? : ? : -; + ? ? ? ? ? * : ? : -; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_latch_r_ +`else +`define _udp_def_ihp_latch_r_ +primitive ihp_latch_r (q, v, clk, d, r); + output q; + reg q; + input v, clk, d, r; + + table + * ? ? ? : ? : x; + ? ? ? 1 : ? : 0; + ? 0 ? 0 : ? : -; + ? 0 ? x : 0 : -; + ? 1 0 0 : ? : 0; + ? 1 0 x : ? : 0; + ? 1 1 0 : ? : 1; + ? x 0 0 : 0 : -; + ? x 0 x : 0 : -; + ? x 1 0 : 1 : -; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_latch_s_ +`else +`define _udp_def_ihp_latch_s_ +primitive ihp_latch_s (q, v, clk, d, s); + output q; + reg q; + input v, clk, d, s; + + table + * ? ? ? : ? : x; + ? ? ? 1 : ? : 1; + ? 0 ? 0 : ? : -; + ? 0 ? x : 1 : -; + ? 1 1 0 : ? : 1; + ? 1 1 x : ? : 1; + ? 1 0 0 : ? : 0; + ? x 1 0 : 1 : -; + ? x 1 x : 1 : -; + ? x 0 0 : 0 : -; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_latch_sr_0 +`else +`define _udp_def_ihp_latch_sr_0 +primitive ihp_latch_sr_0 (q, v, clk, d, s, r); + output q; + reg q; + input v, clk, d, s, r; + + table + * ? ? ? ? : ? : x; + ? 1 1 ? 0 : ? : 1; + ? 1 0 0 ? : ? : 0; + ? ? ? 1 0 : ? : 1; + ? ? ? ? 1 : ? : 0; + ? 0 * ? ? : ? : -; + ? 0 ? * 0 : 1 : 1; + ? 0 ? 0 * : 0 : 0; + ? * 1 ? 0 : 1 : 1; + ? * 0 0 ? : 0 : 0; + ? ? 1 * 0 : 1 : 1; + ? ? 0 0 * : 0 : 0; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_latch_sr_1 +`else +`define _udp_def_ihp_latch_sr_1 +primitive ihp_latch_sr_1 (q, v, clk, d, s, r); + output q; + reg q; + input v, clk, d, s, r; + + table + * ? ? ? ? : ? : x; + ? 1 1 ? 0 : ? : 1; + ? 1 0 0 ? : ? : 0; + ? ? ? 1 ? : ? : 1; + ? ? ? 0 1 : ? : 0; + ? 0 * ? ? : ? : -; + ? 0 ? * 0 : 1 : 1; + ? 0 ? 0 * : 0 : 0; + ? * 1 ? 0 : 1 : 1; + ? * 0 0 ? : 0 : 0; + ? ? 1 * 0 : 1 : 1; + ? ? 0 0 * : 0 : 0; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_mux2 +`else +`define _udp_def_ihp_mux2 +primitive ihp_mux2 (z, a, b, s); + output z; + input a, b, s; + + table +// a b s : z + 1 ? 0 : 1; + 0 ? 0 : 0; + ? 1 1 : 1; + ? 0 1 : 0; + 0 0 x : 0; + 1 1 x : 1; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_mux4 +`else +`define _udp_def_ihp_mux4 +primitive ihp_mux4 (z, a, b , c, d, s0, s1); + output z; + input d, c, b, a, s1, s0; + + table +// a b c d s0 s1 : z + 0 ? ? ? 0 0 : 0; + 1 ? ? ? 0 0 : 1; + ? 0 ? ? 1 0 : 0; + ? 1 ? ? 1 0 : 1; + ? ? 0 ? 0 1 : 0; + ? ? 1 ? 0 1 : 1; + ? ? ? 0 1 1 : 0; + ? ? ? 1 1 1 : 1; + 0 0 ? ? x 0 : 0; + 1 1 ? ? x 0 : 1; + ? ? 0 0 x 1 : 0; + ? ? 1 1 x 1 : 1; + 0 ? 0 ? 0 x : 0; + 1 ? 1 ? 0 x : 1; + ? 0 ? 0 1 x : 0; + ? 1 ? 1 1 x : 1; + 1 1 1 1 x x : 1; + 0 0 0 0 x x : 0; + endtable +endprimitive +`endif + +`ifdef _udp_def_ihp_mux8 +`else +`define _udp_def_ihp_mux8 +primitive ihp_mux8 (z, a, b , c, d, e, f, g, h, s0, s1, s2); + output z; + input h, g, f, e, d, c, b, a, s2, s1, s0; + + table +// a b c d e f g h s0 s1 s2 : z + 0 ? ? ? ? ? ? ? 0 0 0 : 0; + 1 ? ? ? ? ? ? ? 0 0 0 : 1; + ? 0 ? ? ? ? ? ? 1 0 0 : 0; + ? 1 ? ? ? ? ? ? 1 0 0 : 1; + ? ? 0 ? ? ? ? ? 0 1 0 : 0; + ? ? 1 ? ? ? ? ? 0 1 0 : 1; + ? ? ? 0 ? ? ? ? 1 1 0 : 0; + ? ? ? 1 ? ? ? ? 1 1 0 : 1; + ? ? ? ? 0 ? ? ? 0 0 1 : 0; + ? ? ? ? 1 ? ? ? 0 0 1 : 1; + ? ? ? ? ? 0 ? ? 1 0 1 : 0; + ? ? ? ? ? 1 ? ? 1 0 1 : 1; + ? ? ? ? ? ? 0 ? 0 1 1 : 0; + ? ? ? ? ? ? 1 ? 0 1 1 : 1; + ? ? ? ? ? ? ? 0 1 1 1 : 0; + ? ? ? ? ? ? ? 1 1 1 1 : 1; + 0 0 ? ? ? ? ? ? x 0 0 : 0; + 1 1 ? ? ? ? ? ? x 0 0 : 1; + ? ? 0 0 ? ? ? ? x 1 0 : 0; + ? ? 1 1 ? ? ? ? x 1 0 : 1; + ? ? ? ? 0 0 ? ? x 0 1 : 0; + ? ? ? ? 1 1 ? ? x 0 1 : 1; + ? ? ? ? ? ? 0 0 x 1 1 : 0; + ? ? ? ? ? ? 1 1 x 1 1 : 1; + 0 ? 0 ? ? ? ? ? 0 x 0 : 0; + 1 ? 1 ? ? ? ? ? 0 x 0 : 1; + ? 0 ? 0 ? ? ? ? 1 x 0 : 0; + ? 1 ? 1 ? ? ? ? 1 x 0 : 1; + ? ? ? ? 0 ? 0 ? 0 x 1 : 0; + ? ? ? ? 1 ? 1 ? 0 x 1 : 1; + ? ? ? ? ? 0 ? 0 1 x 1 : 0; + ? ? ? ? ? 1 ? 1 1 x 1 : 1; + 0 ? ? ? 0 ? ? ? 0 0 x : 0; + 1 ? ? ? 1 ? ? ? 0 0 x : 1; + ? 0 ? ? ? 0 ? ? 1 0 x : 0; + ? 1 ? ? ? 1 ? ? 1 0 x : 1; + ? ? 0 ? ? ? 0 ? 0 1 x : 0; + ? ? 1 ? ? ? 1 ? 0 1 x : 1; + ? ? ? 0 ? ? ? 0 1 1 x : 0; + ? ? ? 1 ? ? ? 1 1 1 x : 1; + 0 0 0 0 ? ? ? ? x x 0 : 0; + 1 1 1 1 ? ? ? ? x x 0 : 1; + ? ? ? ? 0 0 0 0 x x 1 : 0; + ? ? ? ? 1 1 1 1 x x 1 : 1; + 0 0 ? ? 0 0 ? ? x 0 x : 0; + 1 1 ? ? 1 1 ? ? x 0 x : 1; + ? ? 0 0 ? ? 0 0 x 1 x : 0; + ? ? 1 1 ? ? 1 1 x 1 x : 1; + 0 ? 0 ? 0 ? 0 ? 0 x x : 0; + 1 ? 1 ? 1 ? 1 ? 0 x x : 1; + ? 0 ? 0 ? 0 ? 0 1 x x : 0; + ? 1 ? 1 ? 1 ? 1 1 x x : 1; + 0 0 0 0 0 0 0 0 x x x : 0; + 1 1 1 1 1 1 1 1 x x x : 1; + endtable +endprimitive +`endif